Extended Defects in Germanium: Fundamental and Technological Aspects (Springer Series in Materials Science)

  • 81 38 3
  • Like this paper and download? You can publish your own PDF file online for free in a few minutes! Sign Up

Extended Defects in Germanium: Fundamental and Technological Aspects (Springer Series in Materials Science)

Springer Series in materials science 118 Springer Series in materials science Editors: R. Hull R. M. Osgood, Jr.

538 40 11MB

Pages 317 Page size 612 x 792 pts (letter) Year 2009

Report DMCA / Copyright

DOWNLOAD FILE

Recommend Papers

File loading please wait...
Citation preview

Springer Series in

materials science

118

Springer Series in

materials science Editors: R. Hull

R. M. Osgood, Jr.

J. Parisi

H. Warlimont

The Springer Series in Materials Science covers the complete spectrum of materials physics, including fundamental principles, physical properties, materials theory and design. Recognizing the increasing importance of materials science in future device technologies, the book titles in this series ref lect the state-of-the-art in understanding and controlling the structure and properties of all important classes of materials. 99 Self-Organized Morphology in Nanostructured Materials Editors: K. Al-Shamery and J. Parisi 100 Self Healing Materials An Alternative Approach to 20 Centuries of Materials Science Editor: S. van der Zwaag 101 New Organic Nanostructures for Next Generation Devices Editors: K. Al-Shamery, H.-G. Rubahn, and H. Sitter 102 Photonic Crystal Fibers Properties and Applications By F. Poli, A. Cucinotta, and S. Selleri 103 Polarons in Advanced Materials Editor: A.S. Alexandrov 104 Transparent Conductive Zinc Oxide Basics and Applications in Thin Film Solar Cells Editors: K. Ellmer, A. Klein, and B. Rech 105 Dilute III-V Nitride Semiconductors and Material Systems Physics and Technology Editor: A. Erol 106 Into The Nano Era Moore’s Law Beyond Planar Silicon CMOS Editor: H.R. Huff 107 Organic Semiconductors in Sensor Applications Editors: D.A. Bernards, R.M. Ownes, and G.G. Malliaras 108 Evolution of Thin-Film Morphology Modeling and Simulations By M. Pelliccione and T.-M. Lu

109 Reactive Sputter Deposition Editors: D. Depla and S. Mahieu 110 The Physics of Organic Superconductors and Conductors Editor: A. Lebed 111 Molecular Catalysts for Energy Conversion Editors: T. Okada and M. Kaneko 112 Atomistic and Continuum Modeling of Nanocrystalline Materials Deformation Mechanisms and Scale Transition By M. Cherkaoui and L. Capolungo 113 Crystallography and the World of Symmetry By S.K. Chatterjee 114 Piezoelectricity Evolution and Future of a Technology Editors: W. Heywang, K. Lubitz, and W. Wersing 115 Lithium Niobate Defects, Photorefraction and Ferroelectric Switching By T. Volk and M. W¨ohlecke 116 Einstein Relation in Compound Semiconductors and Their Nanostructures By K.P. Ghatak, S. Bhattacharya, and D. De 117 From Bulk to Nano The Many Sides of Magnetism By C.G. Stefanita 118 Extended Defects in Germanium Fundamental and Technological Aspects By C. Claeys and E. Simoen

Volumes 50–98 are listed at the end of the book.

Cor Claeys Eddy Simoen

Extended Defects in Germanium Fundamental and Technological Aspects

With 231 Figures

123

Professor Dr. Cor Claeys Dr. Eddy Simoen Katholieke Universiteit Leuven, Interuniversity Microelectronics Center (IMEC) Kapeldreef 75, Heverlee, 3001 Leuven, Belgium E-mail: [email protected], [email protected]

Series Editors:

Professor Robert Hull

Professor Jürgen Parisi

University of Virginia Dept. of Materials Science and Engineering Thornton Hall Charlottesville, VA 22903-2442, USA

Universit¨at Oldenburg, Fachbereich Physik Abt. Energie- und Halbleiterforschung Carl-von-Ossietzky-Strasse 9–11 26129 Oldenburg, Germany

Professor R. M. Osgood, Jr.

Professor Hans Warlimont

Microelectronics Science Laboratory Department of Electrical Engineering Columbia University Seeley W. Mudd Building New York, NY 10027, USA

Institut f¨ur Festk¨orperund Werkstofforschung, Helmholtzstrasse 20 01069 Dresden, Germany

Springer Series in Materials Science ISSN 0933-033X ISBN 978-3-540-85611-5

e-ISBN 978-3-540-85614-6

Library of Congress Control Number: 2008935633 © Springer-Verlag Berlin Heidelberg 2009 This work is subject to copyright. All rights are reserved, whether the whole or part of the material is concerned, specif ically the rights of translation, reprinting, reuse of illustrations, recitation, broadcasting, reproduction on microf ilm or in any other way, and storage in data banks. Duplication of this publication or parts thereof is permitted only under the provisions of the German Copyright Law of September 9, 1965, in its current version, and permission for use must always be obtained from Springer-Verlag. Violations are liable to prosecution under the German Copyright Law. The use of general descriptive names, registered names, trademarks, etc. in this publication does not imply, even in the absence of a specif ic statement, that such names are exempt from the relevant protective laws and regulations and therefore free for general use. Typesetting: Data prepared by SPi using a Springer TEX macro package Cover concept: eStudio Calamar Steinen Cover production: WMX Design GmbH, Heidelberg SPIN: 12222698 57/3180/SPi Printed on acid-free paper 987654321 springer.com

Preface

Extended defects in semiconductors are usually considered detrimental. In the early days of electronic devices, only polycrystalline material was available. In fact, germanium was the first material that could be grown dislocation free, the reason being its relatively low melting point. With the improvement of crystal growth, dislocation-free wafers became available and are nowadays the standard in the case of 200 and 300 mm diameter silicon substrates. In the future, there may even be a switch over to a 450 mm wafer size. In the case of Ge it is already feasible to grow 300 mm dislocation-free wafers. On the other hand, low-cost, solar-grade silicon material is characterized by the presence of a large density of extended defects: grain boundaries, twins, dislocations and stacking faults, which determine to a large extent its electrical performance and solar energy conversion efficiency. Other applications, like detectors for nuclear radiation spectroscopy, require a density of dislocations in the range of a few hundreds to thousands for a successful, high-resolution operation. It implies that depending on the application, extended defects may be present and, therefore, their electrical and mechanical effects should be studied and well-characterized. Giving the current interest in renewable energy, and in particular, solar energy, it comes as no surprise that the study of extended defects in semiconductors is experiencing a second youth, with a great deal of research activities going on world-wide, involving a growing number of young scientists. At the moment, the main application of Ge wafers is space solar cells, requiring high-quality defect-free material. Ge can be a potential block-buster, as channel material for sub-22 nm CMOS. However, transistors will be made only on thin Ge layers fabricated on a silicon handle or carrier wafer. Whatever the fabrication technique of choice, i.e., epitaxial deposition, Ge condensation or smart-cut GeOI, extended defects may readily be formed, so that the understanding of the formation and the control of extended defects is of crucial importance in state-of-the-art Ge materials. The main reason for the formation of misfit and threading dislocations is the lattice mismatch between the substrate - usually silicon - and the epitaxial layer, which amounts to about

VI

Preface

4.1% at room temperature for pure Ge. It implies that below a certain critical thickness, which is about 1 nm for Ge, the layer can be deposited pseudomorphically, i.e., defect free, while above this thickness, plastic relaxation at the epitaxial interface readily occurs. Depending on the growth conditions, misfit dislocations are formed with threading arms reaching through the layer to the surface. It is in the first instance that these threadings can be harmful for device operation and should be controlled to acceptable levels, either during growth or by a post-deposition annealing treatment. On the other hand, extended defects may be created also during device processing, as it is known that certain steps like ion implantation, dry etching or device isolation create damage and/or stress which eventually, upon annealing, develop into extended defects. Also thermal stresses during processing may relax into dislocations or related extended defects. Whatever the application or device structure, p-n junctions are generally an inherent part of it and the fabrication method of choice in industry is by ion implantation, as it allows a precise control of the junction depth and sheet resistance. The penalty paid is the formation of point and extended defects, which are the result of the clustering of the displaced lattice atoms and the associated vacancies. This clustering occurs during the post-implantation annealing, necessary to activate the dopants. In view of these issues, defect engineering has become a mature and exciting field of expertise in the silicon world but lacks thousands of man-years of research in the case of Ge processing. The understanding of processes like ion implantation-damage annealing or solid-phase epitaxial regrowth, pointdefect engineering for dopant diffusion control, etc. are far less well-developed in the case of Ge and, therefore, require renewed interest. The concept of gettering, where beneficial use is made of extended defects to remove detrimental metallic contaminants from the active device regions, and playing a crucial role in yield engineering in the IC industry, hardly exists for germanium. It is the aim of this book to fill this gap and form a bridge between the fundamental material studies carried out mainly in the fifties and sixties and today’s practice and research interests. Defect formation in state-of-the-art processing modules intended for sub 32 nm technology nodes will be used to illustrate the theoretical and physical defect studies. The aim is to give an overview of the physics of extended defects in germanium, i.e., dislocations (line defects), grain boundaries, stacking faults, twins and {311} defects (two-dimensional defects) and precipitates, bubbles, etc. The first chapter will be more fundamental, describing the crystallographic structure and mechanical properties of dislocations, which have been established in the fifties and sixties, based on defect etching and optical or electron microscopy. Currently, focus is on in situ studies of dislocation properties in a transmission electron microscope. It will be pointed out that dislocations are essential for the plastic deformation of germanium. Methods will be described to analyse and image dislocations and to evaluate their structure. Another field of interest is the measurement of strain distribution with nanometer

Preface

VII

scale resolution. Indentation studies at room temperature are useful for the understanding of high pressure phase transformations in Ge and for revealing the hardness properties of Ge and related alloys. Dislocations can also impact on the diffusion of impurities, as will be outlined in the last paragraph. The second chapter deals with the electrical and optical properties of dislocations, which are crucial for device operation. An overview of the different models, describing the electron states, will be given, starting from the dangling bond model of Shockley and Read. While over the years, large progress has been made, a full understanding is still lacking due to the complexity of the problem. Besides the presence of dangling bonds in the core of the dislocation, which may reconstruct, the states associated with the strain field may split from the band edges. Moreover, impurities tend to aggregate in the strain field of a dislocation, giving rise to greater recombination activity. The combination of optical and electrical spectroscopy has led to the concept where the dislocation states form one-dimensional bands in the band gap of germanium (or silicon) instead of a single level, which depends on the line charge captured in it. Chapter 3 describes the mechanical and electrical properties of grain boundaries in Ge. Chapters 4 and 5 deal more with today’s problems, namely, with the formation of extended defects during the preparation of modern Ge substrates, including epitaxial deposition on Si, condensation of SiGe-on-Insulator and smart-cut or bonded material and the issue of extended defect formation during modern processing, for example, by ion implantation or laser annealing. In brief, the book should provide a fundamental understanding of the extended-defect formation during Ge materials and device processing, providing ways to distinguish harmful from less detrimental defects and point out ways for defect engineering and control. Key features: • Intended for a wide audience including students, scientists and process engineers employed in material manufacturing, semiconductor research centres and universities • State-of-the-art information available for the first time as an all-in-source • Extensive reference list making it an indispensable reference book • Complementary to the first book on Ge Materials and Devices, edited in 2007 by the same authors. Finally, we acknowledge M. Caymax, P. Clauws, M.-L. David, G. Eneman, R. Loo, M. Meuris, A. Satta and L. Souriau for useful discussions and the use of some results. We also thank the IMEC Ge and III–V team. Belgium September 2008

Prof. Dr. Cor Claeys Dr. Eddy Simoen

Contents

1

Dislocations in Germanium: Mechanical Properties . . . . . . . . 1.1 Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1.2 Elastic Properties of Germanium . . . . . . . . . . . . . . . . . . . . . . . . . . 1.2.1 Definitions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1.2.2 Linear Expansion Coefficient of Ge . . . . . . . . . . . . . . . . . . 1.2.3 The First-Order Elastic Constants . . . . . . . . . . . . . . . . . . . 1.2.4 Third-Order Elastic Constants . . . . . . . . . . . . . . . . . . . . . . 1.2.5 Internal Friction of Ge . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1.3 Dislocation: Definitions and Structures . . . . . . . . . . . . . . . . . . . . . 1.4 Creation and Observation of Dislocations . . . . . . . . . . . . . . . . . . . 1.4.1 Grown-In Dislocations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1.4.2 Deformation-Induced Dislocations . . . . . . . . . . . . . . . . . . . 1.4.3 Observation of Dislocations . . . . . . . . . . . . . . . . . . . . . . . . . 1.5 Hardness and Plasticity of Ge at Room Temperature . . . . . . . . . 1.6 High Temperature Plasticity of Germanium . . . . . . . . . . . . . . . . . 1.6.1 Dislocation Velocity: Experimental Facts . . . . . . . . . . . . . 1.6.2 Dislocation Velocity: Fundamental Understanding . . . . . 1.6.3 Static Flow Tests or Creep Curve . . . . . . . . . . . . . . . . . . . . 1.6.4 Dynamic Testing: Stress–Strain Yield Curves in Ge . . . . 1.7 Impact of Dislocations on Dopant Diffusion . . . . . . . . . . . . . . . . . 1.8 Conclusions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

1 1 2 2 4 6 7 8 11 15 17 18 19 24 30 31 41 42 46 54 57 58

2

Electrical and Optical Properties . . . . . . . . . . . . . . . . . . . . . . . . . . 2.1 Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2.2 Electronic States of Dislocations . . . . . . . . . . . . . . . . . . . . . . . . . . . 2.2.1 Read’s Acceptor Level Model . . . . . . . . . . . . . . . . . . . . . . . 2.2.2 Schr¨ oter’s 1D Band Model . . . . . . . . . . . . . . . . . . . . . . . . . . 2.2.3 First Principles Calculations and EPR Results: Do DBs Exist in Split Dislocations? . . . . . . . . . . . . . . . . . 2.2.4 One Dimensional Conduction Along Dislocations . . . . . .

65 65 67 67 70 75 77

X

Contents

2.2.5 Deformation-Induced Point Defects . . . . . . . . . . . . . . . . . . 83 2.2.6 Electrical Activity of Grown-In Dislocations . . . . . . . . . . 85 2.3 Impact of Dislocations on Carrier Mobility . . . . . . . . . . . . . . . . . . 89 2.4 Impact of Dislocations on Lifetime, Trapping, and Noise . . . . . . 93 2.4.1 Impact on Carrier Recombination . . . . . . . . . . . . . . . . . . . 93 2.4.2 Impact on Low Frequency Noise . . . . . . . . . . . . . . . . . . . . . 99 2.5 Impact of Dislocations on Ge Junction Devices . . . . . . . . . . . . . . 100 2.6 Impact of Dislocations on Optical Properties . . . . . . . . . . . . . . . . 104 2.6.1 Absorption and Recombination . . . . . . . . . . . . . . . . . . . . . . 107 2.6.2 Optical Recombination . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 110 2.6.3 Photoconductivity . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 113 2.6.4 Photoluminescence . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 126 2.7 Conclusions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 131 References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 132 3

Grain Boundaries in Germanium . . . . . . . . . . . . . . . . . . . . . . . . . . 137 3.1 Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 137 3.2 Structure and Observation of Grain Boundaries . . . . . . . . . . . . . 137 3.3 Electrical Properties of Grain Boundaries . . . . . . . . . . . . . . . . . . . 141 3.4 Optical Properties of Grain Boundaries . . . . . . . . . . . . . . . . . . . . 150 3.5 Conclusions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 151 References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 151

4

Germanium-Based Substrate Defects . . . . . . . . . . . . . . . . . . . . . . 153 4.1 Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 153 4.2 Epitaxial Deposition: Definitions . . . . . . . . . . . . . . . . . . . . . . . . . . 154 4.2.1 Modern Epitaxial Techniques . . . . . . . . . . . . . . . . . . . . . . . 154 4.2.2 Epitaxial Growth Modes . . . . . . . . . . . . . . . . . . . . . . . . . . . 158 4.3 Heteroepitaxial Strained Layers . . . . . . . . . . . . . . . . . . . . . . . . . . . 159 4.3.1 Equilibrium Critical Thickness . . . . . . . . . . . . . . . . . . . . . . 160 4.3.2 Metastable Critical Thickness . . . . . . . . . . . . . . . . . . . . . . . 163 4.3.3 Misfit and Threading Dislocation Densities . . . . . . . . . . . 165 4.3.4 Strained Layer Dislocation Nucleation Mechanism . . . . . 166 4.3.5 Dislocation Glide and Climb in Strained Layers . . . . . . . 169 4.3.6 Dislocation Interaction Mechanisms . . . . . . . . . . . . . . . . . . 172 4.3.7 Elastic Relaxation by Surface Roughening . . . . . . . . . . . . 175 4.3.8 Strain Relaxation in Local Epitaxial Growth . . . . . . . . . . 178 4.4 Homoepitaxy of Germanium . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 180 4.4.1 Growth Modes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 180 4.4.2 Growth Roughness and Epitaxial Breakdown at Low Temperatures . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 184 4.5 Heteroepitaxial Growth of Ge on Si . . . . . . . . . . . . . . . . . . . . . . . . 193 4.5.1 Initial Growth Mechanisms . . . . . . . . . . . . . . . . . . . . . . . . . 195 4.5.2 Direct Layer-By-Layer Growth of Ge on Si . . . . . . . . . . . 198 4.5.3 Growth of Thick Relaxed Ge Layers Directly on Si . . . . 203

Contents

XI

4.5.4 Growth of Thick Relaxed Ge Layers by Means of a Graded Virtual Substrate . . . . . . . . . . . . . . . . . . . . . . . 206 4.5.5 Selective Epitaxial Growth of Relaxed Ge on Si . . . . . . . 210 4.5.6 Growth of Strained Ge and Si Layers and Si/Ge Superlattices . . . . . . . . . . . . . . . . . . . . . . . . . . . . 215 4.6 Defects in Germanium-On-Insulator Substrates . . . . . . . . . . . . . . 216 4.7 Summary and Conclusions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 227 References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 228 5

Process-Induced Defects in Germanium . . . . . . . . . . . . . . . . . . . 241 5.1 Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 241 5.2 Fundamental Ion Implantation Damage Mechanisms . . . . . . . . . 242 5.3 Heavy Ion Induced Void Formation . . . . . . . . . . . . . . . . . . . . . . . . 254 5.4 Damage Annealing and Solid Phase Epitaxial Regrowth . . . . . . 257 5.5 Implantation Damage and Removal by Standard Dopant Ions . 265 5.5.1 Lattice Site and Damage of Implanted Species in Ge . . . 265 5.5.2 Boron Implantation in Ge . . . . . . . . . . . . . . . . . . . . . . . . . . 268 5.5.3 Al Implantation in Ge . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 277 5.5.4 P and As Implantation in Ge . . . . . . . . . . . . . . . . . . . . . . . 278 5.5.5 {311} Interstitial Clusters in Ge . . . . . . . . . . . . . . . . . . . . . 282 5.6 Oxygen Implantation in Ge and Ion Beam Mixing . . . . . . . . . . . 283 5.7 Hydrogen-Related Extended Defects in Germanium . . . . . . . . . . 285 5.8 Conclusions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 287 References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 288

Index . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 293

List of Acronyms

AFM bcc BIC BPSG CA CC CDED CMOS CMP CVD Cz DB DC DE DLTS EBIC ELO EOR EPD EPR ESR FA fcc FE FWHM GB GeOI GR HEDG HP HVTEM

Atomic force microscope Body-centered cubic Boron-interstitial complex Borophosphorosilicate glass Cyclic annealing Collision cascade Critical damage energy density Complementary metal oxyde semiconductor Chemical mechanical polishing Chemical vapor deposition Czochralski pulling technique Dangling bond Direct current Dislocation exciton Deep level transient spectroscopy Electron beam induced current Epitaxial lateral overgrowth End of range Etch pit density Electron paramagnetic resonance Electron spin resonance Furnace anneal Face-centered cubic Free exciton Full width half maximum Grain boundary Germanium on insulator Generation-recombination Hydrogen enhanced dislocation glide High purity High-voltage transmission electron microscope

XIV

List of Acronyms

IR LPE LT-MBE LTO MB MBE MD MDD MEMS MHAM MILC ML PC PD PL RBS REDM RHEED rms RP-CVD RT RTA SCC SEG SEM SF SGOI SK SL SME SOI SPER SRH STM TD TDD TED TEM TRIM UHV UHV-TEM VLS VLSI VS VW

Infrared Liquid phase epitaxy Low temperature molecular beam epitaxy Low temperature oxide Matthew–Blakeslee Molecular beam epitaxy Misfit dislocation Misfit dislocation density Mechanical electrical microsystems Multiple hydrogen annealing for heteroepitaxy Metal-induced lateral crystallization Mono layer Photoconductivity Point defect Photoluminescence Rutherford backscattering Recombination enhanced dislocation motion Reflection high energy electron diffraction Root mean square Reduced pressure chemical vapor deposition Room temperature Rapid thermal anneal Space charge cylinder Selective epitaxial growth Scaning electron microscope Stacking fault SiGe on insulator Stranski–Krastanow growth mechanism for epitaxial layers Super lattice Surfactant mediated epitaxy Silicon-on-Insulator Solid phase epitaxial regrowth Shockley–Read–Hall Scanning tunneling microscopy Threading dislocation Threading dislocation density Transient enhanced diffusion Transmission electron microscope Transport of ions in matter Ultra high vacuum Ultra high vacuum transmission electron microscope Vapor–liquid–solid Very large scale integration Virtual substrate Volmer–Weber growth mechanism for epitaxial layers

List of Symbols

aed a0 af aP as b BT c cn cp C Cf Cp Cs CV Cxy d dGB D Da EA EB EC Ed Edc Ed eff Edis Ee EF EG

Average spacing between acceptor states (cm) Lattice parameter (cm) Lattice parameter in the overlay film (cm) Distance between neighbouring Peierls valleys (cm) Lattice parameter of the substrate (cm) Burger’s vector modulus Bulk modulus at constant temperature (dyne cm−2 ) Distance between dangling bonds (cm) Recombination coefficient for electrons (cm) Recombination coefficient for holes (cm) Spacing between dangling bonds Grading rate of a compositional film (% μm−1 ) Specific heat at constant pressure Solid solubility concentration (cm−3 ) Specific heat at constant volume Elastic parameters of the stress tensor (dyne cm−2 ) Bond length (m) Distance between grain boundaries (cm) Diffusion length (cm) Amorphization threshold dose (cm−2 ) Activation energy (eV) Atomic bonding energy (eV) Minimum of the conduction band (eV) Energy level of the dislocation acceptor level (eV) Bond strain energy (eV) Energy level of the dislocation acceptor level (eV) Energy needed to displace a lattice atom (eV) Electrostatic energy of the Coulombic interaction between the occupied DBs (eV) Fermi level (eV) Band gap energy (eV)

XVI

Egl EFSK Ei Ei En E0 ESK Ev EV f fed fm F FD gs gv G Gs G0 G100 h hc he H HKP HV I Ir Is J k K Ks lav ld L L2D m M n0 n na ni

List of Symbols

Activation energy for dislocation glide (eV) Formation energy for a single dislocation kink (eV) Intrinsic activation energy of a dislocation (eV) Interface energy (eV) Dislocation nucleation barrier (eV) Energy level of a neutral dislocation (eV) Activation energy for single kink motion (eV) Activation energy for TD glide (eV) Maximum of the valence band (eV) Frequency (Hz) Fraction of the electrical active dangling bonds Lattice mismatch Electric field (V cm−1 ) Damage fraction Spin degeneracy factor Valley degeneracy factor Electron–hole generation rate (cm−3 s−1 ) Shear modulus (dyne cm−2 ) Conductance at 0 V (mho) Shear modulus in the direction (dyne cm−2 ) Planck’s constant Equilibrium critical thickness (cm) Epilayer thickness (cm) Hardness (kg/mm2 ) Activation enthalpy of kink pair formation (eV) Vickers hardness (kg mm−2 ) Current (A) Thermionic emission current per area (A cm−2 ) Hole saturation current per area (A cm−2 ) Displacement rate (cm−1 s−1 ) Boltzmann constant Curvature of the neutral plane −1 Isothermal compressibility (cm2 dyne ) Misfit dislocation length projected into the (001) plane (cm) Characteristic length of the conductive elements of a dislocation (cm) Average free length of a dislocation (cm) Two-dimensional Debye screening length Empirical factor related to the doping dependence of the dislocation damping Dislocation density in the Frank Read source Rest mass of an electron (g) Electron concentration (cm−3 ) Atomic density of displaced atoms per unit volume Intrinsic carrier concentration (cm−3 )

List of Symbols

nk n0 NA NC NCC Nd ND Nii Nm Nn Np Ns Ns NTD NMDi NV pi pMD P Pe q Q Qd Qi Qv R Rc Rd Rg Rgr Sd t ti ts T TD Tdef Tm TR Ts U vd vth

Number of kinks per unit volume (cm−3 ) Free electron concentration (cm−3 ) Acceptor concentration (cm−3 ) Density of states in the conduction band (cm−3 ) Number of displaced atoms per ion Dislocation density (cm−2 ) Donor concentration (cm−3 ) Number of atoms within a spheroid defined by the longitudinal and transversal straggling of the statistical damage distribution Density of mobile dislocations (cm−2 ) Number of nucleation sites per event Etch pit density (cm−2 ) DB volume density (cm−3 ) Density of lineage boundary dislocations per cm Threading dislocation density (cm−2 ) Misfit dislocation density corresponding with full relaxation Density of states in the valence band (cm−3 ) Hole concentration (cm−3 ) Average spacing between misfit dislocations Pressure (Pa) Applied load (kg) Elementary charge (C) Charge density (e cm−1 ) Activation energy for point defect diffusion (eV) Reverse of internal friction Activation energy for dislocation velocity (eV) Radius of the charge cylinder around a dislocation (cm) Capture radius of a dislocation (cm) Deposition rate (cm s−1 ) Ideal gas constant Grading rate or mismatch per unit thickness Surface recombination velocity (cm s−1 ) Time (s) Incubation time for plastic deformation (s) Substrate thickness (cm) Temperature (K) Debye temperature of Ge (K) Deformation temperature (K) Melt temperature (K) Temperature of the crystallized ingot (K) Substrate temperature (K) Energy of the intra-atomic Coulomb interaction between excess charge and electrons in the neutral charge state of a dislocation Dislocation velocity (cm s−1 ) Thermal velocity (cm s−1 )

XVII

XVIII List of Symbols

V Vbi VDR W Wd Y Y100 Y111 Z

Volume (m3 ) Built in potential of a p–n junction (V) Ratio of an individual cascade volume to the transport cascade volume Vibration energy at maximal strain (eV) Depletion width (cm) Yield stress Young modulus in the 100 direction (dyne cm−2 ) Young modulus in the 111 direction (dyne cm−2 ) Atomic number

List of Greek Symbols

α αe αIR βGB γ γ GB γ SF Δ ε ε´ ε0 εGe η0 θ θt φ ΦB λ μ μh μP ν νe ρ ρ ρ⊥ ρn σd σDC σmicro

Angle between the dislocation line and the Burgers vector Linear expansion coefficient Infrared absorption coefficient (cm−1 ) Ratio of thermionic emission over hole saturation current Gr¨ uneisen parameter Capture rate at a grain boundary Stacking fault energy (erg cm−2 ) Dissociation width of a split dislocation (cm) Strain Strain rate Permittivity of vacuum (F cm−1 ) Dielectric constant of Ge Linear charge density on a dislocation in equilibrium Misfit angle or angle of inclination Twist angle Angle between dislocation line and direction Potental barrier around a charged dislocation Wavelength (cm) Translational displacement −1 Hole mobility (cm2 V s−1 ) Poisson’s ratio Volume density of dislocation segments Energy available for elastic atomic displacements Density of recombination centers on a dislocation line (cm−1 ) Conductivity parallel to the grain boundary Conductivity perpendicular to the grain boundary Areal density of dislocation nucleation sites (cm−2 ) Capture cross section (cm2 ) DC conductance (Ω cm−1 ) Microwave conductance (Ω cm−1 )

XX

τ τa τb τc τC τd τe τexc τfill τi τl τ0 τs τt τu ω ωs

List of Greek Symbols

Applied stress (dyne cm−2 ) Misfit stress driving threading dislocation motion (dyne cm−2 ) Back-stress from neighboring dislocation (dyne cm−2 ) Critical shear stress (dyne cm−2 ) Capture time constant (s) Bulk carrier lifetime (s) Extrinsic lifetime (s) Critical excess stress (dyne cm−2 ) Filling pulse duration (s) Intrinsic lifetime (s) Lower yield point in stress–strain curve (dyne cm−2 ) Actual shear stress needed to keep the dislocation velocity constant (dyne cm−2 ) Shear stress (dyne cm−2 ) Self-stress due to the line tension of the misfit dislocation length (dyne cm−2 ) Upper yield point in stress–strain curve (dyne cm−2 ) Optical Raman frequency Atomic density (at cm−3 )

1 Dislocations in Germanium: Mechanical Properties

1.1 Introduction Germanium, having a face-centered cubic (fcc) diamond lattice, is brittle at room temperature like other Group IV semiconductors. This means that when applying a load on the material, it breaks before it deforms plastically. Gallagher [1], soon followed by some other Groups [2,3], was the first to report that when a stress is applied at high temperatures (in his work above 500◦ C) Ge becomes ductile. In other words, it deforms permanently by glide of dislocations (plastic flow). It was soon discovered that the glide planes were (111), that is, the planes of highest packing density in the structure [1–4], while the slip direction turned out to be [5], the direction of the Burgers vector with modulus b. As will be seen later, the plastic deformation of Ge is determined by the dynamic properties of the dislocations. The fact that Group IV elements are characterized by covalent bonding of four nearest neighbors gives rise to some unique mechanical behavior [6]. It is expected that the intrinsic dislocation or Peierls energy, taking into account the periodic structure of the lattice, is rather high in fcc diamond. This is related to the bonding rearrangement required in the core structure when moving a dislocation from one equilibrium site to another [6–8]. This means that at room temperature a high surface hardness is observed upon indentation, while at the same time the material breaks before it deforms. Another typical behavior is the yielding found in the stress–strain curve under dynamic load, using a constant strain rate ε´ [6]. However, before dealing with the plastic flow of germanium, first, the elastic properties will be summarized and, more specifically, the first- and higherorder elastic constants discussed. They are keys to the understanding of the linear expansion coefficient (αe ) with temperature, which in turn is important for describing thermal stresses that may occur when a temperature gradient is present in the material or when two films with different αe are in contact with each other (epitaxial growth).

2

1 Dislocations in Germanium: Mechanical Properties

In Sect. 1.3, some definitions will be given, and the possible structures of dislocations in the diamond lattice are described. Next, dislocation formation mechanisms are reviewed, followed by some experimental techniques for the observation of dislocations. In Sect. 1.5, the hardness of Ge at room temperature is discussed in the context of plastic flow or high-pressure phase transformation. The plastic flow at higher temperatures is the subject of Sect. 1.6, where a key feature is the velocity and multiplication of dislocations under a shear stress τs . It is well-known that dislocations can attract impurity atoms and point defects due to the elastic strain field surrounding them. This can lead to preferential precipitation and also to an enhanced diffusion along dislocation cores, as outlined in detail in Sect. 1.7.

1.2 Elastic Properties of Germanium This section describes the elastic properties of germanium in terms of the first- and third-order elastic constants. In first paragraph, some basic material properties are defined. Next, the temperature dependence of the linear thermal expansion coefficient of Ge is given and discussed based on the Gr¨ uneisen parameter. In the third paragraph, the temperature dependence of the three first-order elastic constants is given, followed by the behavior of the third-order constants. Finally, the internal friction behavior of germanium is reviewed from which relevant information regarding the elastic properties of the material can be derived. For an introduction to the elastic properties of crystalline solids, the review by Huntington [9], for example, may be consulted. 1.2.1 Definitions The elasticity of a diamond cubic Group IV semiconductor material is described in terms of the first-order elastic constants. In this regime, the strain is linearly dependent on the stress, and the relationship is known as Hooke’s law. The 36 term fourth-order modulus tensor of Si or Ge can, based on symmetry arguments, be reduced to three terms, which are symbolized by C11 , C12 , and C44 and are related to the Young’s modulus in the direction (Y100 ), (Y111 ), and the shear modulus Gs in (G100 ) [10] as follows: C11 = 1/Y100 ,

(1.1a)

C44 = 1/G100 , C12 = 3/2Y111 − 1/2Y100 − 1/2G100 .

(1.1b) (1.1c)

These constants are intimately related to how (acoustic) vibrations are propagated and absorbed in the material. The Young’s moduli are derived from the resonant frequencies in the longitudinal direction, while Gs is the torsion or shear modulus (deformation in the transverse direction of the applied force).

1.2 Elastic Properties of Germanium

3

From an atomistic perspective, Y100 at 0 K can be expressed as being proportional to EB (0)/d3 (0) [11], with EB the atomic bonding energy and d the bond length. The softening of Young’s modulus with higher temperature T can be described by considering the temperature dependence of EB and d, given by [11] T EB (T ) = EB (0) − ⎡

CV (y) dy, 0

d (T ) = d (0) ⎣1 +

T

(1.2a)

⎤ αe (y) dy⎦ ,

(1.2b)

0

with CV (T ) the specific heat or heat capacity at a constant volume and αe (T ) the temperature-dependent linear thermal expansion coefficient or thermal volume expansivity. For Ge, this parameter is found experimentally to be given by [12] αe (T ) = 6.05 × 10−6 + 34.22 × 10−9 (T − 273) −0.35 × 10−12 (T − 273)2 .

(1.3)

This leads to a first-order linear reduction of Y100 with T , described by [11] Y100 (T ) = Y100 (0) [1 − BT ]

(1.4)

and represented in Fig. 1.1. It has been shown that the slope of the temperature dependence Bexp ∼ CV /EB (0), with EB (0) = 2.58 eV for Ge [11] and CV = 3Rg for T ≥ TD , with Rg the ideal gas constant and TD the Debye temperature, which is 360 K for Ge [11]. The leveling-off of Y100 at T low temperatures stems from the smaller CV (y) dy values because of the 0

T 3 approximation at low temperature [13–17]. It has been demonstrated that Y100 (T )/Y100 (0) = [ω(T )/ω(0)]2 , with ω the optical Raman frequency, establishing a direct link between the thermally driven softening of the elasticity and bond expansion and vibration in the Ge lattice [11]. From the three first-order elastic constants, one can derive the compressibility Ks and Poisson’s ratio μP [9]. For small pressures (P ) in cubic crystals, one finds for the isothermal compressibility or the inverse bulk modulus BT −1 BT−1 = Ks = − [∂V /∂P ]T /V = 3 (C11 + 2C12 ) ,

(1.5)

with V the atomic volume. This parameter increases with increase in temperature. The Poisson’s ratio μP is given by [9] μP = −C12 /C11

(1.6)

4

1 Dislocations in Germanium: Mechanical Properties 1.01

1

Y100 (T )/Y100 (0)

0.99

0.98

0.97

0.96 Theory 0.95

Ge (Fine data [10])

0.94 0

0.1

0.2

0.3

0.4

T/Tm

Fig. 1.1. Agreement between calculated and measured temperature dependence of Young’s modulus for Ge, based on the model of (1.1)–(1.3). Tm is the melt temperature. The experimental data are from [10] (after [11])

and is nearly temperature-independent [10]. An important parameter related to the temperature dependence of the thermal expansion coefficient is the Gr¨ uneisen parameter γ [10, 18–19], used to describe the anharmonic properties of solids, defined by the relation [10] γ = αe 3V /Ks CP = αe 3V /Kt CV ,

(1.7)

with CP the heat capacity at constant pressure. Normally γ is only weakly temperature-dependent in the low temperature range and in the case of Ge uneisen parameter is equal to 0.65 at −173◦C and 0.74 at −73◦ C. The Gr¨ lower for both Si and for compound semiconductors such as GaAs, InAs, and InSb. In most cases there exists a correlation with the Debye temperature of the material. The atomic volume can be calculated based on the lattice parameter, which has been determined as a20◦ C = 5.65748 ˚ A at 20◦ C, while −3 ◦ the density is 5.3234 ± 0.00025 g cm at 25 C [11]. 1.2.2 Linear Expansion Coefficient of Ge The expansivity of Ge at low temperatures has been studied by several groups [18–26]. One of the striking features, obvious in Fig. 1.2, is the fact that αe becomes negative for a reduced temperature T /TD = 0.04. Below T /TD = 0.04 again positive αe is obtained, which can be described by a T 4 dependence [19]. This anomalous behavior of αe for several diamond-like materials can be understood within the scope of the quasi-harmonic oscillator model in terms of

1.2 Elastic Properties of Germanium

5

Linear Expansion Coefficient (10 -8K-1)

1 0 -1 -2

Si L0=13.65 cm

Ge L0=6.99 cm

-3 -4 -5 -6 -7

0

10

20

30

40

Temperature (K)

Fig. 1.2. Linear thermal expansion-coefficient data for Ge and Si (after [19])

Gruneisen Parameter

0.7

Brugger and Fritz

0.5

Philip and Breazeale

0.3

Philip and Breazeale

0.1

Thermal Expansion data Brugger and Fritz -0.1

Thermal expansion

-0.3 0

0.2

0.4

0.6

T/ 375 K

Fig. 1.3. Gr¨ uneisen parameter of germanium plotted as a function of the reduced temperature. The Brugger and Fritz method has been described in [18], and the thermal expansion data come from [19] (after [26])

the temperature dependence of the Gr¨ uneisen parameter [26–28]. The latter represents the strain derivative of the lattice vibration frequencies. Several experimental and theoretical estimates of γ have been performed in the past, which are summarized in Fig. 1.3 [26]. From this, it is clear that there is still insufficient theoretical understanding to explain the negative γ derived from

6

1 Dislocations in Germanium: Mechanical Properties

Young's and Shear Moduli (1011dyne/cm2)

18 Y111

16 14

Y110 12 10 Y100 8 6

G100

4

Burenkov Fine

2

McSkimin

0 0

200

400

600

800

1000

1200

Temperature (K) Fig. 1.4. Temperature dependences of Young’s (Y111 ), Y100 and Y100 and shear (G100 ) moduli. Results reported by [10, 32, 33, 37] (after [37])

thermal expansion. It should be remarked that αe is also slightly depending on the doping density, increasing with hole concentration in p-type Ge [29]. 1.2.3 The First-Order Elastic Constants The first-order elastic constants have been measured at both low [9, 30–35] and high temperatures [36–38]. It was found that they reduce in the first instance linearly with increasing temperature [36–38], as shown in Fig. 1.4 [37]. This is in agreement with the theory of anharmonicity of crystals. Values for C11 , C12 , and C44 at 25◦ C and for some other mechanical properties of Ge are summarized in Table 1.1 [39]. As theoretically predicted by Keyes [40], the elastic constants of Ge also depend on the doping concentration [41–43]. This is shown in Fig. 1.5 for the case of heavy n-type doping [41]. The reduction of C44 in Fig. 1.5 is related to the electronic contribution to the strain energy function. Since the elastic constants are the strain derivatives of the free energy of the crystal, it is assumed that heavy doping reduces the electronic contribution to the latter. Based on this hypothesis, a value for the shear deformation potential constant of 17.0 ± 0.2 eV was derived from experiments on heavy n-type material [42]. A similar value was obtained at liquid nitrogen temperature, while it was 19.2 eV at 4.2 K [42].

1.2 Elastic Properties of Germanium

7

Table 1.1. Mechanical properties at 300 K of silicon and germanium

[100] Young’s modulus (1011 dyne cm−2 ) [100] Poisson’s ratio Bulk modulus (1011 dyne cm−2 ) Shear modulus (1011 dyne cm−2 ) Hardness (Mohs) Density (g cm−3 ) C11 (1011 dyne cm−2 ) C12 (1011 dyne cm−2 ) C44 (1011 dyne cm−2 )

Silicon

Germanium

13.0 0.28 9.8 5.2 7 2.329 16.60 6.40 7.96

10.3 0.26 7.13 4.1 6 5.323 12.60 4.40 6.77

6.85 Pure Ge

C44 (10 11 dyne/cm2)

6.75

6.65

6.55

6.45

3.5x1019 donors

6.35 0

100

200

Temperature (K)

Fig. 1.5. The temperature dependence of C44 in pure and heavily doped n-type germanium (after [41])

1.2.4 Third-Order Elastic Constants Studies have also been performed of the third-order elastic constants [26, 42, 44–49]. These are related to the anharmonicity of the crystal, in other words, the nonlinearity of the interatomic forces with respect to atomic displacements. The third-order constants are important for some material properties like the thermal expansion, thermal conductivity, crystal stability, and fracture [46]. There are in total six independent third-order elastic constants in a cubic crystal called C166 , C112 , C111 , C456 , C144 , and C123 . Again, there

8

1 Dislocations in Germanium: Mechanical Properties

Elastic Constants (1012 dyne/cm2)

0

-2

C166

-4

C112

-6 C111

-8

-10 0

40

80

120

160

200

240

280

Temperature (K)

Fig. 1.6. Temperature variation of the third-order elastic constants C111 , C112 , and C166 of germanium (after [26])

exist heavy-doping effects, whereby, for example, the sign of C456 reverses at 3 × 1019 cm−3 As doping [42]. The temperature dependence of the third-order constants is represented in Figs. 1.6 and 1.7 [26]. As can be seen from Fig. 1.7, C123 and C144 exhibit the highest temperature variation, with a positive value at very low temperature. Some local-density-functional approximation calculations of the third-order elastic constants have also been reported [50, 51]. 1.2.5 Internal Friction of Ge One way of obtaining more information on the elastic properties of crystals is by investigating the internal friction (Q−1 i ), which can be regarded as the resistance against motion. In practice, internal friction measurements monitor the rate of energy dissipation of crystals undergoing forced periodical vibrations, at small strain levels and in the kilohertz to megahertz frequency range typically (acoustic regime) [52–54]. Such experiments must be performed under good vacuum to avoid energy dissipation by in-diffusing contaminants [53]. If one applies an alternating stress, the vibrational energy ΔW dissipated per cycle relative to W , the total stored vibrational energy at maximal strain, is determined. It has been assumed that this dissipation can happen through two different mechanisms: (1) by viscous motion of dislocations; (2) by stressinduced diffusive motion of point defects [53]. The observation that at the

1.2 Elastic Properties of Germanium

9

2.4

Elastic Constants (1012 dyne/cm2)

2 1.6 1.2 0.8 0.4 0

C456

-0.4 C144

-0.8 -1.2 -1.6

C123

-2 -2.4 0

40

80

120

160

200

240

280

Temperature (K)

Fig. 1.7. Temperature variation of the third-order elastic constants C123 , C144 , and C456 of germanium (after [26])

dynamic yield point the internal friction showed an abrupt increase has been interpreted in favor of the first mechanism [52]. Early experiments on lowly-doped n-Ge indicated a relaxation peak at 382◦ C. In deformed crystals, however, no such peak was observed [53]. While it was first suggested that this feature is due to a vacancy drag relaxation in the impurity atmosphere of dislocations, this was soon after questioned by Southgate [55]. He expects from theory that dislocations will affect the internal friction in deformed samples at higher temperatures, with activation energy in the range 1.2 eV. In practice, such a behavior was found above 500◦ C, showing an activation energy of 1.1 eV [55]. At the same time, the ultrasonic attenuation in germanium in the 20–300 MHz range was ascribed to the damped forced oscillation of dislocation segments [56]. The matter of the origin of the 400◦ C internal friction peak at f = 100 kHz, shown in Fig. 1.8, has been finally settled by Gerk and Williams [57]. It has been ascribed to the acoustic–electric effect and refers to the development of a dc electric field along the direction of propagation of a traveling acoustic wave in a medium containing mobile charges. The response time of the charges to the perturbation is thought to be composed of an intrinsic, temperature-activated lifetime, τi , and an extrinsic lifetime, τe , of the form of the Shockley-Read-Hall type. An activation energy of 1.1 eV for Ge has been derived [53, 57–59], suggesting that Auger recombination is the origin of the intrinsic recombination at ∼400◦ C [57]. This interpretation was further supported by the fact that as the resonance frequency is changed, the temperature

10

1 Dislocations in Germanium: Mechanical Properties 0.001

Internal Friction Loss

40 Ω cm Ge 50 kHz

deformed

0.0001

undeformed

0.00001 1

1.1

1.2

1.3

1.4

1.5

1.6

1.7

103/Temperature (K-1)

Fig. 1.8. Typical internal friction data of 40 Ω cm germanium at 50 kHz (after [57])

dependence of the peak height is that of the charge carrier density. Moreover, the peak height and location are independent of the type and concentration of impurities, except for very high doping densities and is also independent of the dislocation density Nd [57]. As can be noted in Fig. 1.8, the logarithmic decrement δ (or internal friction loss) increases beyond the 400◦C peak, especially for the deformed sample [57]. The loss increases proportionally with Nd and inversely proportional with f , according to the empirical relationship  m N d δ0 n0 δ= exp (Ei /kT ) (1.8) f ni for a strain amplitude in the range 10−6 –10−5 . In (1.8) δ0 is a constant in the −1 range 0.1–10 cm2 s for Ge [57] and m is an empirical factor slightly higher than 2 and representing the n-type doping dependence of the dislocation damping. For an electron concentration n0 < 1017 cm−3 (108 cm−2 ), the etch pits start overlapping, so that counting individual dislocations becomes impossible. In that case, one has to rely on TEM. Etching techniques have also often been utilized to measure the velocity of individual dislocations [6], as will be detailed later. While the etching technique is fast and convenient, there are some drawbacks as the error on Nd can be quite large. Normally, by etching one will determine a lower limit of the true Nd – one reason being that dislocations running parallel to the surface will not generate a pit. This uncertainty partly

20

1 Dislocations in Germanium: Mechanical Properties

explains the difficulties encountered in quantifying other dislocation properties like the density of electronic states [74,75]. Some theoretical studies have been performed regarding the dislocation density at the surface and the dislocation length per unit volume [108]. At the same time, empirical correction factors between the dislocation and etch pit density have been reported [109]. In the case of the Billig etch pits with density Np , a correction factor of 1.5 has been determined in the range 4 × 107 cm−2 ≤ Np ≤ 2 × 109 cm−2 [109]. This was done on a statistical base by comparing with TEM dislocation densities. The current interest in Germanium-on-Insulator (GeOI) and epitaxial layers on Si has stimulated research in novel and/or optimized etching recipes for attacking thin ( Nu . Moreover, at lower deformation temperatures (∼500◦ C), a higher mobile dislocation density is observed, requiring a higher τu to maintain the imposed strain rate. This higher stress is sufficient to trigger glide in a secondary glide plane. On the other hand, at T = 650◦ C, slip is confined to the primary system, explaining the lower dislocation densities. Further increasing the deformation temperature facilitates climb to form walls (polygonization) [229]. The mobile dislocation density also depends on the strain rate, with higher values for higher ε´. The same applies for τu and τl [229].

1.6 High Temperature Plasticity of Germanium

47

0.2 6

5

Stresss (kg/mm2)

T = 930⬚C

Stress (kg/mm2)

0.15

T = 500⬚C

4

3

2

1

0.5 %

0

0.1



Crosshead Displacement

0.05

0.25 %

0

Crosshead Displacement Fig. 1.40. Deformation chart of initially dislocation-free germanium. T = 930◦ C. Crosshead velocity is 0.008 m min−1 . For comparison the inset shows a curve at 500◦ C. Note that the yield drop is about the same (after [229])

The upper yield point is a strong function of the grown-in dislocation density as demonstrated in Fig. 1.42 [230]. While dislocation-free Ge has a high τu , leading to fracture (brittle behavior) before easy flow sets in, the yield point may even be absent for a high Nd material, which, therefore, exhibits ductile behavior. The lower yield stress is less sensitive to Nd [6]. Also the surface preparation plays a role: etched material shows a higher yield maximum than samples with a rough surface [230]. This demonstrates the role of surface sources in the multiplication of dislocations. On the other hand, the crystal orientation has no clear impact on the upper yield stress, as evidenced by Fig. 1.43 [231]. Finally, also the doping density affects the yield point [188]: as shown in Fig. 1.44, τu is higher for highly p-type doped Ge, compared with intrinsic material, while the opposite holds for highly As-doped n-Ge. This is in line with the trend in the dislocation velocity shown in Fig. 1.31: it takes a higher stress to provide the same v for a dislocation in p+ material, that is, to match with the imposed strain rate. It should be reminded that in the yield maximum the effective strain rate equals the one imposed by the machine [6]. Under certain conditions of temperature and deformation rate, high doping effects do show up in the dynamic deformation curves of Ge, as illustrated by

48

1 Dislocations in Germanium: Mechanical Properties

10

Shear Stress (kg/mm2)

τu 0.66 eV

τl

1

0.63 eV

0.55 eV

0.1

0.01

0.8

1

0.9

1.1

1.2

1.3

103/Temperature (K-1) Fig. 1.41. Resolved shear stress τ against 1/T for initially dislocation-free germanium deformed at a cross-head velocity of 0.08 m min−1 (after [229]) 16 Ge [001] Dislocation free

L9

14

18

12

Stress (kg/mm2)

18 50/cm2 L126 103/cm2 L14 104/cm2

L9

10 8

L126

L29 L18

3x105/cm2 4x107/cm2

L58

4x107/cm2

L14

L29

6 4

L18 L58

2 0 0

1

2

3

4

5

6

7

Crosshead Displacement (%)

Fig. 1.42. Influence of dislocation density showing transition from brittle to ductile behavior in [001] germanium (T = 565◦ C). Crosshead velocity is 5×10−2 cm min−1 . Initial slopes adjusted for K = 200 kg mm−1 (after [230])

1.6 High Temperature Plasticity of Germanium

49

2

Log (Upper Yield Stress)

1.5

1

0.5

0



-0.5

-1 8

7

9

10

11

13

12

14

104/Temperature (K-1)

Fig. 1.43. Dependence of the upper limit of the yield point on the temperature for specimens of three orientations (after [231]) 20 σm

T = 515ºC

18 16

p-type Ge

Stress (kg/mm2)

14 º

12 Intrinsic 10 8 6 σF

4 2

n-type Ge

0 0

1

2

3

4

5

6

7

Crosshead Displacement (%)

Fig. 1.44. Effect of p- and n-type Ge impurities on the stress–strain behavior of dislocation-free Ge T = 515◦ C. The crosshead velocity is 0.005 cm min−1 (after [230])

50

1 Dislocations in Germanium: Mechanical Properties 10 pure Ge As doped Ge

dynamic deformation

Lower Yield Stress (kg/mm2)

static deformation 500ºC

600ºC

1

C

B

800ºC A

900ºC

0.1 0.01

0.1

1

10

100

Strain Rate (10-3s-1)

Fig. 1.45. Lower yield stress vs. strain rate for n-doped Ge (1.3 × 1019 As cm−3 ). Broken lines are for pure Ge (after [232])

Fig. 1.45 [232]. This is particularly true in highly n-type doped Ge and for high temperatures and low ε´. As can be seen in Fig. 1.45, three deformation regimes can be distinguished with respect to the lower yield stress. In region A, microcreep of the dislocations is believed to occur, whereby the dislocations move along with their impurity atmospheres. In region B, localized pinning and de-pinning takes place at a more or less constant stress (unpinning stress). Region C is the “normal” regime, described earlier [230]. Both in regime A and C, the dislocation velocity is thermally activated and increases proportionally with stress, although the thermal activation energy is higher in A than in C [232]. The effect of a high doping density on the dislocation velocity is thought to originate from a change in the Peierls potential most likely through a change in the dislocation charge, which in turn gives rise to a change of the Fermi energy with doping (this is a nonlocal doping effect). Besides that, also local effects can occur, related to dislocation pinning. Both elastic and electrostatic interactions between charged point defects and dislocations may contribute to the pinning [232]. The yield drop from τu to τl can be explained by two mechanisms. Like in the case of metals, one assumes that the dislocation first has to break free from an impurity (Cottrell) atmosphere before it starts to move. This occurs at τu , after which a strong increase of v takes place and, hence, one needs a

1.6 High Temperature Plasticity of Germanium

51

lower stress to deform the material. As shown in Fig. 1.45, such unpinning can be found for highly n-doped Ge [232]. The other possibility is that at τu a strong increase (multiplication) of the dislocations takes place. Regarding the relationship between τ and v, which is empirically of the form v ∼ τ m , the unpinning model requires m to be very high (→ ∞ [184]). For small m, an increase in Nm will produce a reduction in v according to (1.17), which results in a strong drop in the required τ to produce a constant strain rate ε´. While initial work was interpreted in the frame of the unpinning theory [233–235], it was soon discovered that this is incorrect for lowly doped Ge [184, 229, 232, 236, 237]. This is evidenced by preloading experiments, like in Fig. 1.46 [230] or by annealing after or prior to deformation and (re)loading [184, 231, 236]. The results of Fig. 1.46 convey us that a predeformation, which introduces a high dislocation density, lowers the yield point or even makes it disappear in the case of Fig. 1.46a. On the other hand, a high temperature postdeformation anneal does not restore the yield point either [223,229]. This means that point defects that may be introduced during annealing and captured by the dislocations in the material do not pin the latter. Similarly, annealing prior to loading does not change too much the yield point [235]. In other words, the Cottrell mechanism cannot explain the incubation time in the creep curve nor the occurrence of a yield point. It was in fact in situ demonstrated that dislocations begin to glide in the early (linear) stages of the stress–strain curve, before the peak is reached [236]. According to Patel and Chaudhury [188], dislocation generation begins at 25–30% of τu . The simple picture emerging from the available data is then as follows: initially, the low density of grown-in dislocations glide with a high velocity, increasing with increasing stress. From a certain point on, multiplication occurs (the number of mobile dislocations Nm increases), which will lead to a reduction in the average v. A significant drop in velocity occurs beyond the upper yield point, while the density of mobile dislocations keeps on increasing [6]. It should also be remarked that the inflection point of the creep curve corresponds to the lower yield point and indicates the optimized character of both states [6]. Beyond the easy glide regime, work hardening occurs, whereby the [111] orientation hardens the most compared with [100] and [110] [234]. Different stages have been identified, as reported in the literature [6, 236, 237]. Further details about the early work on the plastic deformation of Ge can be found in [239–243]. It was only in the late seventies that another unique feature of covalent semiconductors was discovered [244–246], namely the occurrence of a stage IV and V in the τ (ε) curve, illustrated by Fig. 1.47 [244]. Stage IV corresponds to a second stage of linear hardening, followed by a new recovery stage (V). These phenomena are observed at deformation temperatures above 0.8Tm [245] and for not too high strain rates. The onset of stage IV is defined by the point of inflection in Fig. 1.47, denoting the end of the recovery stage III, where it is believed that the climb of edge type dislocations out of pile-ups and the

52

1 Dislocations in Germanium: Mechanical Properties 8

Ge [001] 7

Stress (kg/mm2)

6

5

4

3

2

1

a 0 0

1

2

3

4

5

6

7

8

Crosshead Displacement (%) 8

Ge 7

Stress (kg/mm2)

6

5

4

3

2

1

b 0 0

1

2

3

4

5

6

7

8

Crosshead Displacement (%)

Fig. 1.46. Effect of pre-strain on the deformation of dislocation-free germanium with different orientations. T = 615◦ C and crosshead velocity is 5 × 10−2 cm min−1 (after [230])

1.6 High Temperature Plasticity of Germanium T=840ºC

53

2.4x10-2s-1 1.2x10-2s-1

2

Stress (103 N/m2)

2.4x10-3s-1 2.4x10-4s-1

1

τV Φ ΙV

τ III

τ IV

Φ III

Φ II 0 0

0.1

0.2

0.3

0.4

0.5

0.6

0.7

Strain

Fig. 1.47. τ (ε) curves of germanium, measured for four different strain rates at the same temperature of 840◦ C. A stage IV is found for the two smaller strain rates (after [244])

jog dragging of screws yield a softening of the material [244]. At the end of stage IV, a stress τV may be defined at which the slope dτ /dε deviates by 1% from the constant slope θIV . The shear stress at the beginning of stage V has values between 0.25 and 0.5. It has also been found that the deformation in these new stages is carried by the main slip system [244]. The origin of these high-temperature deformation stages are related to the relative ease of cross slip and climb in materials with a fairly low stacking fault energy [246]. First, dynamic recovery by climb removes the edge dislocations and forms screws in the main slip plane. These screws form stable hexagonal nets, with the screws in the main slip plane and further work hardens the crystal. Still higher stresses in stage V are required to initiate their dissolution by cross-slip. Quite recently, the mechanical strength of Ge1−x Six bulk crystals has been investigated over a broad range of compositions (x = 0–0.4) [247], yielding the upper yield stress data of Fig. 1.48. It appears that the mechanical strength of the alloy increases for higher Si content and is expected to reach a maximum value somewhere around x = 0.5. It was furthermore observed that the yield stress of Ge1−x Six alloys is temperature insensitive at higher temperatures, showing that the flow stress of an alloy semiconductor has an athermal component that is absent in elemental or compound semiconductors [247]. It is proposed that local fluctuation of the alloy composition and

54

1 Dislocations in Germanium: Mechanical Properties 40

Yield Stress (Mpa)

30

20

10

0 0

0.5

1

Si Content

Fig. 1.48. Yield stresses of Ge1−x Six alloys plotted against the composition for deformations at 900◦ C under a strain rate of 1.8 × 10−4 s−1 (after [247])

the dynamic development of a solute atmosphere around dislocations cause the strengthening of bulk Ge1−x Six alloys at elevated temperatures.

1.7 Impact of Dislocations on Dopant Diffusion There is ample evidence in the literature that dislocations and grain boundaries form a preferential site for dopant diffusion. Interstitial impurities like Cu and H will be attracted by the dilatation regions below the extra half-plane (see Fig. 1.9), which will be a region of enhanced mobility (transport). The impact of dislocations on the diffusion of interstitial copper has been theoretically treated by Sturge [248], whereby the role as a vacancy source or sink is highlighted. Vacancies can be created by nonconservative motion or climb of a jog. The number of jogs on grown-in dislocations in Ge was estimated to be ∼500 cm−1 . It turns out that the radius of vacancy annihilation for a dislocation is in the range of 10−8 cm [249]. Besides acting as a potential source of vacancies and enhanced (self-) diffusion, dislocations also interact with impurities via the elastic strain field surrounding the core. In this way, an impurity or Cottrell atmosphere can form, which may affect the electrical properties of a dislocation (see Chap. 2). For a detailed overview of the interaction mechanisms between point defects and dislocations, the Reader is referred to the review by Bullough and Newman [249].

1.7 Impact of Dislocations on Dopant Diffusion

55

A simple expression for the interaction energy of a solute atom with atomic radius r(1 + δ) in a host with radius r is given by [250] EI =

4 1 + μP sin ατ Gs δr3 b , 3 1 − μP R

(1.23)

with R the distance between the solute atom from the dislocation center and α is the angle between R and the slip plane. It is clear from (1.23) that EI is positive for positive δ and for 0 < α < π. In other words, a large solute atom is therefore repelled from the upper side of a positive dislocation (Fig. 1.9) and is attracted to the lower part, with a wider spacing between the atoms (dilatation region). This is the driving force for the segregation of solute atoms and point defects [251] around dislocations [250] and forms the basis of “gettering” by extended defects in covalent semiconductor materials. This preferential interaction may not only immobilize the impurities around a dislocation but can also pin the latter [252]. This has, for example, been demonstrated in heavily doped n-(2.8 × 1019 cm−3 As) and p-type (2.5 × 1019 cm−3 Ga) Ge, where thermally induced dislocation glide was investigated. It turned out that in p-Ge the glide was much higher than in n-type material: no glide was found at 600 or 650◦ C annealing in n-Ge, while it was observed for p-type material [252]. However, this could not be ascribed to an elastic interaction, as both Ga and As have similar radii. To explain the observations, it was assumed that the fifth valence electron of As forms a covalent bond with the three-bonded Ge atoms in the dislocation line (chemical interaction) [252]. Considering a pinning energy of 1 eV, the average distance between the pinning points at a donor concentration of 2.8 × 1019 cm−3 was in the range of 147 nm. At temperatures of 700◦ C and above, dislocation glide was also observed in heavily As-doped Ge, suggesting that diffusion of As atoms away from the dislocations allows thermally induced glide. The impact of plastic deformation on self- and dopant diffusion has been the subject of a number of studies [253–261]. Using the radio-tracer technique, the apparent self-diffusion coefficient of Ge71 was shown to be 38% higher near 740◦ C for a dislocation density of 2 × 106 cm−2 [252], compared with dislocation-free Ge. Mixed results were obtained for Group III and V substitutional dopant elements [254–261]. In some studies, no effect was found by the presence of dislocations within the measurement accuracy on the diffusion of Sb [254,255] or In [254]. Panteleev observed an increase in the diffusion coefficient of In in n-Ge [256], whereby the pre-factor D0 was enhanced according to the formula 8ADVol , (1.24) Ddisl = π 2 r02 with DVol the diffusion coefficient in bulk Ge (volume) and r0 the effective radius of the dislocation core. A is a proportionality factor. At the same time, it was found that the activation energy of the diffusion coefficient remained unchanged [256].

1 Dislocations in Germanium: Mechanical Properties

D deform /D anneal

56

2

Ga

Sb

1 0

2

4

6 -6

8

-1

Strain Rate (10 s )

Fig. 1.49. Ratio of the diffusion coefficients of Ga and Sb during plastic deformation (Ddeform ) and annealing (Danneal ) vs. strain rate at 920◦ C. (after [260])

For temperatures >400◦ C (In) or 450◦ C (Sb), an anomalously high diffusivity was found for Ge heated by a current and, hence, with an electric field [257]. An activation energy of 0.6 eV (Sb) or 1.2 eV (In) for T < 600◦C was derived experimentally. Above 600◦ C, the activation energy became equal for both impurities (1.2 eV). This high diffusivity under an electric field was ascribed to the presence of dislocations, although no quantitative correlation was established [257]. Perhaps the clearest example of the enhancement of dopant diffusion in deformed Ge is shown in Fig. 1.49 [260]. It was suggested by Grabiel and N¨agerl [260] that in earlier experiments [255], the deformation rate was too small to generate a diffusivity enhancement. It was also concluded that the effect could not be explained based on mechanical (strain) arguments. However, for In and Al no increase was found for the diffusivity under plastic deformation, while for As, a maximum enhancement by a factor of 2.5 was observed when the p-type doping density was low (1015 Ga cm−3 ) [260]. A theoretical treatment of the diffusion along single dislocations was developed by Stark [261]. The preferential diffusion of dopants along grain boundaries is well established. Some early observations for Sb in Ge were reported by Karstensen [262]. A final effect is the impact on the alloying of In to Ge, with the purpose of making Ohmic contacts. It was concluded that the presence of dislocations leads to the enhancement of the dissolution of In in Ge, resulting in a smaller spread of the indium [263].

1.8 Conclusions

57

1.8 Conclusions The plastic behavior of covalent semiconductors is now reasonably well understood, based on extensive studies performed in the fifties and sixties. The reason for this interest were the unique mechanical properties, on the one hand (a high Peierls energy; a flow stress higher than the fracture stress at room temperature; the unique yield behavior with an upper yield point; the brittle to ductile transition at ∼0.6Tm; etc.). On the other hand, Ge and Si have long time been considered ideal materials to gain information on basic dislocation properties in a wider class of materials, that is, fcc metals. The reasons are that Ge can be grown essentially dislocation free; a high-temperature deformation state can be frozen in when cooled under a load and sample preparation for TEM preserves in the first instance the dislocation configuration. Consequently, reliable semi-empirical models for the creep and stress– strain curves have been established by the end of the sixties, which are still the basis of today’s analysis. It largely relies on a good understanding of the dislocation velocity and multiplication mechanisms. However, the early seventies revolutionized the thinking on dislocations by the weak-beam TEM observations of the splitting of dislocations along most of their length. This gave a clue on the nature of the pinning points, hindering the lateral motion of kinks along the line and a better understanding of the basics of the dislocation velocity. Currently, work is still done along these lines, albeit on a much smaller scale than in the early days, using, for example, in situ TEM observations of dislocation movement. However, one of the key questions is still not resolved, namely, whether the dislocations in Ge belong predominantly to the glissile or the sessile set. The interest in the seventies was turned more to the electrical activity and deep level structure of dislocations in germanium, which will be dealt with in Chap. 2. The main question there is whether dangling bonds exist in the core of (partial) dislocations or if the bonds are reconstructed, leading to no deep levels in the band gap. After more than 40 years of study, the nature of plastic deformation of Ge (and Si) at room temperature under indentation remains still a matter of controversy. It is clear that both phase transformation, leading to a ductile metallic phase under load and dislocation formation and glide, contribute to the indentation damage, the exact details depending largely on the shape of the indenter. Currently, one may expect a revival of dislocation (formation) studies in germanium, in particular, with the epitaxial deposition of thin films on Si, SiGe, etc., and the preparation of Ge-on-Insulator (GeOI) substrates. Moreover, processing-related stress (film-edge stress, germanides, etc.) may also generate dislocations when the upper yield stress of germanium is exceeded.

58

1 Dislocations in Germanium: Mechanical Properties

References 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. 16. 17. 18. 19. 20. 21. 22. 23. 24. 25. 26. 27. 28. 29. 30. 31. 32. 33. 34. 35. 36. 37. 38. 39. 40. 41. 42. 43. 44.

C.J. Gallagher, Phys. Rev. 88, 721 (1952) R.G. Treuting, J. Metals 4, 1044 (1952) L. Graf, H.R. Lacour, K. Seiler, Z. Metallkde. 44, 113 (1953) W. Bardsley, R.L. Bell, Acta Met. 4, 445 (1956) R.G. Treuting, J. Metals 7, 1027 (1955) H. Alexander, P. Haasen, Solid State Phys. 22, 27 (1968) R. Labusch, Phys. Stat. Sol. 10, 645 (1965) H. Suzuki, J. Phys. Soc. Jpn. 18(Suppl I), 182 (1963) H.B. Huntington, Solid State Phys. 7, 213 (1958) M.E. Fine, J. Appl. Phys. 24, 338 (1953) M. Gu, Y. Zhou, L. Pan, Z. Sun, S. Wang, C.Q. Sun, J. Appl. Phys. 102, 0835241 (2007) H.P. Singh, Acta Cryst. A 24, 469 (1968) P.H. Keesom, N. Pearlman, Phys. Rev. 91, 1347 (1953) P. Flubacher, A.J. Leadbetter, J.A. Morrison, Philos. Mag. 4, 273 (1959) P.M. Marcus, A.J. Kennedy, Phys. Rev. 114, 459 (1959) P.H. Keesom, G. Seidel, Phys. Rev. 113, 33 (1959) C.A. Bryant, P.H. Keesom, Phys. Rev. 124, 698 (1961) K. Brugger, T.C. Fritz, Phys. Rev. 157, 524 (1967) P.W. Sparks, C.A. Swenson, Phys. Rev. 163, 779 (1967) D.F. Gibbons, Phys. Rev. 112, 136 (1958) S.I. Novikova, Sov. Phys. Solid State 2, 37 (1960) J.G. Collins, Philos. Mag. 8, 323 (1963) R.D. McCammon, G.K. White, Phys. Rev. Lett. 10, 234 (1963) A. Bienenstock, Philos. Mag. 9, 755 (1964) R.H. Carr, R.D. McCammon, G.K. White, Philos. Mag. 12, 157 (1965) J. Philip, M.A. Breazeale, J. Appl. Phys. 54, 752 (1983) W.B. Daniels, Phys. Rev. Lett. 8, 3 (1962) R.T. Payne, Phys. Rev. Lett. 13, 53 (1964) V.V. Zhdanova, Sov. Phys. Solid State 5, 2450 (1963) M.E. Straumanis, E.Z. Aka, J. Appl. Phys. 23, 330 (1952) W.L. Bond, W.P. Mason, H.J. McSkimin, K.M. Olsen, G.K. Teal, Phys. Rev. 78, 176 (1950) H.J. McSkimin, J. Appl. Phys. 24, 988 (1953) M.E. Fine, J. Appl. Phys. 26, 862 (1955) H.J. McSkimin, P. Andreatch Jr., J. Appl. Phys. 34, 651 (1963) F. Stein, N.G. Einspruch, R. Truell, J. Appl. Phys. 30, 1756 (1959) G.S. Baker, L.M. Slifkin, J.W. Marx, J. Appl. Phys. 24, 1331 (1953) Y.A. Burenkov, S.P. Nikanorov, A.V. Stepanov, Sov. Phys. Solid State 12, 1940 (1971) P.A. Maksimyuk, Yu.V. Ushankin, Sov. Phys. Semicond. 2, 372 (1968) http://www.ioffe.rssi.ru/SVA/NSM/Semicond, 22 April 2007 R.W. Keyes, IBM J. 5, 266 (1961) J.L. Bruner, R.W. Keyes, Phys. Rev. Lett. 7, 55 (1961) J.J. Hall, Phys. Rev. 137, A960 (1965) C.K. Kim, M. Cardona, S. Rodriguez, Phys. Rev. B 13, 5429 (1976) T. Bateman, W.P. Mason, H.J. McSkimin, J. Appl. Phys. 32, 928 (1961)

References 45. 46. 47. 48. 49. 50. 51. 52. 53. 54. 55. 56. 57. 58. 59. 60. 61. 62. 63. 64. 65. 66. 67. 68. 69. 70. 71. 72. 73. 74. 75. 76. 77. 78. 79. 80. 81. 82. 83. 84. 85. 86. 87. 88. 89. 90.

59

H.J. McSkimin, P. Andreatch Jr., J. Appl. Phys. 35, 3312 (1964) E.H. Bogardus, J. Appl. Phys. 36, 2504 (1965) W.T. Yost, M.A. Breazeale, Phys. Rev. B 9, 510 (1974) J.A. Bains Jr., M.A. Breazeale, Phys. Rev. B 13, 3623 (1976) M. Nandanpawar, S. Rajagopalan, Phys. Rev. B 19, 3130 (1979) O.H. Nielsen, R.M. Martins, Phys. Rev. B 32, 3792 (1985) S. Wei, D.C. Allan, J.W. Wilkins, Phys. Rev. B 46, 12411 (1992) G.S. Baker, L.M. Slifkin, J.W. Mark, J. Appl. Phys. 24, 1331 (1953) J.O. Kessler, Phys. Rev. 106, 646 (1957) J.O. Kessler, Phys. Rev. 106, 654 (1957) P.D. Southgate, Phys. Rev. 110, 855 (1958) A. Granato, R. Truell, J. Appl. Phys. 27, 1219 (1956) A.P. Gerk, W.S. Williams, J. Appl. Phys. 53, 3585 (1982) F. Calzecchi, P. Gondi, S. Mantovani, Il Nuovo Cimento 54B, 165 (1968) F. Calzecchi, P. Gondi, S. Mantovani, J. Appl. Phys. 40, 4798 (1969) B.M. Mecs, A.S. Nowick, Appl. Phys. Lett. 8, 75 (1966) H. Kayano, K. Kamigaki, S. Koda, J. Phys. Soc. Jpn. 23, 1189 (1967) L.P. Khiznichenko, P.F. Kromer, D.K. Kaipnazarov, E. Otenyazov, D. Yusupova, L.G. Zotova, Phys. Stat. Sol. 21, 805 (1967) W. Hermann, Solid State Commun. 6, 641 (1968) A. Zucherwar, W. Pechhold, Zeit. Angew. Phys. 24, 134 (1968) K. Ohori, K. Sumino, Phys. Stat. Sol. (A) 9, 151 (1972) K. Ohori, K. Sumino, Phys. Stat. Sol. (A) 14, 489 (1972) W.L. Haworth, R. Mattas, H.K. Birnbaum, J. Appl. Phys. 43, 3658 (1972) H.J. M¨ oller, J. Buchholz, Phys. Stat. Sol. (A) 20, 545 (1973) G. Welsch, T.E. Mitchell, R. Gibala, Phys. Stat. Sol. (A) 15, 225 (1973) E. Bonetti, P. Gondi, S. Valeri, Il Nuovo Cimento 33B, 103 (1976) B.Y. Farber, Yu.L. Iunin, V.I. Nikitenko, Phys. Stat. Sol. (A) 97, 469 (1986) U. Jendrich, P. Haasen, Phys. Stat. Sol. (A) 108, 553 (1988) J. Hornstra, J, Phys. Chem. Solids 5, 129 (1958) W. Schr¨ oter, Inst. Phys. Conf. Ser. No. 46, 114 (1979) W. Schr¨ oter, H. Cerva, Solid State Phenom. 85–86, 67 (2002) V. Celli, J. Phys. Chem. Solids 19, 100 (1961) A. Art, E. Aerts, P. Delavignette, S. Amelinckx, Appl. Phys. Lett. 2, 40 (1963) H. Siethoff, H. Alexander, Phys. Stat. Sol. 6, K165 (1964) I. Yonenaga, S.H. Lim, D. Shindo, Philos. Mag. Lett. 80, 193 (2000) M. Schweizer, S.D. Cobb, M.P. Volz, J. Szoke, F.R. Szofran, J. Cryst. Growth 235, 161 (2002) S. Gan, L. Li, R.F. Hicks, Appl. Phys. Lett. 73, 1068 (1998) E. Billig, Proc. Roy. Soc. A 235, 37 (1956) D.C. Bennett, B. Sawyer, Bell Syst. Technol. J. 35, 637 (1956) I.G. Cressell, J.A. Powell, Prog. Semicond. 2, 139 (1957) P. Penning, Philips Res. Rep. 13, 79 (1958) F.D. Rosi, RCA Rev. 19, 349 (1958) A.D. Belyaev, V.N. Vasilevskaya, E.G. Miselyuk, Sov. Phys. Solid State 2, 208 (1960) R.S. Wagner, J. Appl. Phys. 29, 1679 (1958) A.J. Goss, K.E. Benson, W.G. Pfann, Acta Metall. 4, 332 (1956) V.G. Alekseeva, P.G. Eliseev, Sov. Phys. Solid State 1, 1195 (1959)

60 91. 92. 93. 94. 95. 96. 97. 98. 99. 100. 101. 102. 103. 104. 105. 106. 107. 108. 109. 110. 111. 112. 113. 114. 115. 116. 117. 118. 119. 120. 121. 122. 123. 124. 125. 126. 127. 128. 129. 130. 131. 132. 133. 134. 135.

1 Dislocations in Germanium: Mechanical Properties G.E. Brock, C.F. Aliotta, IBM J. 6, 372 (1962) J.W. Mitchell, J. Appl. Phys. 33, 406 (1962) F.L. Vogel Jr., J. Metals 6, 946 (1956) W. Bardsley, Prog. Semicond. 4, 157 (1960) E.S. Greiner, J. Metals 5, 203 (1955) F. Calzecchi, A. Gardini, P. Gondi, Il Nuovo Cimento 50B, 263 (1967) R. Wagner, F.D. W¨ ohler, P. Haasen, Phys. Stat. Sol. (B) 44, 381 (1971) F.L. Vogel, W.G. Pfann, H.E. Corey, E.E. Thomas, Phys. Rev. 90, 489 (1953) J.P. McKelvey, R.L. Longini, J. Appl. Phys. 25, 634 (1954) S.G. Ellis, J. Appl. Phys. 26, 1140 (1955) J.W. Allen, K.C.A. Smith, J. Electron. 1, 439 (1956) W.G. Pfann, F.L. Vogel Jr., Acta Metall. 5, 377 (1957) R.L. Bell, J Electron Control 3, 487 (1957) W. Bardsley, R.L. Bell, B.W. Straughan, J. Electron Control 5, 19 (1958) A.D. Trakhtenberg, S.M. Fainshtein, Sov. Phys. Solid State 1, 335 (1959) P.J. Holmes, Acta Metall. 7, 83 (1959) E.N. Pugh, L.E. Samuels, J. Electrochem. Soc. 108, 1043 (1961) G. Schoek, J. Appl. Phys. 33, 1745 (1962) E. Springer, Z. Metallkde. 62, 298 (1971) L. Souriau, V. Terzieva, M. Meuris, M. Caymax, Solid-State Phenom. 134, 83 (2008) A. Abbadie, J.M. Hartmann, C. Deguet, L. Sanchez, F. Brunier, F. Letertre, Electrochem. Soc. Trans. 6(4), 263 (2007) A. Irving, Br. J. Appl. Phys. 12, 92 (1961) G.R. Booker, R. Stickler, Br. J. Appl. Phys. 13, 446 (1962) H. Alexander, S. Mader, Acta Metall. 10, 887 (1962) G.R. Booker, R. Stickler, Acta Metall. 10, 993 (1962) D.B. Holt, A.E. Dangor, Philos. Mag. 8, 1921 (1963) T. Yamashita, Jpn. J. Appl. Phys. 3, 503 (1964) H. Alexander, Phys. Stat. Sol. 26, 725 (1968) H. Alexander, Phys. Stat. Sol. 27, 391 (1968) V.A. Phillips, J.A. Hugo, Acta Metall. 18, 123 (1970) J. Thibault-P´enisson, M. H¨ ytch, Solid State Phenom.131–133, 437 (2008) D.J.H. Cockayne, J.R. Parsons, C.W. Hoelke, Philos. Mag. 24, 139 (1971) I.L.F. Ray, D.J.H. Cockayne, J. Microsc. 98, 170 (1973) F. H¨ aussermann, H. Schaumburg, Philos. Mag. 27, 745 (1973) R. Meingast, H. Alexander, Phys. Stat. Sol. (A) 17, 229 (1973) A. Gomez, D.J.H. Cockayne, P.B. Hirsch, V. Vitek, Philos. Mag. 31, 105 (1975) A. Cavallini, P. Gondi, Il Nuovo Cimento 14, 222 (1975) G. Packeiser, P. Haasen, Philos. Mag. 35, 821 (1977) V.A. Phillips, R. Wagner, J. Appl. Phys. 44, 4252 (1973) V.V. Aristov, Y.U.A. Osipyan, R. Scholz, I.I. Snighireva, I.I. Khodos, S.A. Shevchenko, Phys. Stat. Sol. (A) 79, 47 (1983) S.A. Shevchenko, I.I. Khodos, I.I. Snighireva, Phys. Stat. Sol. (A) 91, 523 (1985) F.D. Rosi, Acta Metall. 4, 26 (1956) A.R. Lang, J. Appl. Phys. 29, 597 (1958) A.R. Lang, J. Appl. Phys. 30, 1748 (1959) B.W. Batterman, J. Appl. Phys. 30, 508 (1959)

References 136. 137. 138. 139. 140. 141. 142. 143. 144. 145. 146. 147. 148. 149. 150. 151. 152. 153. 154. 155. 156. 157. 158. 159. 160. 161. 162. 163. 164. 165. 166. 167. 168. 169. 170. 171. 172. 173. 174. 175. 176. 177.

61

S. Weissmann, Z.H. Kalman, Philos. Mag. 15, 539 (1967) J.R. Patel, P.E. Freeland, J. Appl. Phys. 41, 2814 (1970) S. Sch¨ afer, Phys. Stat. Sol. 19, 297 (1967) W.L. Bond, J. Andrus, Phys. Rev. 101, 1211 (1956) W.W. Tyler, W.C. Dash, J. Appl. Phys. 28, 1221 (1957) F.C. Frank, W.T. Read Jr., Phys. Rev. 79, 722 (1950) D. Tabor, Br. J. Appl. Phys. 7, 159 (1956) G.C. Kuczynski, R.F. Hochman, Phys. Rev. 108, 946 (1957) G.M. Pharr, W.C. Oliver, R.F. Cook, P.D. Kirchner, M.C. Kroll, T.R. Dinger, D.R. Clarke, J. Mater. Res. 7, 961 (1992) S.J. Lloyd, J.M. Molina-Aldareguia, W.J. Clegg, J. Mater. Res. 16, 3347 (2001) J.H. Westbrook, J.J. Gilman, J. Appl. Phys. 33, 2360 (1962) J.R. Dale, J.C. Brice, Solid-State Electron 3, 105 (1961) C.C. Wang, B.H. Alexander, Acta Metall. 3, 515 (1955) G.C. Kuczynski, R.H. Hochman, J. Appl. Phys. 30, 267 (1959) N.Y.A. Gorid’ko, P.P. Kuz’menko, N.N. Novikov, Sov. Phys. Solid State 3, 2652 (1962) T.L. Johnston, R.J. Stokes, C.H. Li, Acta Metall. 6, 713 (1958) I. Yonenaga, T. Suzuki, Philos. Mag. Lett. 82, 535 (2002) P. Breidt Jr., E.S. Greiner, W.C. Ellis, Acta Metall. 5, 60 (1957) E.S. Greiner, P. Breidt Jr., J.N. Hobstetter, W.C. Ellis, J. Metals 9, 813 (1957) E.N. Pugh, L.E. Samuels, Philos. Mag. 8, 301 (1963) R.F. Tramposch, W. Rindner, Appl. Phys. Lett. 3, 42 (1963) W. Rindner, R.F. Tramposch, J. Appl. Phys. 34, 758 (1963) J.V. Craig, E.N. Pugh, J. Appl. Phys. 35, 3417 (1964) W. Rindner, R.F. Tramposch, J. Appl. Phys. 36, 2079 (1965) O.W. Johnson, J. Appl. Phys. 37, 2521 (1966) J.E. Bradby, J.S. Williams, J. Wong-Leung, M.V. Swain, P. Munroe, Appl. Phys. Lett. 80, 2651 (2002) R.P. Walson, H.K. Birnbaum, Phys. Stat. Sol. (A) 6, K1 (1971) A. Jayaraman, W. Klement Jr., G.C. Kennedy, Phys. Rev. 130, 540 (1963) F.P. Bundy, J. Chem. Phys. 41, 3809 (1964) J.S. Kasper, S.M. Richards, Acta Cryst. 17, 752 (1964) R.A. Graham, O.E. Jones, J.R. Holland, J. Appl. Phys. 36, 3955 (1965) R.A. Graham, O.E. Jones, J.E. Holland, J. Phys. Chem. Solids 27, 1519 (1966) O. Shimomura, S. Minomura, N. Sakai, K. Asaumi, K. Tamura, J. Fukushima, H. Endo, Philos. Mag. 29, 547 (1974) W.H. Gust, E.B. Royce, J. Appl. Phys. 43, 4437 (1972) S.B. Qadri, E.F. Skelton, A.W. Webb, J. Appl. Phys. 54, 3609 (1983) E. L´ opez-Cruz, M. Cardona, Solid-State Commun. 45, 787 (1983) C.S. Menoni, J.Z. Hu, I.L. Spain, Phys. Rev. B 34, 362 (1986) K. Winer, F. Wooten, Phys. Stat. Sol. (B) 136, 519 (1986) M. Imai, K. Yaoita, Y. Katayama, J.Q. Chen, K. Tsuji, J. Non-Cryst. Solids 150, 49 (1992) R.J. Nelmes, M.I. McMahon, N.G. Wright, D.R. Allan, J.S. Loveday, Phys. Rev. B 48, 9883 (1993) J. Jang, M.J. Lance, S. Wen, G.M. Pharr, Appl. Phys. Lett. 86, 131907 (2005) D.R. Clarke, M.C. Kroll, P.D. Kirchner, R.F. Cook, B.J. Hockey, Phys. Rev. Lett. 60, 2156 (1988)

62

1 Dislocations in Germanium: Mechanical Properties

178. R. Wagatsuma, K. Sumino, W. Uchida, S. Yamamoto, J. Appl. Phys. 42, 222 (1971) 179. D. Olive, J.E. Bradby, J.S. Williams, M.V. Swain, P. Munroe, J. Appl. Phys. 101, 043524 (2007) 180. H. Suzuki, K. Kamada, J. Phys. Soc. Jpn. 21, 571 (1966) 181. F. Seitz, Phys. Rev. 88, 722 (1952) 182. J.R. Patel, Phys. Rev. 101, 1436 (1956) 183. D. Dew-Hughes, Philos. Mag. 7, 1959 (1962) 184. R.L. Bell, W. Bonfield, Philos. Mag. 9, 9 (1964) 185. A.R. Chaudhuri, J.R. Patel, L.G. Rubin, J. Appl. Phys. 33, 2736 (1962) 186. M.N. Kabler, Phys. Rev. 131, 54 (1963) 187. O.W. Johnson, J. Appl. Phys. 36, 3247 (1965) 188. J.R. Patel, A.R. Chaudhuri, Phys. Rev. 143, 601 (1966) 189. H.L. Frisch, J.R. Patel, Phys. Rev. Lett. 18, 784 (1967) 190. H. Schaumburg, Phys. Stat. Sol. 40, K1 (1970) 191. V.N. Erofeev, V.I. Nikitenko, Sov. Phys. Solid State 13, 241 (1971) 192. J.R. Patel, P.E. Freeland, J. Appl. Phys. 42, 3298 (1971) 193. H. Schaumburg, Philos. Mag. 25, 1429 (1972) 194. A. Cavallini, P. Gondi, F. Schintu, Phys. Stat. Sol. (A) 27, 523 (1975) 195. F. Louchet, D. Cochet Muchy, Y. Brechet, J. Pelissier, Philos. Mag. A 57, 327 (1988) 196. T. Kruml, D. Caillard, C. Dupas, J.-L. Martin, J. Phys. Condens. Matter 14, 12897 (2002) 197. H.J. M¨ oller, P. Haasen, Phys. Stat. Sol. (A) 33, K59 (1976) 198. H.J. M¨ oller, Philos. Mag. A 37, 41 (1978) 199. H.-J. M¨ oller, H. Ewaldt, P. Haasen, Phys. Stat. Sol. (A) 55, 469 (1979) 200. H. Siethoff, Philos. Mag. A 47, 657 (1983) 201. I. Yonenaga, K. Sumino, Appl. Phys. Lett. 69, 1264 (1996) 202. B.Y. Farber, I.E. Bondarenko, V.I. Nikitenko, Sov. Phys. Solid State 23, 1285 (1981) 203. W. Schr¨ oter, H.G. Brion, H. Siethoff, J. Appl. Phys. 54, 1816 (1983) 204. K. Maeda, M. Inoue, K. Suzuki, H. Amasuga, M. Nakamura, E. Kanematsu, J. Phys. III France 7, 1451 (1997) 205. H. Schaumburg, W. Schr¨ oter, Phys. Lett. 30A, 21 (1969) 206. M. Inoue, K. Suzuki, H. Amasuga, Y. Mera, K. Maeda, J. Appl. Phys. 83, 1953 (1998) 207. I. Yonenaga, M. Werner, M. Bartsch, U. Messerschmidt, E.R. Weber, Phys. Stat. Sol. (A) 171, 35 (1999) 208. K. Maeda, K. Suzuki, Y. Yamashita, Y. Mera, J. Phys. Condens. Matter 12, 10079 (2000) 209. P. Haasen, Acta. Metal. 5, 598 (1957) 210. V. Celli, M. Kabler, T. Ninomiya, R. Thomson, Phys. Rev. 131, 58 (1963) 211. T. Ninomiya, R. Thomson, F. Garcia-Moliner, J. Appl. Phys. 35, 3607 (1964) 212. J.J. Gilman, J. Appl. Phys. 36, 3195 (1965) 213. V.V. Rybin, A.N. Orlov, Sov. Phys. Solid State 11, 2635 (1970) 214. A.P. Kazantsev, V.L. Pokrovski, Sov. Phys. JETP 31, 362 (1970) 215. H. Teichler, Phys. Stat. Sol. 23, 341 (1967) 216. J.P. Hirth, L. Lothe, Theory of Dislocations (New York, McGraw-Hill, 1968) 217. I.E. Bondarenko, V.N. Erofeev, V.I. Nikitenko, Sov. Phys. JETP 37, 1109 (1973)

References 218. 219. 220. 221. 222. 223. 224. 225. 226. 227. 228. 229. 230. 231. 232. 233. 234. 235. 236. 237. 238. 239. 240. 241. 242. 243. 244. 245. 246. 247. 248. 249. 250. 251. 252. 253. 254. 255. 256. 257. 258. 259. 260. 261. 262. 263.

63

H.-J. M¨ oller, Acta Met. 26, 963 (1978) P. Penning, G. De Wind, Physica 25, 765 (1959) M.M. Shea, L.E. Hendrickson, L.A. Heldt, J. Appl. Phys. 37, 4572 (1966) D. Dew-Hughes, G.E. Brock, J. Appl. Phys. 30, 2020 (1959) H.G. Van Bueren, Physica 24, 831 (1958) H.G. Van Bueren, Physica 25, 775 (1959) K. Berner, H. Alexander, Acta Metall. 15, 933 (1967) M.M. Myshlyaev, I.I. Khodos, Phys Stat. Sol. (B) 43, 83 (1971) F. Edelman, H.G. Brion, J. Heydenreich, D. Hoehl, Phys. Stat. Sol. (A) 148, K13 (1995) F.L. Vogel Jr., Acta Metall. 3, 95 (1955) R.L. Cummerow, J. Appl. Phys. 30, 946 (1959) J.R. Patel, P.E. Freeland, J. Appl. Phys. 38, 3087 (1967) J.R. Patel, A.R. Chaudhuri, J. Appl. Phys. 34, 2788 (1963) V.G. Govorkov, V.R. Regel, Sov. Phys. Solid State 3, 958 (1961) H.G. Brion, P. Haasen, H. Siethoff, Acta Metall. 19, 283 (1971) R.P. Carreker Jr., J. Metals 8, 111 (1956) J.R. Patel, B.H. Alexander, Acta Metall. 4, 385 (1956) D. Dew-Hughes, IBM J. 5, 279 (1961) V.G. Gorovkov, V.S. Papkov, Sov. Phys. Solid State 4, 1354 (1963) V.G. Govorkov, L.I. Indenbom, V.S. Papkov, V.R. Regel, Sov. Phys. Solid State 6, 802 (1964) H. Alexander, Z. Metallkde. 52, 344 (1961) H. Alexander, P. Haasen, Acta Metall. 9, 1001 (1961) W. Schr¨ oter, H. Alexander, P. Haasen, Phys. Stat. Sol. 7, 983 (1964) S. Sch¨ afer, H. Alexander, P. Haasen, Phys. Stat. Sol. 5, 247 (1964) H. Alexander, P. Haasen, Canad J. Phys. 45, 1209 (1967) K. Kojima, K. Sumino, J. Phys. Soc. Jpn. 26, 1213 (1969) H.G. Brion, H. Siethoff, W. Schr¨ oter, Philos. Mag. A 43, 1505 (1981) H. Siethoff, W. Schr¨ oter, Z. Metallkde. 75, 475 (1984) H.G. Brion, P. Haasen, Philos. Mag. A 51, 879 (1985) I. Yonenaga, K. Sumino, J. Appl. Phys. 80, 3244 (1996) M.D. Sturge, Proc. Phys. Soc. (London) 73, 297 (1957) R. Bullough, R.C. Newman, Rep. Prog. Phys. 33, 101 (1970) A.D. Kurtz, S.A. Kulin, Acta Metall. 2, 352 (1954) L.F. Konorova, Sov. Phys. Solid State 10, 2233 (1969) R.L. Cummerow, A.R. Cherry, Phys. Rev. Lett. 3, 367 (1959) H. Widmer, Phys. Rev. 125, 30 (1962) L.A. Heldt, J.N. Hobstetter, Acta Metall. 11, 1165 (1963) C.D. Calhoun, L.A. Heldt, Acta Metall. 13, 932 (1965) V.A. Panteleev, Sov. Phys. Solid State 7, 734 (1965) L.A. Badenko, Sov. Phys. Solid State 6, 762 (1964) H. N¨ agerl, S. Sch¨ afer, Acta Metall. 18, 761 (1970) G.V. Dudko, N.I. Marunina, G.V. Sukhov, D.I. Cherednichenko, Sov. Phys. Solid State 12, 1016 (1970) A. Gabriel, H. N¨ agerl, Inst. Phys. Conf. Ser. 23, 410 (1975) J.P. Stark, J. Appl. Phys. 36, 3938 (1965) F. Karstensen, J. Electron Control 3, 305 (1957) J.I. Pankove, J. Appl. Phys. 28, 1054 (1957)

2 Electrical and Optical Properties

2.1 Introduction The first observations of plastically deformed germanium made immediately clear that dislocations introduced during a high-temperature deformation create acceptor states [1–5]. N-type Ge became p-type after deformation, while the resistivity of p-Ge did not exhibit important changes. Soon after, several hypotheses on the nature of the dislocation-related acceptor states were launched. It was Shockley who put forward the idea of the acceptor operation of the dangling bonds (DBs) in the core of a dislocation [6]; the dangling bonds originate from the threefold coordination of the germanium atoms. This has been illustrated in Fig. 1.9 of Chap. 1. In principle, both donor (giving up the unbound electron) and acceptor action can be expected, although originally only acceptor operation was found [1–4]. Based on that, Read formulated a theory for the occupation statistics of the acceptor states in covalent semiconductors [7, 8]. The difference with a point-defect related deep level is that the energy position of the dislocation acceptor level shifts with its occupation due to the Coulomb repulsion between the electrons on the DB sites. At the same time the line charge induces a surrounding space charge cylinder by interaction with the mobile charges in the semiconductor material. This theory has long been the basis for the interpretation of the experimental results, mainly relying on Hall effect measurements as a function of temperature. It was only with the work of Schr¨ oter and co-workers [9,10] that it became clear that the intrinsic electrical properties of dislocations are better described in terms of a one-dimensional band of states, showing both acceptor and donor activity, depending on the position of the Fermi level, that is, on the doping density and temperature. Early theoretical calculations indicated that there is another potential source of electron states in the band gap of Ge, namely, the elastic strain field surrounding the dislocation core [11,12]. Indeed, because of the strain field, the interatomic distance in the neighborhood of a dislocation is modified, which results in a local change of the band gap energy. Initial calculations showed a

66

2 Electrical and Optical Properties

splitting of the electron states of the conduction band in the range of 3 meV below EC [11], which is negligibly small. However, later calculations, including the impact of the shear strain, suggested that for a screw dislocation in Ge a bound state at EC − 0.05 eV could be split off from the conduction band, giving rise to electrical activity. One overall problem in the quantification of the density of dangling bonds is the fairly large error bar in the determination of the dislocation density Nd in deformed material (see Chap. 1). Using simple preferential etching, Nd can be determined within a factor of 3. A more accurate quantification can be achieved by TEM, although this is more time consuming and provides less insight in the uniformity of the dislocation distribution and only works for high densities Nd (>108 cm−2 ). However, besides the intrinsic activity of dislocation dangling bonds or strain-related states, it became soon clear that plastic deformation, even if the necessary precautions to avoid metal contamination are taken, can introduce point defects [4]. These deformation-induced point defects (PDs) can be annealed out by a subsequent heat treatment, thereby reducing the acceptor concentration. It was originally speculated that the PDs were vacancies or vacancy-related complexes, resembling the thermal defects introduced by quenching from high temperatures or created by particle irradiation [13]. Another source of electrical activity of dislocations is the elastic interaction of PDs with dislocations, described in Chap. 1 and leading to the formation of a Cottrell atmosphere [14]. These impurities have their own levels in the band gap, which may be shifted by the fact that they are now residing in the strain field of a dislocation. This is also the reason why it has shown advantageous to study deformation-induced dislocations rather than grown-in ones, as one can better control the dislocation-dopant (or PD) interaction by choosing appropriate deformation conditions (temperature, duration, cooling rate, atmosphere, etc.). A further element to account for is the fact that there exist different dislocation types in the diamond lattice (see Chap. 1). This means that each type may have its own energy level(s) and electrical behavior. Based on this, it is clear that the study of the electrical activity of dislocations is a complex matter, whereby one has to ascertain that “clean” dislocations of preferably one dominant type are studied. At the same time, the concept of dangling bonds has been questioned, particularly in the case of silicon. This is related to the fact that dislocations were shown to be split for most of their length by weak-beam TEM [15] and theoretical calculations indicate that the resulting 30◦ or 90◦ partials could well have a reconstructed (i.e., paired) core structure, whereby no electron states in the band gap occur. A first section describes the electronic states of dislocations, based on experimental data from electrical techniques (Hall measurements, capacitance– voltage, DLTS; microwave, and DC conductance). The basic features of the main theories will be highlighted and the experimental conditions to obtain “pure” dislocations will be described. The next sections deal with the impact

2.2 Electronic States of Dislocations

67

of dislocations on the carrier mobility (scattering at charged dislocations), lifetime and trapping, low-frequency noise, and device operation (p-n junctions). The study of the optical properties of germanium has provided firm evidence of the distributed nature of the electronic states. From the obtained absorption, photoconductivity (PC) or photoluminescence (PL) spectra, a more or less complete and complex picture of the distribution of the 1D electron bands across the band gap has been established. The Chapter will be wrapped up in a conclusions section.

2.2 Electronic States of Dislocations Shockley proposed that the acceptor nature of dislocations stems from the dangling bonds in the core of the line defect, introducing a 1D band of acceptor states in the band gap [6]. The spacing of the DBs is given by [5–7] c = 0.866b cosecα,

(2.1)

with b the magnitude of the Burgers or lattice translation vector (0.4 nm in Ge) and α the angle between the dislocation line and the Burgers vector. The DB spacing is minimum for a pure edge (α = 90◦ ) dislocation and maximum for a screw dislocation (α = 0 and c → ∞). In other words, (2.1) predicts no DBs for a screw dislocation and based on that, no electrical activity. As will be seen later, this is not confirmed by experiments, indicating one of the shortcomings of the Shockley–Read theory. In what follows, the evolution of the insight in the electrical activity of dislocations is sketched, starting from the Read model. The experiments leading to these theories are summarized. In recent years, interest has turned to the conduction along a dislocation, as it may represent a one-dimensional conductor. 2.2.1 Read’s Acceptor Level Model Starting from the DB concept, a theory should enable explaining why only a fraction fed of the DBs with line density 1/c (cm−1 ) contributes to the electrical activity. In other words, fed = aed /c, with aed the average spacing between acceptor states along the dislocation.1 This leads to a negative line charge density q/aed , which repels the free electrons from the neighborhood of the dislocations (collective action of the line of charges2). As a consequence, a cylindrical space charge is formed around the dislocation – and assuming 1

2

In fact, Read assumed a constant spacing between the occupied DB states in the most simple approximation [7, 8]. The charged DB sites can be regarded as a (continuous) line charge when c/fed < mean spacing between donors or acceptors (1/ND,A 1/3 ). In the other case (high(er) T or fed or high(er) doping), it can be regarded as a row of point charges.

68

2 Electrical and Optical Properties

Fig. 2.1. Variation of energy bands with distance r from a dislocation. (after [7])

that the screening by the free electrons is negligible, which is valid at low temperatures – with radius R given by [7, 8] πR2 (ND − NA ) = 1/aed = fed · c

(2.2)

and schematically represented in Fig. 2.1. ND and NA are the donor and acceptor concentration, respectively, so that ND −NA is the net doping density in the undeformed material, outside the dislocation region. As a consequence, a potential barrier ΦB develops around a charged dislocation, which depends on its occupation (charge density Q), while the bands are bent, like in Fig. 2.1. At the same time, the energy position of the dislocation acceptor level (Ed ) will increase with increasing charge, according to the relation Ed (Q) = E0 + Ee (Q),

(2.3)

with E0 the level for fed = 0 (Q = 0), the neutral dislocation limit and Ee (Q) the electrostatic energy associated with the Coulombic interaction between the occupied DBs. E0 has to be derived experimentally, while Ee can be theoretically calculated under certain assumptions. For the calculation of the electrostatic energy, one can in principle not rely on Fermi–Dirac statistics [7, 8], as the neighboring occupied sites repel each other. Assuming equally spaced occupied acceptors, Read obtained in the 0 K approximation Ee = {q 2 fed /(2pε0 εGe c)} {3/2 ln (fed /fc ) − 0.866},

(2.4)

with q the elementary charge; ε0 the permittivity of vacuum, and εGe the dielectric constant of germanium, while fc is defined by [7, 8] fc = c[π (ND − NA )]1/3

(2.5)

2.2 Electronic States of Dislocations

69

0.12 0.1

Occupation

Fermi 0.08 Broudy & McClure 0.06

ME

0.04 0.02 0 0

50

100

150

200

250

300

350

Temperature (K)

Fig. 2.2. Comparison of various statistical treatments for the occupation of dislocation acceptors by Read [7, 8] and Broudy and McClure [16] (ND − NA = 1015 cm−3 , EC − E0 = 0.225 eV, fc = 0.00586). M E minimum energy approximation with constant spacing between occupied sites, Fermi Fermi-Dirac statistics (after [15])

and following from (2.2) for R = aed . It is also assumed that the bands shift rigidly with the charging of the dislocation, thereby, neglecting elastic field changes. Equations (2.4)–(2.5) are strictly speaking only valid for T = 0 K (no entropy contribution and fixed distance aed between acceptor states). Read has also worked out the statistics for a number of limiting cases [7,8], considering line charge fluctuations and nonuniformities, and hence, a nonzero entropy term. A further refinement was performed by Broudy and McClure [16, 17]. Based on (2.4)–(2.5), the occupation fraction fed of the acceptor states can be calculated, yielding the result of Fig. 2.2. From this figure, one can derive that the fractional occupancy of the dangling bond acceptors states is maximum ∼12% and reduces with increasing temperature to reach only a few % at room temperature. It is also clear from Fig. 2.2 that the Fermi-Dirac statistics overestimates the dislocation occupancy, although the Broudy–McClure approach leads to a formally equivalent expression [16]. Early assessment of the electrical activity of dislocations was performed by Hall measurements vs. temperature. It should be remarked that in such a case, only states at the Fermi level EF (in thermodynamical equilibrium) are probed. A method that has been largely followed is to measure the free carrier (hole) concentration p as a function of T in the deformed (p-type) material, which yields EF through [9]  EF = kT ln

CT 3/2 p

 (EF > kT ) ,

(2.6)

70

2 Electrical and Optical Properties

with C = 1.17 × 1015 cm−3 K−3/2 in p-type Ge. At the same time, one can derive fed from [9] fed = (p − NA ) /Ns , (2.7) Ns is the DB volume density in cm−3 equal to Nd /b, with Nd the dislocation density (assumed of one type for simplicity). The increase in free hole density in the deformed p-type material p-NA is then supposed to originate solely from the occupied dislocation DB states, neglecting all other mechanisms (deformation-induced point defects; split off strain states; impurity decoration; etc.). The neutral level according to (2.7) then corresponds to the temperature (or Fermi level) at which p = NA , in the frame of the Read model. Applying this theory, a wide range of values for the neutral dislocation level has been obtained, from EC − 0.225 eV [7] to EC − 0.5 eV [17]. Other groups found intermediate values [18]. Krylow and Auleytner concluded that the dislocation acceptor level in n-type Ge was at EC − 0.13 to 0.18 eV, independent of the dislocation type [19]. Finally, in twisted n-type Ge with predominantly screw dislocations, no acceptor levels were observed within the resolution of the conductivity measurements employed [20]. In principle, E0 should only depend on the dislocation core and not on the doping type or dislocation density, which was obviously not observed here. 2.2.2 Schr¨ oter’s 1D Band Model The wide scatter in E0 level derived from the application of Read’s model was puzzling and different explanations have been advanced, either pointing to a nonuniformity of the dislocation density or type or to an interaction with impurities or point defects. However, there was also evidence of the formation of donor states in deformed highly p-doped Ge [14,21], which cannot be explained in the frame of Read’s model. This suggests rather a half-filled 1D band of states associated with dislocations than a single acceptor level, as originally suggested by Shockley [6] and also considered by Mueller in the interpretation of his data [22]. A breakthrough came with the study of plastically deformed p-type Ge by Schr¨ oter et al. [9,10,23]. The main experimental result is shown in Fig. 2.3 [24], comparing the free hole density for the undeformed and plastically deformed p-type Ge. At high temperatures, the deformed sample shows a higher p concentration, indicating the introduction of dislocation-related acceptors. It should also be noted that there is no leveling off at higher temperature [9], suggesting that the dislocation states are not fully occupied yet. However, there is a continuous reduction in p with lower T , which crosses the chemical acceptor density (p = NA ) of the starting material at T ∼ 80 K and drops below NA . This strongly suggests a donor operation of the dislocation states, when the Fermi level is sufficiently close to the valence band. The cross-over in Fig. 2.3 marks a continuous transition from donor-type to acceptor-type behavior, which has been interpreted in terms of partially filled

2.2 Electronic States of Dislocations

71

Hole Density (1012 cm-3)

100 Nd = 4.6x107cm-2 NA = 7.3x1012 cm-3 10

1 W1 0.1

0.01 1

10

100

103/Temperature (K-1)

Fig. 2.3. Hall effect of deformed (W1: after static compression at 580 ◦ C) and reference Ge. Hole density as a function of the inverse temperature before and after introduction of edge-type dislocations. At the intersection of the two curves the dislocations are neutral (fed = 0), while for lower temperatures they are positive, and for higher temperatures they are negatively charged (after [24])

dislocation states and, in combination with Shockley’s dangling-bond concept, has led to the model of a half-filled dangling-bond band [9]. The occupation limit of this band coincides with the Fermi level given by (2.6), as long as the band does not become totally emptied or filled with electrons. From the cross-over temperature T0 , the occupation limit of the neutral dislocation is obtained E0 = EF (T0 , NA ). If the dislocation density is measured in addition, the line charge of the dislocation is Q = q(p-NA )/Nd so that the function EF (Q) is proportional to the electrostatic shift Ee (Q) of the dislocation band on the energy scale. To interpret these results, it was assumed that dislocations give rise to a 1D band of states, whereby EF is the occupation limit and E0 is now interpreted as the neutral occupation limit within the band, corresponding with zero line charge. Assuming that the doping density of chemical acceptors NA in the p-Ge is not changed in the space charge region surrounding the dislocations in the deformed material (no deformation-induced PDs, which requires a Tdef > 773 K or 0.6Tm typically), one can derive the fractional occupation of the dislocation states from EF − [E0 − Ee (fed )] ≈ 2fed kT

for |fed | 1.

(2.8)

In writing (2.8), it is assumed that the band is half-filled at EF = E0 and that each state is twofold degenerate, accepting electrons with spin up and spin down. This can be further developed into a linear function of fed [9]: EF − E0 = Ee (fed ) + (ΔEs /2δ)fed,

(2.9)

72

2 Electrical and Optical Properties 0.1 0.08

E F-E 0 (eV)

0.06 0.04 0.02 0 -0.02 -0.04 -0.06 -3

-2

-1

0

1

2

3

4

5

6

f ed (x102) Fig. 2.4. EF (fed ) − E0 as a function of fed for different highly deformed and doped samples (after [9])

with ΔEs and δ constants for a given dislocation type. Note that according to (2.4) Ee is in the first instance a linear function of fed . The expression obtained by Read for Ee neglects screening of the charge on a dislocation line by free carriers, which is a good approximation in the low-temperature limit. The linear dependence predicted by (2.9) was fully supported by the experiments of Schr¨ oter [9], as shown in Fig. 2.4. According to Fig. 2.5, one has to consider different interactions in a row of dangling bonds in order to calculate the occupation statistics [25]. In a simple one-electron-approximation, only the interaction of an additional electron with the line charge and the free carriers is taken into account. It was shown, moreover, that the effect of hybridization and intrabond Coulomb interaction on the DB states associated with 60◦ dislocations is rather small, so that the simple DB concept gives a fair description of the localized states associated with dislocations [26]. In the original band model, it is assumed that the screening of the DB charges occurs by the free  carriers and not in a sharp space charge cylinder, with typical radius λ = kT ε0 εGe /q 2 |p − n| (the Debye screening length) [10,26]. This is valid for the dislocation barrier height in the range kT (small fed and thus higher T ). For lower T , there is a transition to the space charge cylinder model of Read. Implementing the corresponding occupation statistics, expressions for EF and Ee have been derived [9, 10, 15, 27]. A further improvement was implemented by Veth and Lannoo [28], who took into account a better approximation for the short-range interaction of electrons on DB sites, resulting in an Ee , given by

2.2 Electronic States of Dislocations

73

3 1

2

4

Fig. 2.5. Different interactions of an electron in the dislocation core are discussed for a row of dangling bonds: (a) the electron, added to a DB is repelled by the electron already present in it: intrabond Coulomb energy (1); (b) electrons may hop between dangling bonds and bulk states: hybridization, transition rate Δ/¯ h, with ¯ h the reduced constant of Planck (2); (c) in a simple one-electron-approximation only the interaction of an additional electron with the line charge (3) and free charge carriers (4) is taken into account (after [25])

  U fed q 2 fed λ ln Ee = + εGe 2πεGe ε0 c c    2 U fed q fed R ln − 0.616 or Ee = + εGe 2πεGe ε0 c c

(2.10a) (2.10b)

for the Schr¨ oter–Labusch (SL) or the Read case, respectively [15, 28]. In this theory, account is made for the discrete nature of the charge distribution along a dislocation, instead of considering it as a line of charge as in the SL case [28]. The screening length (or limiting radius between short and longrange Coulomb potential) is in this approach independent on the screening model applied [28] in contrast to the Read model [7, 8], while the energy shift ΔE (first term in the rhs of (2.10)) is assumed proportional with the excess electron charge and also independent on the screening model. The energy U is the intra-atomic Coulomb interaction between an excess charge and the electrons already present in the neutral state, represented by (1) in Fig. 2.5 [28]. Application of the band model led to the diagram of Fig. 2.6 for edge type (60◦ ) dislocations: a neutral level E0 at 0.09 eV from the valence band. The width of the 1D band has been extracted from capacitance–voltage (C–V) measurements on Schottky barriers, made on deformed Ge [29, 30]. It was hereby assumed that the DB acceptors can be treated as point defects with respect to their impact on the doping density in the depletion region of the diode [29]. A band width of 0.18 eV was derived from the C–V analysis [30].

74

2 Electrical and Optical Properties

Fig. 2.6. Parameters of dislocation states for Si and Ge, as derived from measurements of the Hall effect: upper edge E10 of the full band and lower edge E20 of the empty band at a neutral screw dislocation, and the occupation limit E0 of the half-filled band at a neutral edge-type dislocation (after [24])

A similar analysis has also been performed for samples with a large fraction of screw dislocations. It was concluded that the latter give rise to a fully occupied band at EV + 0.035 eV (upper limit) and an empty band with lower limit at EV + 0.589 eV [31, 32]. The question rises of course what the origin of these states is, since according to (2.1) there are no DB associated with screws. The answer is that most likely, the elastic strain field is creating these states [31, 32]. In first order, a screw dislocation has a pure shear strain. However, calculations have shown that this results only in split off states from the conduction band [12]. In second instance, there is a dilatation field associated with a screw and resulting in states split off from both valence and conduction band. The experimental results suggest that the binding energy of the shear field is larger than that for the dilatation one, in qualitative agreement with theory [11, 12]. The corresponding density of states is equal to the number of atoms along the dislocation line, that is, 1/b states per unit length of dislocation [32]. While the half-filled band model provides a fair interpretation of the Hall measurements on deformed germanium, some experimental evidence does not fit into the picture. As will be detailed later, the absence of microwave conductivity along dislocations in n-type material compared with p-type and the complex structure of the photoconductivity (PC) and absorption spectra point against the simple 1D band picture [33]. Also some Hall results did not completely agree with the interpretation by the G¨ottingen Group [33,34].

2.2 Electronic States of Dislocations

75

Kolyubakin and Shevchenko arrive at a lower neutral edge dislocation level at EV + 0.07 to 0.075 eV, independent of the starting conductivity [33], for small values of fed ( 0 and the barrier height is reduced. In that case, a nonlinear recombination of the carriers is observed, whereby Δn obeys Δn =

G η0 kT η0 Nd ln + Nd (EC − EF ) 2 . 2 q ΦB cn N d N C q ΦB

(2.29)

The steady-state PC grows with Nd although slower than linear in this regime. It is also nonlinear in the generation rate G, as according to (2.29) and Fig. 2.47 it follows an ln(G) dependence. The nonlinearity of the recombination process is most easily seen in the decay transient after switching off the illumination. In that case, the excess electrons decay according to [145] t + t0 kT η0 Nd ln . 2 q ΦB τn

(2.30)

kT η0 Nd q 2 ΦB G

(2.31)

Δn ≈ Δm = − In (2.30), the “offset” time t0 equals t0 =

and the electron “lifetime” τn is given by τn =

kT η0 1 exp([EC − EF ]/kT ). q 2 ΦB cn N C

(2.32)

The time t0 is determined by the initial condition of the sample and is smaller than τn for T < T2 [145]. The time constant τn depends only on material and defect parameters and not on the excitation level or the dislocation density and can be considered as a generalized electron lifetime. It is the characteristic time of the decay process in the nonlinear regime, similar to the SRH lifetime in the linear regime, where the decay is an exponential process with time. The logarithmic nature of the decay is shown in Fig. 2.48, and the x-axis intercept is given by t = τn − t0 . At times comparable with τn , the excess carrier concentration is quite small, so that an exponential decay results. On the other hand, the rise of the intrinsic PC in the nonlinear regime is well described by an exponential

2.6 Impact of Dislocations on Optical Properties

117

Δn = Δn(∞)(1 − exp(−t/t0 )).

(2.33)

As can be seen from (2.32), τn is very sensitive to temperature and can become quite large at low T . For T where τn ≥ 103 s, the material becomes extremely photosensitive, as can be derived from Fig. 2.46. It implies that even the lowest background radiation can considerably change the experimental zero level. For long time constants, the excess carrier concentration remains at a practically unchanging level after switching of the light, leading to a so-called photomemory effect [145]. At the same time, both the dislocation density (Fig. 2.49a) and the illumination level (Fig. 2.49b) have a pronounced impact on the intrinsic PC in deformed Ge, particularly at low temperature in the trapping range. According to (2.29), an activation energy can be derived from the PC in the trapping range. For n-type Ge, values of −0.42 eV were consistently obtained [145], whereby no definite shift with doping level in the range 1013 –1015 cm−3 was observed. For p-type material EC − EF = 0.24–0.30 eV. These two values add up to the band gap energy in Ge. It should finally be remarked that a similar (complementary) analysis holds for the intrinsic PC in 80 5

Change in Photoconductivity (%)

n-Si T = 77 K 60

4 3

40

20 2 1

0 0.001

0.01

10 Illumination Intensity (x103 a.u.) 0.1

1

100

1000

Fig. 2.47. Steady-state photoconductivity in plastically deformed Si vs. illumination intensity: (1) reference sample; (2) heated but unbent sample; (3)–(5) sample bent to the radii of curvature 12, 6, and 3 cm, respectively (after [145])

118

2 Electrical and Optical Properties

plastically deformed p-type Ge, whereby in the trapping range, the monopolar conduction is by holes [141]. Finally, under background illumination, a quenching of the intrinsic PC has been observed for n-type Ge samples [144]. The spectral dependence of the extrinsic PC (hν < 0.7 eV) in deformed Ge has also been the subject of intense studies [144, 146–154]. As the absorption cross section for direct absorption measurements is rather small, it is better to use the more sensitive extrinsic PC measurements at low T . However, the interpretation is not straightforward as both generation and recombination of carriers may contribute to the signal, both in the bulk and at the surface of the sample [148]. A comprehensive summary of the optical excitation of dislocation states in Ge has been provided by Mergel and Labusch [150, 151], where the PC spectra were compared with radiative recombination data. Figures 2.50 and 2.51 summarize the PC spectra in deformed p- and n-type material, respectively. No extrinsic PC was found before deformation below the intrinsic regime [150]. In deformed p-Ge, a peak at 0.18 eV and a second one at 0.48 eV plus a broad band at 0.6 eV was observed [150]. In n-type material, qualitatively similar spectra were obtained (compare Figs. 2.50 and 2.51). This implies that the same kind of transitions was observed in both material types. However, an additional exponential generation band was found in n-Ge, between 0.45 eV and the band gap (Fig. 2.51). The lower G band at 0.2 (+0.27) eV disappears in n-Ge as the response time (time constant) becomes fast. 4

Change in Photoconductivity (a.u.)

1

n-Si T = 195 K

2

3

3 4

2 4

1

tn

0 1

10

100

Time (s)

Fig. 2.48. Decay of the photoconductivity after illumination of the sample by a rectangular light pulse. (1) 150 Ω cm sample bent to a curvature radius of 3 cm, (2) and (4) 1,000 Ω cm unbent sample at two different illumination levels, and (3) 35 Ω cm, unbent sample (after [145])

2.6 Impact of Dislocations on Optical Properties

119

Fig. 2.49. (a) Theoretical dependence of photoconductivity vs. reciprocal temperature for different dislocation densities. (b) Theoretical dependence of photoconductivity vs. reciprocal temperature for different illumination levels (after [145])

120

2 Electrical and Optical Properties 1

6 12

NA= 7.5x10

-3

cm

Nd = 107 cm-2

0.1 4

3 0.01

Log Generation Rate

Decay Time (s)

5

2 60 K 0.001

1 0.1

0.2

0.3

0.4

0.5

0.6

0.7

0.8

Photon Energy (eV)

Fig. 2.50. Generation yield G (right) and characteristic decay times (left) vs. the photon energy in deformed p-Ge. The doping concentration was NA = 7.5 × 1012 cm−3 , the dislocation density Nd = 107 cm−2 (predominantly edge type). G(hν) is given in arbitrary units on a logarithmic scale. No signals could be resolved below hν = 0.38 eV at 93 K (after [150])

2.6 Impact of Dislocations on Optical Properties 100

121

6

10

5

1

4

0.1

3

0.01

2

ND = 8.3x1013 cm-3 Nd =

2.5x107

Log Generation Rate

Decay Time (s)

67 K

cm-2

0.001

1 0.1

0.2

0.3

0.4

0.5

0.6

0.7

0.8

Photon Energy (eV) 7

1

0.1

5 130 K

4

0.01

3 ND = 8.3x1013 cm-3

Log Generation Rate

Decay Time (s)

6

2

Nd = 2.5x107 cm-2

0.001

1

0.1

0.2

0.3

0.4

0.5

0.6

0.7

0.8

Photon Energy (eV) Fig. 2.51. Generation yield G (right) and characteristic decay times τ (left) vs. the photon energy hν in deformed n-Ge. The doping concentration was ND = 8.3 × 1013 cm−3 , the dislocation density Nd = 2.5 × 107 cm−2 (predominantly edge type). In the upper half of the energy range G(hν) seems to consist of an exponential background and two peaks, similar to those in p-type Ge, as indicated by the dashed lines (after [150])

122

2 Electrical and Optical Properties

A polarization parallel with the Burgers vector of the predominant dislocation type has been found in the extrinsic PC [146, 148]. Maximum PC was observed parallel and minimum PC perpendicular with the direction of the Burgers vector. As shown in Fig. 2.52, the polarization peaks correspond with the G peaks in n-Ge [150]. Also the recombination peak at ∼0.51 eV corresponds to one of the PC maxima. The stationary photocurrent is proportional with the decay time τ , so that it is an indirect measurement of this parameter. The photocurrent is temperature activated in a broad observation window, whereby the activation energy EA only weakly depends on doping and dislocation density. In the lower G band, EA ∼ 0.1 to 0.18 eV in n-Ge and 0.1–0.15 eV in p-Ge. In the upper G band, one obtains EA = 0.4–0.5 eV [150]. From this, it was concluded that the recombination paths are different for the lower and upper G band. For the lower G band, it has been assumed that the half-filled band of acceptor states determines the PC. In the upper band, empty dislocation states close to the conduction band play the key role, whereby transitions occur between the valence band and the upper band [150]. The continuous and exponential generation background in n-type material (Fig. 2.51) is then supposed to originate from transitions from the valence band to tunneling states localized at the dislocations in their potential barrier. The recombination through the exponential and upper G band follows the same path, as can be derived from the lack of structure in τ vs. hν (Figs. 2.51 and 2.52) [150]. The nature of the extrinsic PC decay has also been investigated to some extent [147, 149]. It was observed that the relaxation time of PC increases with increasing photon energy, whereby a nonexponential decay was the rule rather than the exception [149]. For hν > 0.5 eV a slow, logarithmic type of PC dominates, similar as for the intrinsic regime (hν = 0.7 eV). For 0.25 < hν < 0.5 eV, the PC is characterized by a shorter relaxation time and a different type of PC. For hν < 0.25 a third type of relaxation occurs, with a fast time constant. At the same time, the intensity of the PC is lower than for the higher energy regimes. The situation is summarized in Fig. 2.53 [149]. The logarithmic type of PC decay was interpreted in terms of the generation of majority carriers whose recombination is governed by the potential barriers at the dislocations (Figielski model [145]). The fast PC at low energies was assumed to originate from the same electronic transitions responsible for an absorption band at 0.1 eV [149]. More recent experiments have used the same arrangement to study the DC conductivity of a small group of dislocations [59] and to measure the related PC along it and towards the Ge bulk [59, 153]. Typical results are shown in Figs. 2.54 and 2.55 [153]. A prominent double peak is observed in Fig. 2.55 at 0.38 eV. The sharp increase at 0.33 eV and the sharp drop at 0.38 eV are characteristic for the singularity in the density of states of a 1D band. According to the model schematically represented in Fig. 2.56 [59], there are three 1D bands associated with a 60◦ -dislocation. First, we have the primary band with neutral level at EV + 0.1 eV and a small band gap

124

2 Electrical and Optical Properties

Photoconductivity (a.u.)

1000

100

0.27 eV 10

0.6 eV

hn = 0.125 eV

1 10

100

1000

10000

Chopping Frequency (Hz) Fig. 2.53. Typical dependences of the photoconductivity on the frequency of light chopping for three photon energies (after [149])

occupation limit of the core states in the absence of an electrostatic potential ∼0.1 eV). Based on these considerations, it was concluded that the double peak at 0.37–0.38 eV is related to internal transitions at the core states, while the rise above 0.42 eV is due to transitions from bound dislocation states to free conduction band states in the bulk [153]. The structure around 0.5 eV in Fig. 2.54 (0.49–0.53 eV range) is another contribution by internal core state transitions. The dependence of the PC in deformed Ge has also been measured as a function of hydrostatic pressure using various gases [155,156]. It was observed that when helium was used as a pressure-transducing medium, the photoconductivity dropped, for 0.3 × 108 Pa < P < 3 × 108 Pa [157]. This was explained by considering that helium atoms penetrated the material through the dislocation cores. The presence of helium atoms pushes the dangling bonds closer to each other, provoking bond reconstruction to happen. It was assumed that this pushes the associated bands of states outside the band gap and reduces the recombination activity of the dislocations. As such, it can be considered an indirect proof of the existence of dangling bonds in the dislocation core. At P > 3 × 108 Pa, the PC shows a steep increase, due to the reduction of the band gap with pressure P and the exponential dependence of the PC intensity on EG . Beyond this range, the photoconductivity is the same for deformed and nondeformed material [157]. It was also found that in the spectral range 0.2 eV < hν < 0.35 eV the PC was insensitive to pressure. These transitions

2.6 Impact of Dislocations on Optical Properties

125

Normalised Photoconductivity (a.u.)

0.75

0.6

0.45

0.3

0.15

0 0.26

0.34

0.42

0.5

0.58

hw (eV)

Fig. 2.54. Photoconductivity along a group of twenty dislocations in n-Ge with doping concentration ND = 3 × 1013 cm−3 at 90 K. Applied voltage is 0.3 V. The current was normalized by the relative light intensity (after [153]) 1

Normalised Photoconductivity (a.u.)

0.9 0.8 0.7 0.6 0.5 0.4 0.3 0.2 0.1 0 0.3

0.4

0.5

0.6

0.7

hw (eV)

Fig. 2.55. Photoconductivity from the same dislocations as in Fig. 2.53 to the bulk at 60 K. Applied voltage 0.3 V, dislocations negative, bulk contact positive (after [153])

126

2 Electrical and Optical Properties

Fig. 2.56. Band structure of 60◦ -dislocations according to Mergel and Labusch, modified to take into account the Peierls gap (after [59])

were therefore ascribed to electron transitions from the dislocation donor to the acceptor band [157]. A total band width of 0.15 eV was derived, which was split up in 0.09 eV for the acceptor band and 0.06 eV for the donor band. It was assumed that the gap between the two partial dislocation bands widens with pressure, while the core atom spacing reduces at the same time [157]. 2.6.4 Photoluminescence Dislocation photoluminescence has been investigated by a few groups [158– 161]. A typical spectrum is represented in Fig. 2.57 [160]. As can be seen, the same spectral features are observed in both PC and PL, indicating that they are due to the same electronic transitions between the conduction band and dislocation states [160]. Moreover, it was found that the PC spectrum is stable against annealing from 420 to 880◦ C, independent on doping density and dislocation structure [160]. In low-temperature deformed Ge (460◦ C) some short wavelength features have been ascribed to donor–acceptor (or excited dislocation states) transitions [159]. This was related to the fact that the short wavelength PL increased slowly with pumping intensity and was strongly dependent

2.6 Impact of Dislocations on Optical Properties

127

Fig. 2.57. Photoconductivity (PC, curves 1–5) and photoluminescence (PL, curve 6) spectra of deformed n-type germanium samples with different types of doping. Measurement temperature 160 K (1–4) and 30 K (5,6). Annealing after deformation at temperatures 880 ◦ C (4) and 680 ◦ C (1–3,5,6) (after [160])

on doping density. It was also demonstrated that the quantum yield of the dislocation-related PL is low: 10−4 at 80 K and 10−6 at 130 K [158]. This points out that the majority of the recombination events through dislocations is nonradiative. PL studies of grown-in dislocations were performed by the Yoffe group, also showing a sharp transition at 513 meV, represented in Fig. 2.58 [161]. The interpretation was that the line results from electron–hole recombination between the two split-off 1D energy bands, at 150 meV below EC and 80 meV above EV . The binding energy of the dislocation exciton (DE) was shown to be ∼2 meV (Fig. 2.59) and is believed to be associated with the 90◦ partial dislocation [161]. The activation energy of the line intensity at higher T determines the binding energy of the hole to the dislocation (∼80 meV). The resulting electron binding energy to the 1D energy band is then EG − 0.08 − hν(= 0.513 eV). According to Fig. 2.60, the line position of the DE line behaves in the same way as the free exciton (FE) with

130

2 Electrical and Optical Properties

d8

Photoluminescence Intensity

4.2 K

a

b

c

d

e

425

450

475

500

525

550

575

Energy (meV) Fig. 2.61. DPL spectra of Ge after standard second stage deformation with stress parallel with [ 123 ]: (a) 0, (b) 200, (c) 450, (d) 700, (e) 800 MPa (after [162])

The line number n is given by the relation n ≈ (Δn /a) − 6, where a = 0.346 is the step of changing Δn [163]. This nonequilibrium PL structure disappears after annealing above 150 ◦C [163]. A quasi-equilibrium dislocation structure develops under relaxation of the internal stresses in the process of unloaded cooling down to RT after high temperature and low shear stress deformation, as well as after a 150 ◦ C (or higher) annealing of high-stress deformed material [163]. In that case, the PL band becomes broad over the range 0.43–0.60 eV with nonresolved lines. This could be due to the presence of quasi-equilibrium 60◦ dislocations with various values of Δn. A theory was developed explaining the DE binding energy in terms of the zero-range potential approach to describe the electron–hole interaction, yielding an expression for EDE = μDE q 4 /(εGe ε0 )2 ¯h2 = 3 meV [161]. μDE is the reduced mass of the DE, assumed to be small and equal to 0.03m0 [161].

2.7 Conclusions

131

The electron–hole pair is thought to be bound by a rectangular potential wall, which is limited by the screening length of the DB charges in the core of the 90◦ partial dislocation of about 3 nm. The same model was used to explain the observation of DE PL line series in deformed germanium, containing nonequilibrium dislocations (Fig. 2.61). It is thought to be related to the splitting of a 60◦ dislocation into a 90◦ and a 30◦ partial. The presence of the 30◦ partial can be seen as a perturbation, whereof the impact is inversely proportional with the separation distance (splitting or stacking fault width). Since this can take up only discrete values, a series of spectral lines for the DE may result from this, whereby the E0 value (=513 meV) corresponds with a separation distance of 4.9 nm in Ge and is the only line that survives annealing [161]. It was also observed that there exists a so-called “hot luminescence” background, which has been shown to originate from the nonconstant splitting width along the dislocation core. Finally, a detailed model was proposed to explain e–h recombination and PL through dislocations; for details, the Reader is referred to the work by Lelikov et al. [161]. The photo-Hall effect in deformed germanium has been investigated by Weber [164]. The results show that the dislocations are excited in the whole spectral region of 0.1–0.8 eV.

2.7 Conclusions Over the years, the model for the deep-level states of dislocations in germanium has evolved from a single energy level associated with DBs to a complex scheme of 1D energy bands, which reasonably well account for the electrical and optical activity. Significant progress in the understanding of the origin of these levels has also been acquired, in spite of some serious experimental difficulties: while deformation conditions are known to activate a single slip system and thus introduce one dominant dislocation type, one is never 100% sure that there are no additional point defects introduced or gettered in the stress field of the dislocations. In addition, dislocations are for the most part split in partials, with a stacking fault in between, so that the observed deep levels should rather be interpreted in terms of 30◦ or 90◦ partials and/or the SF in between. Moreover, defects in the dislocations structure (kinks and jogs, etc.) may equally contribute to the electrical activity. A specific problem in the case of germanium is that it is difficult to apply electron spin resonance (ESR) for the identification of dangling bonds in the dislocation core, for example. Nevertheless, it has become clear that certain features are intrinsic to the dislocation core – the most convincing one perhaps is the 1D microwave conduction pointing to some bands of states in the band gap of Ge. Further proof comes from the anisotropy of the optical dislocation properties (absorption, photoconductivity). In addition, theoretical calculations have pointed out that shallow 1D bands are split off from the valence and conduction band, which

132

2 Electrical and Optical Properties

are most likely responsible for the (optical) recombination activity and the PL of dislocations. Referring to the better studied case of silicon, additional deep-level core states are assumed to correspond with impurities and structural defects, thereby strongly enhancing the electrical activity of dislocations. From PL investigations, it has become clear that the optical recombination proceeds through the shallow split-off states and is probably associated with the 90◦ partial, with little activity for the 30◦ partial. The latter merely modulates the energy position of the PL line, through a wider splitting (larger SF width). Similar as for the case of dislocations in silicon, it can be expected that there is potential interest of utilizing dislocations in Ge for certain applications. In principle, a dislocation forms a 1D conductor, similar to a nanowire, so that this could be used as a potential model system for future nanoelectronic applications. The optical recombination, albeit not very efficient, could be exploited for the development of light-emitting diodes in Ge, operating in the (far) infrared region, which is of interest for fiber opto-electronics applications. This requires an optimization of the dislocation structure, produced in a p-n junction. Especially in a Ge-on-Si platform, relying on the epitaxial deposition of Ge directly on silicon or using a SiGe virtual substrate, this could be an interesting option, whereby one can exploit the threading dislocations present in these layers. However, this requires a better understanding of the electrical and optical activity of dislocations in thin Ge layers and on devices produced in them, like MOSFETs and p-n junctions.

References 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. 16. 17. 18. 19. 20.

C.J. Gallagher, Phys. Rev. 88, 721 (1952) W.C. Ellis, E.S. Greiner, Phys. Rev. 92, 1061 (1953) G.L. Pearson, W.T. Read Jr, F.J. Morin, Phys. Rev. 93, 666 (1954) A.G. Tweet, Phys. Rev. 99, 1245 (1955) W. Bardsley, Prog. Semicond. 4, 157 (1960) W. Shockley, Phys. Rev. 91, 228 (1953) W.T. Read Jr., Philos. Mag. 45, 775 (1954) W.T. Read Jr., Philos. Mag. 45, 1119 (1954) W. Schr¨ oter, Phys. Stat. Sol. 21, 211 (1967) W. Schr¨ oter, R. Labusch, Phys. Stat. Sol. 36, 539 (1969) R. Landauer, Phys. Rev. 94, 1386 (1954) V. Celli, V. Gold, R. Thomson, Phys. Rev. Lett. 8, 96 (1962) J.N. Hobstetter, C.A. Renton, J. Appl. Phys. 33, 600 (1962) J.W. Allen, J. Electron. 1, 580 (1956) W. Schr¨ oter, H. Cerva, Solid State Phenom. 85–86, 67 (2002) R.M. Broudy, J.W. McClure, J. Appl. Phys. 31, 1511 (1960) R.M. Broudy, Adv. Phys. 12, 135 (1963) J.H.P. van Weeren, G. Koopmans, J. Blok, Phys. Stat. Sol. 27, 219 (1968) J. Krylow, J. Auleytner, Phys. Stat. Sol. 32, 581 (1969) C.V. Collins, M.H. Miles, J. Appl. Phys. 42, 5644 (1971)

References 21. 22. 23. 24. 25. 26. 27. 28. 29. 30. 31. 32. 33. 34. 35. 36. 37. 38. 39. 40. 41. 42. 43. 44. 45. 46. 47. 48. 49. 50. 51. 52. 53. 54. 55. 56. 57. 58. 59. 60. 61. 62. 63. 64.

133

J.N. Hobstetter, P. Breidt Jr., J. Appl. Phys. 28, 1214 (1957) R.K. Mueller, J. Appl. Phys. 30, 2015 (1959) L. Bliek, W. Schr¨ oter, Phys. Stat. Sol. 14, K55 (1966) W. Schr¨ oter, Inst. Phys. Conf. Ser. 46, 114 (1979) W. Schr¨ oter, E. Scheibe, H. Schoen, J. Microsc. 118, 23 (1979) K.D. Usadel, W. Schr¨ oter, Philos. Mag. B 37, 217 (1978) R. Labusch, R. Schettler, Phys. Stat. Sol. (A) 9, 455 (1972) H. Veth, M. Lannoo, Philos. Mag. B 50, 93 (1984) S. Mantovani, U. del Pennino, E. Mazzega, Phys. Stat. Sol. (A) 35, 451 (1976) U. del Pennino, S. Mantovani, Phys. Stat. Sol. (A) 38, 109 (1976) R. Wagner, Phys. Stat. Sol. (A) 24, 575 (1974) R. Wagner, P. Haasen, Inst. Phys. Conf. Ser. No. 23, 387 (1975) A.I. Kolyubakin, S.A. Shevchenko, Phys. Stat. Sol. (A) 63, 677 (1981) A.I. Kolyubakin, Y.A. Osip’yan, S.A. Shevchenko, Sov. Phys. Solid State 25, 1234 (1983) W. G¨ uth, Phys. Stat. Sol. (B) 51, 143 (1972) A. Claesson, Phys. Stat. Sol. (B) 61, 599 (1974) S. Winter, Phys. Stat. Sol. (B) 79, 637 (1977) V.L. Bonch-Bruevich, V.B. Glasko, Sov. Phys. Solid State 3, 26 (1961) V. Heine, Phys. Rev. 146, 568 (1966) W. G¨ uth, W. Haist, Phys. Stat. Sol. 17, 691 (1966) R.A. Brown, Phys. Rev. 156, 692 (1967) R.A. Brown, Phys. Rev. 156, 889 (1967) S. Marklund, Phys. Stat. Sol. (B) 85, 673 (1978) A. Osip’yan Yu, I.A. Ryzhkin, Sov. Phys. JETP 52, 489 (1980) I.A. Ryzhkin, Sov. Phys. Solid State 24, 28 (1982) S. Winter, Phys. Stat. Sol. (B) 90, 289 (1978) H. Veth, H. Teichler, Philos. Mag. B 49, 371 (1984) W. Yong-Liang, Phys. Rev. B 40, 5669 (1989) S.N. Karyagin, Phys. Stat. Sol. (A) 68, K113 (1981) E.J. Pakulis, C.D. Jeffries, Phys. Rev. Lett. 47, 1859 (1981) E.J. Pakulis, J. Magn. Resonance 51, 490 (1983) R. Labusch, Physica 117B–118B, 203 (1983) A. Osip’yan Yu, V.I. Tal’yanski˘i, S.A. Shevchenko, Sov. Phys. JETP 45, 810 (1977) A. Osip’yan Yu, V.I. Tal’yanski˘i, A.A. Kharlamov, S.A. Shevchenko, Sov. Phys. JETP 49, 840 (1979) A. Osip’yan Yu, V.M. Prokopenko, V.I. Tal’yanski˘i, A.A. Kharlamov, S.A. Shevchenko, JETP Lett. 30, 111 (1980) A. Osip’yan Yu, S.A. Shevchenko, JETP Lett. 20, 328 (1974) A. Osip’yan Yu, S.A. Shevchenko, JETP Lett. 33, 207 (1981) V.V. Kveder, R. Labusch, A. Ossip’yan Yu, Phys. Stat. Sol. (A) 92, 293 (1985) J. Hess, R. Labusch, Phys. Stat. Sol. (A) 138, 617 (1993) C.A. Hogarth, A.C. Baynham, Proc. Phys. Soc. 71, 647 (1958) J. Krylow, Phys. Stat. Sol. 32, 589 (1969) S.A. Shevchenko, A.I. Kolyubakin, Sov. Phys. Semicond. 13, 613 (1979) S.A. Shevchenko, L.I. Khodos, I.I. Snighireva, Phys. Stat. Sol. (A) 91, 523 (1985) P. Gondi, S. Mantovani, F. Schintu, Phys. Stat. Sol. (A) 7, 91 (1971)

134 65. 66. 67. 68. 69. 70. 71. 72. 73. 74. 75. 76. 77. 78. 79. 80. 81. 82. 83. 84. 85. 86. 87. 88. 89. 90. 91. 92.

93. 94. 95. 96. 97. 98. 99. 100. 101. 102. 103. 104.

2 Electrical and Optical Properties A. Cavallini, P. Gondi, Lett. Nuovo Cimento 10, 115 (1974) A. Cavallini, P. Gondi, A. Castaldini, Phys. Stat. Sol. (A), 43, K205 (1977) P. Gondi, A. Cavallini, A. Castaldini, J. de Phys. Colloque C6 40, C6–71 (1979) A. Cavallini, P. Gondi, A. Castaldini, Phys. Stat. Sol. (A) 63, 143 (1981) M. Albers, A. Gabriel, W. Schr¨ oter, Inst. Phys. Conf. Ser. No. 31, 509 (1977) F.H. Baumann, W. Schr¨ oter, Phys. Stat. Sol. (A) 79, K123 F.H. Baumann, W. Schr¨ oter, Philos. Mag. 48, 55 (1983) G.S. Hubbard, E.E. Haller, J. Electron Mater. 9, 51 (1980) E. Simoen, P. Clauws, J. Vennik, Solid State Commun. 54, 1025 (1985) M. Van Sande, L. Van Goethem, L. De Laet, H. Guislain, Appl. Phys. A 40, 257 (1986) J.B. Arthur, A.F. Gibson, J.W. Granville, E.G.S. Paige, Philos. Mag. 3, 940 (1958) R.A. Logan, G.L. Pearson, D.A. Kleinman, J. Appl. Phys. 30, 885 (1959) B. P¨ od¨ or, Phys. Stat. Sol. 16, K167 (1966) J.H.P. Van Weeren, R. Struikmans, J. Blok, Phys. Stat. Sol. 19, K107 (1967) J.H.P. Van Weeren, R. Struikmans, G. Koopmans, J. Blok, Phys. Stat. Sol. 27, 225 (1968) F. Calzecchi, P. Gondi, S. Mantovani, Il Nuovo Cimento 53B, 203 (1968) F. Calzecchi, P. Gondi, S. Mantovani, J. Appl. Phys. 40, 82 (1969) D.L. Dexter, F. Seitz, Phys. Rev. 86, 964 (1952) W.T. Read Jr., Philos. Mag. 46, 111 (1955) A.F. Gibson, E.G.S. Paige, Philos. Mag. 3, 950 (1958) E.M. Kuznetsova, Sov. Phys. Solid State 3, 1446 (1962) Y.V. Kornyushin, S.I. Pekar, Sov. Phys. Solid State 8, 895 (1966) R.A. Vardanian, G.G. Kirakosian, Phys. Stat. Sol. (B) 126, K83 (1984) W. Schr¨ oter, Phys. Stat. Sol. 31, 177 (1969) A. Osip’yan Yu, S.A. Shevchencko, Sov. Phys. JETP 38, 345 (1974) J. Liu, D.D. Cannon, K. Wada, Y. Shikawa, D.T. Danielson, S. Jongthammanurak, J. Michel, L.C. Kimerling, Phys. Rev. B 70, 155309–1/5 (2004) D. Monroe, Y.H. Xie, E.A. Fitzgerald, P.J. Silverman, G.P. Watson, J. Vac. Sci. Technol. B 11, 1731 (1993) E. Simoen, G. Brouwers, G. Eneman, M. Bargallo Gonzalez, B. De Jaeger, J. Mitard, D.P. Brunco, L. Souriau, N. Cody, S. Thomas, M. Meuris, E-MRS (2008) G.K. Wertheim, G.L. Pearson, Phys. Rev. 107, 694 (1957) R.A. Logan, M. Schwartz, Phys. Rev. 96, 46 (1954) J. Okada, J. Phys. Soc. Jpn. 10, 1110 (1955) A.D. Kurtz, S.A. Kulin, B.L. Averbach, Phys. Rev. 101, 1285 (1956) A.D. Kurtz, S.A. Kulin, B.L. Averbach, J. Appl. Phys. 27, 1287 (1956) J.P. McKelvey, Phys. Rev. 106, 910 (1957) C.A. Hogarth, P.J. Hoyland, J. Electron Control 4, 60 (1958) F.D. Rosi, RCA Rev. 19, 349 (1958) M.I. Iglitsyn, L.I. Kolesnik, Sov. Phys. Solid State 2, 1400 (1960) A.D. Belyaev, V.N. Vasilevskaya, E.G. Miselyuk, Sov. Phys. Solid State 2, 208 (1960) L.I. Kolesnik, Sov. Phys. Solid State 4, 1066 (1962) P.G. Eliseev, K. Ch’ang-ho, I.A. Nakhodnov, Sov. Phys. Solid State 4, 2109 (1963)

References 105. 106. 107. 108. 109. 110. 111. 112. 113. 114. 115. 116. 117. 118. 119. 120. 121. 122. 123. 124. 125. 126. 127. 128. 129. 130. 131. 132. 133. 134. 135. 136. 137. 138. 139. 140. 141. 142. 143. 144. 145. 146. 147. 148. 149. 150. 151.

135

R.L. Bell, C.A. Hogarth, J. Electron Control 3, 455 (1957) S.S. Kulin, A.D. Kurtz, Acta Metall. 2, 354 (1954) S.R. Morrison, Phys. Rev. 104, 619 (1956) V. Gulyaev Yu, Sov. Phys. Solid State 3, 796 (1961) E.B. Sokolova, Sov. Phys. Semicond. 3, 1266 (1970) R.A. Vardanyan, Sov. Phys. JETP 46, 1210 (1977) W. Schr¨ oter, Phys. Stat. Sol. (A) 19, 159 (1973) V.B. Shikin, N.I. Shikina, Phys. Stat. Sol. (A) 108, 669 (1988) J. Hess, J. Schreiber, S. Hildebrandt, R. Labusch, Phys. Stat. Sol. (B) 172, 225 (1992) S. Roy Morrison, Phys. Rev. 99, 1904 (1955) J.J. Brophy, J. Appl. Phys. 27, 1383 (1956) L. Bess, Phys. Rev. 103, 72 (1956) L. Gouskov, G. Lecoy, C. Llinares, M. Savelli, Phys. Stat. Sol. 16, 721 (1966) S. Sch¨ afer, Solid-State Electron 11, 675 (1968) M. Bernard, B. Leduc, J. Phys. Chem. Solids 13, 168 (1960) O. Ryuzan, J. Phys. Soc. Jpn. 16, 2177 (1961) P.A. Glasow, E.E. Haller, IEEE Trans. Nucl. Sci. NS-23, 92 (1976) G.S. Hubbard, E.E. Haller, W.L. Hansen, IEEE Trans. Nucl. Sci. NS-26, 303 (1979) H. Niizuma, T. Imai, Jpn. J. Appl. Phys. 4, 282 (1965) B.S. Muravskii, Sov. Phys. Solid State 4, 1820 (1963) H.G. Lipson, E. Burstein, P.L. Smith, Phys. Rev. 99, 444 (1955) M. Meyer, M.H. Miles, T. Ninomiya, J. Appl. Phys. 38, 4481 (1967) W. Barth, K. Els¨ asser, Phys. Stat. Sol. (B) 48, K147 (1971) H. Schaumburg, F. Willmann, Phys. Stat. Sol. (A) 34, K173 (1976) W. Barth, L. Elsaesser, W. G¨ uth, Phys. Stat. Sol. (A) 34, 153 (1976) S. Winter, Phys. Stat. Sol. (B) 85, K95 (1978) R. Newman, Phys. Rev. 105, 1715 (1957) ` La Guillaume, J. Phys. Chem. Solids 8, 150 (1959) C. Benoit A A.A. Gippius, V.S. Vavilov, Sov. Phys. Solid State 6, 1873 (1965) L. Ivanov Yu, Sov. Phys. Solid State 7, 629 (1965) W. Barth, M. Bettini, U. Ostertag, Phys. Stat. Sol. (A) 3, K177 (1970) E.B. Sokolov, Sov. Phys. Solid State 7, 390 (1965) L.I. Kolesnik, A. Kontsevoi Yu, Sov. Phys. Solid State 6, 131 (1964) M. Jastrzebska, T. Figielski, Phys. Stat. Sol. 7, K101 (1964) T.R. Figielski, A.D. Belyaev, Sov. Phys. Solid State 6, 1690 (1965) Z. Golacki, T. Figielski, M. Jastrzebska, Phys. Stat. Sol. 11, K35 (1965) M. Jastrzebska, T. Figielski, Phys. Stat. Sol. 14, 381 (1966) Z. Golacki, T. Figielski, Phys. Stat. Sol. 20, K1 (1967) M. Jastrzebska, T. Figielski, Phys. Stat. Sol. 32, 791 (1969) E. Kamieniecki, Phys. Stat. Sol. (A) 4, 257 (1971) T. Figielski, Solid-State Electron 21, 1403 (1978) M.H. Miles, J. Appl. Phys. 40, 2720 (1969) W. Barth, G. Langohr, Phys. Stat. Sol. (A) 3, K289 (1970) E. Kamieniecki, K. Els¨ asser, Phys. Stat. Sol. (B) 56, K25 (1973) K. Els¨ asser, E. Kamieniecki, Phys. Stat. Sol. (A) 26, K37 (1974) D. Mergel, R. Labusch, Phys. Stat. Sol. (A) 41, 431 (1977) D. Mergel, R. Labusch, Phys. Stat. Sol. (A) 42, 165 (1977)

136

2 Electrical and Optical Properties

152. A.I. Kolyubakin, A. Osipov Yu, S.A. Shevchenko, Sov. Phys. JETP 50, 491 (1979) 153. R. Labusch, J. Hess, Phys. Stat. Sol. (A) 146, 145 (1994) 154. W. Schr¨ oter, H. Hedemann, V. Kveder, F. Riedel, J. Phys. Condens. Matter 14, 13047 (2002) 155. B. Pohoryles, R. Piotrzkowski, Phys. Stat. Sol. (A) 47, K115 (1978) 156. B. Pohoryles, J. Jung, J. Phys. Chem. Solids 45, 671 (1984) 157. B. Pohoryles, Phys. Stat. Sol. (A) 116, 349 (1989) 158. A.A. Gippius, V.S. Vavilov, V.S. Konoplev, Sov. Phys. Solid State 6, 1741 (1965) 159. E.A. Steinmann, Crystal Res. Technol. 16, 247 (1981) 160. A.I. Kolyubakin, Y.A. Osip’yan, S.A. Shevchenko, Sov. Phys. JETP 66, 142 (1987) 161. S. Lelikov Yu, T. Rebane Yu, G. Shreter Yu, Inst. Phys. Conf. Ser. No. 104, 119 (1989) 162. A.N. Izotov, A.I. Kolyubakin, S.A. Shevchenko, E.A. Steinman, Phys. Stat. Sol. (A) 130, 193 (1992) 163. S. Shevchenko, A. Tereshchenko, Phys. Stat. Sol. (C) 4, 2898 (2007) 164. H.R. Weber, Phys. Stat. Sol. (A) 25, 445 (1974)

3 Grain Boundaries in Germanium

3.1 Introduction In a polycrystalline material, the different grains with a certain orientation are separated by a grain boundary (GB). A GB can be considered as a regular arrangement of misfit dislocations, which accommodate for the misorientation between two grains. Following Read and Shockley, this can be represented schematically as shown in Fig. 3.1 [1,2]. An important parameter is the misfit angle or the angle of inclination θ, which defines the distance between the dangling bonds in the GB plane. A distinction can be made between smallangle GBs (or lineage) with θ < 1◦ , medium-angle GBs with 1◦ < θ < 25◦ , and high-angle GBs with θ > 25◦ [3]. In the following sections, the properties of GBs in Ge will be discussed. Section 3.2 describes the basic structure and gives some general definitions. The electrical properties and, in particular, the conductance along GBs is the subject of Sect. 3.3. Finally, Sect. 3.4 reviews the optical performance and outlines the potential use as photodetector.

3.2 Structure and Observation of Grain Boundaries The use of optical microscopy of preferentially etched Ge samples revealed that as-grown lineage boundaries could indeed be described as a regular array of edge dislocations, spaced at a distance b/θ [4–7]. It was also observed that electrolytic etching of n- or p-type Ge yielded differently shaped etch pits for dislocations in a lineage boundary [8]. In p-type, only shallow etch pits resulted, while in n-Ge, deep features developed at the dislocations. This was explained by considering the forward biasing for the electrolyte/p- and the reverse biasing for the electrolyte/n-type Ge junction [8]. The deep pits were supposed to develop at breakdown sites. The etching was retarded when holes could not reach the electrolyte/semiconductor interface. This was typically

138

3 Grain Boundaries in Germanium 2θ1 E0θ1A = ΔE2

D = a(2sinθ1)−1

Φ = 90°

ΔE1

ΔE1 = −E0θ1Inθ = E0θ1In(1/θ1)

Fig. 3.1. The cross section through a grain boundary plane in the simple cubic case with energy expressions (after [3])

the case for lineage regions in n-type material, showing the recombination activity of the dislocations in it [8]. While lineage boundaries may occur in as-grown Ge crystals, the best way to study the electrical and other properties of a GB is by growing a bi-crystal, as shown in Fig. 3.2 [3]. Important parameters, to be tightly controlled during bi-crystal pulling, are the angles of rotation (r) and twist (t). They must be kept close to zero to avoid the growth of screw dislocations. For nonzero r and t angles, so-called twist GBs can be fabricated as schematically shown in Fig. 3.3 [9]. Following the theory of Shockley and Read [1, 2], one can calculate the distance between the dangling bonds as dGB = a0 /2 sin(θ/2).

(3.1)

The associated elastic energy is given by [10] E = E0 θA + E0 θ ln(1/θ), with E0 = Ys a0

cos φ + sin φ . 4π (1 − μP )

(3.2) (3.3)

In (3.3), φ is the GB orientation angle, defined in Fig. 3.1; Ys is the elasticity modulus (Young’s modulus); μP is Poisson’s ratio; and a0 is the lattice

3.2 Structure and Observation of Grain Boundaries [100]

139

[100]

3 μm) [35]. An extension of the photoresponse at high energies was also observed upon application of a bias voltage [35]. Based on this potential, GB-based IR photodetectors have been proposed and operated [3, 36–38]. It has been observed that the greatest sensitivity could be obtained for GBs close to the 10000

80 K

-3

Relative Photoconductivity (x10 )

1000

100

10

Bi-crystal

1

PN Junction 0.1 0.4

0.5

0.6

0.7

0.8

0.9

1

1.1

Photon Energy (eV)

Fig. 3.15. Photoconductivity vs. photon energy at 80 K for a Ge bi-crystal with conduction along the grain boundary plane as compared with the photoresponse of an alloy p-n junction (after [35])

References

151

detector surface and in material with the highest hole lifetime. A minimum detectable power of 8×10−15 W has been reported for a photocapacitive detector, whereby the absorbed IR light produces an increase in the hole density in the potential well [38]. It was moreover found that added absorption edge responses at 1.67 (0.745 eV) and 1.72 μm (0.72 eV) could point to deep lying acceptor levels, associated with GBs.

3.5 Conclusions The electrical and optical properties of grain boundaries have reasonably well been established in the fifties and early sixties. Since then little further effort has been spent, partly because of lack of technological interest. The properties of individual GBs are also useful for the understanding of polycrystalline Ge. As for monocrystalline Ge, there is currently a growing interest in utilizing poly-Ge for certain applications, like gate material or for MEMS applications, that is, pressure sensors. This can trigger renewed efforts to better understand the fundamentals of GB in Ge and stimulate the search for new applications.

References 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. 16. 17. 18. 19. 20. 21. 22. 23. 24. 25.

W. Shockley, W.T. Read, Phys. Rev. 75, 692 (1949) W.T. Read, W. Shockley, Phys. Rev. 78, 275 (1950) H.F. Matar´e, J. Appl. Phys. 30, 581 (1959) F.L. Vogel, W.G. Pfann, H.E. Corey, E.E. Thomas, Phys. Rev. 90, 489 (1953) J. Okada, J. Phys. Soc. Jpn. 10, 1018 (1955) F.L. Vogel Jr., Acta Metall. 3, 245 (1955) W.G. Pfann, L.C. Lovell, Acta Metall. 3, 512 (1955) S.G. Ellis, Phys. Rev. 100, 1140 (1955) Y. Matukura, J. Phys. Soc. Jpn. 17, 1405 (1962) H.F. Matar´e, Z. Naturforschg. 10A, 640 (1955) J. Hornstra, Physica 25, 409 (1959) J. Hornstra, Physica 26, 198 (1960) R.S. Wagner, B. Chalmers, J. Appl. Phys. 31, 581 (1960) R.K. Mueller, J. Appl. Phys. 30, 2015 (1959) R.K. Mueller, J. Appl. Phys. 30, 546 (1959) R.K. Mueller, J. Phys. Chem. Solids 8, 157 (1959) Y. Matukura, Jpn. J. Appl. Phys. 2, 91 (1963) P. Handler, W.M. Portnoy, Phys. Rev. 116, 516 (1959) B. Reed, O.A. Weinreich, H.F. Matar´e, Phys. Rev. 113, 454 (1950) A.G. Tweet, Phys. Rev. 99, 1182 (1955) G. Landwehr, P. Handler, J. Phys. Chem. Solids 23, 891 (1962) P. Chantraine, B. Dreyfus-Alain, J. Phys. Chem. Solids 27, 239 (1966) R.L. Ramey, W.D. McLennan, J. Appl. Phys. 38, 3491 (1967) J.S. Johannessen, Phys. Stat. Sol. (A) 11, 469 (1972) J.S. Johannessen, Phys. Stat. Sol. (A) 12, 251 (1972)

152

3 Grain Boundaries in Germanium

26. B.M. Vul, E.I. Zavaritskaya, Y.A. Bashkirovu, V.M. Vinogradova, JETP Lett. 25, 187 (1977) 27. B.M. Vul, E.I. Zavaritskaya, JETP Lett. 27, 547 (1978) 28. B.M. Vul, E.I. Zavaritskaya, Sov. Phys. JETP 49, 551 (1979) 29. W.E. Taylor, N.H. Odell, H.Y. Fan, Phys. Rev. 88, 867 (1952) 30. R.K. Mueller, J. Appl. Phys. 32, 635 (1961) 31. R.K. Mueller, J. Appl. Phys. 32, 640 (1961) 32. Z.A. Veliev, V.B. Shikin, Sov. Phys. Semicond. 19, 528 (1985) 33. F.L. Vogel, W.T. Read, L.C. Lovell, Phys. Rev. 94, 1791 (1954) 34. J.P. McKelvey, R.L. Longini, Phys. Rev. 99, 1227 (1955) 35. H.F. Matar´e, D.C. Cronemeyer, M.W. Beaubien, Solid-State Electron. 7, 583 (1964) 36. W.W. Lindemann, R.K. Mueller, J. Appl. Phys. 29, 1770 (1958) 37. R.K. Mueller, J. Appl. Phys. 30, 1004 (1959) 38. W.W. Lindemann, R.K. Mueller, J. Appl. Phys. 31, 1746 (1960)

4 Germanium-Based Substrate Defects

4.1 Introduction The formation of grown-in dislocations during crystal pulling has been discussed in Chap. 1. However, dislocation-free Czochralski crystal growth is nowadays routinely achieved on the 200 mm wafer diameter level [1] and its feasibility at 300 mm has been demonstrated. The main challenge for the state-of-the-art Ge wafers is the presence of a few grown-in void defects with micrometer size, believed to consist of large vacancy aggregates and condensed during the cooling down of the crystal from the melt temperature Tm [2], which may affect the process yield of Ge wafers and germanium-on-insulator (GeOI) wafers made from it. However, for deep submicron CMOS applications, processing will not be performed on bulk Ge wafers because of the limited supply, the high cost, and the poor mechanical strength, but rather on thin Ge layers on a silicon handle substrate. Such thin layers can be fabricated in different ways, and the best known is by epitaxial deposition. Recently, some other methods have been developed, like the Ge condensation technique or direct wafer bonding, resulting in a Ge (or Ge-rich) layer on an insulator substrate. Because of the high lattice mismatch between Ge and Si, a large strain will develop during epitaxial wafer fabrication, which will inevitably lead to the formation of extended defects – in most cases, misfit dislocations at the heteroepitaxial Ge/Si interface, with associated threading dislocations (TDs) reaching up to the surface. It is the aim of this chapter to describe the defect formation during different Ge-based substrate fabrication processes and ways to reduce mainly the TD density (TDD). The chapter consists of different sections: the first one is devoted to the general principles of epitaxial deposition, followed by the physics of strain relaxation in mismatched heteroepitaxy. The next two sections cover the epitaxial deposition of Ge on germanium and silicon substrates, and the latter as an example of a high mismatch heteroepitaxial system. In a fifth part, the defect formation during GeOI formation either by wafer

154

4 Germanium-Based Substrate Defects

bonding or the so-called Ge condensation technique will be discussed. The main conclusions will be summarized in the final section.

4.2 Epitaxial Deposition: Definitions Epitaxial deposition involves a method to fabricate a thin layer of high crystalline quality on a crystalline substrate, which can be the same (homo-) or another material (heteroepitaxy). This deposition can occur uniformly across the substrate or in selective regions and is generally achieved by atomic or molecular beams in an (ultra-) high vacuum (UHV) molecular beam epitaxy (MBE) system or using gaseous precursors (e.g., germane GeH4 [3–6]) in a chemical vapor deposition (CVD) reactor. The species arriving at the substrate surface become adsorbed – either physi- or chemisorbed – resulting ideally in a layer-by-layer growth, also termed two-dimensional (2D) growth of the epitaxial layer. In the case of CVD, the precursors adsorb dissociatively, whereby GeHn and H radicals interact with the reactive sites on the surface, that is, the unpaired dangling bonds. If the substrate temperature (Ts ) is high enough, the mobility of the adsorbed species is sufficient to result in a smooth layer-by-layer growth, whereby H2 desorbs from the growth surface. As such, epitaxial deposition is the inverse process of sputtering, that is, the layer-by-layer removal of atoms from a substrate, and therefore, shares the same physical basis. 4.2.1 Modern Epitaxial Techniques In principle, epitaxial growth can occur out of the solid, the liquid, or the gas phase. Solid-phase epitaxial regrowth (SPER) is nowadays common practice for ion-implanted Ge layers during the recrystallization anneal, using the crystalline substrate as a template. In the early seventies, it was observed that so-called metal-induced crystallization happens across a thin metal layer (Al, Sb, etc.) upon which a-Ge was deposited [7–11]. Typically, during a long-term annealing below the eutectic temperature of the Al–Ge (424◦ C) or Sb–Ge system (590◦ C), mass transport of Ge is taking place across (or from) the metal layer and a thin epitaxial layer highly doped by the metal is growing on the germanium substrate by SPE. The basic concept is depicted in Fig. 4.1 [9] and relates to the drop in solubility of Ge in the metal film at lower temperatures. At the same time, the growing Ge film will contain a high density of Al (or Sb) dopants, resulting in a highly doped layer. The obtained doping density should depend on the thickness of the Al layer but not on the time of the treatment as long as the times are long enough to allow the Ge to reach the solubility limits in the solvent [9]. While the use of an a-Ge layer is strictly speaking not necessary [7–9], it drastically enhances the thickness of the SPEgrown Ge layer [11]. In that case, the driving force is the higher free energy of a-Ge compared with c-Ge.

4.2 Epitaxial Deposition: Definitions

155

Fig. 4.1. Model for solid-phase epitaxial growth. (a) Ge rapidly dissolves and diffuses into the Al film until the solubility limit, 2 at. % at 400◦ C, is reached. (b) When the sample is cooled slowly to 250◦ C and held there, the solubility of Ge in the Al film drops to 0.2%, with the majority of the excess Ge growing onto the surface of the Ge substrate. The Ge layer incorporates Al while it is growing (after [9])

It was proposed to use metal-induced crystallization for ohmic contact or p-n junction formation on germanium [8, 11]. The idea has, since then, been abandoned, given the greater success of ion implantation. Recently, interest has renewed for shallow-junction formation in silicon. Perhaps the potential implementation in Ge CMOS should once more be explored, as it removes the concern about implantation damage and dopant activation. So far, this technique has only found application in the Ge-based ohmic contact formation on III–V substrates. Metal-induced lateral crystallization (MILC) of amorphous germanium deposited on an insulating substrate has recently been investigated [12, 13]. It was demonstrated that the deposition of a thin Ni layer induces lateral crystallization at temperatures below 400◦ C, which is of potential interest for the 3D integration of Ge components in the back-end of a CMOS process.

156

4 Germanium-Based Substrate Defects

Self-nucleation-free MILC has been observed down to 360◦ C [13], whereby the growth rate is smaller for narrow stripe structures compared with planar ones. Recrystallization of a deposited a-Ge layer on SiO2 can also be enhanced by Ar–ion-irradiation assistance, yielding a significant lowering of the required annealing temperature [14]. A related technique of metal-induced epitaxy (or crystallization) was proposed by Xiong et al. [15] and consists of a vapor–liquid–solid (VLS) mechanism, whereby the epitaxial layer segregates out of a supersaturated liquid at the liquid–solid interface. This principle has been demonstrated for a thin layer of Au on Ge(111) [15], whereby molecular beam deposition of Ge was performed at 400–450◦ C, above the eutectic temperature of Au:Ge (361◦ C). Such types of VLS methods are nowadays common practice to grow germanium nanowires [16]. As liquid phase epitaxy is hard to control locally, it is not often used in VLSI technology, although recently, the so-called microcrucible technique for local epitaxial growth of germanium has raised some interest [17–19]. The basic principle is sketched in Fig. 4.2: an a-Ge layer is deposited on silicondioxide or -nitride and covered by oxide or nitride. The a-Ge layer is in contact with the underlying silicon substrate through a seeding window. Heating for a short time above the melt temperature of Ge initiates the liquid-phase epitaxial

Fig. 4.2. (a) Top view and (b) cross-sectional schematics of the structure used for Ge LPE growth. The seeding region is expected to be defective due to the lattice mismatch between Ge and Si, but the dislocations grow along the crystallographic planes and terminate quickly (after [17])

4.2 Epitaxial Deposition: Definitions

157

(LPE) growth from the seed window, while the melt is kept contained within the oxide or nitride walls like in a microcrucible. One problem may be the occurrence of balling up of the layers when heated above the melting temperature of Ge (938◦ C), so that slightly lower temperatures ∼925–930◦ C and short soaking times may be more suitable for epitaxial recrystallization [19]. The resulting defects are confined to the seeding window by “necking” [17,18], which is thought to originate from geometrical considerations, that is, the propagation direction along of 60◦ threading dislocations on {111} planes. In addition, internal stresses in the films give rise to defects at the insulator–Ge interface [19]. The resulting strain is tensile and is higher for a lower growth temperature. It has also been observed that heating well above the Ge melting temperature creates a large twist (∼10◦ ) about the long axis of the crystal [20]. This can be minimized by heating just above the melting temperature and using thicker Ge films. Potential drawbacks for the rapid melt growth is the additional integration costs related to the specific lithography steps required for defining the seed windows [21]. The seed area also reduces the integration density and a third issue is to eliminate the contact between the silicon substrate and the Ge layer for obtaining a true GeOI structure [21]. The feasibility of fabricating good Ge pMOSFETs and p-i-n photodiodes on such substrates has been demonstrated [22] but requires further optimization. Most of the epitaxial depositions in state-of-the-art microelectronics are performed from the gas phase, either based on molecular beams – a modern, ultra-clean form of evaporation or physical vapor deposition – or by chemical vapor decomposition, based on germane (GeH4 ), GeCl4 , or related gases. Industrial epi growth of Ge usually relies on some form of chemical vapor deposition (CVD), while more fundamental research is mainly based on molecular beam epitaxial (MBE) techniques. As will be seen in the following, several ways to enhance the efficiency of the epi process have been proposed, also for the case of Ge, with the goal either to enhance the deposition rate or to lower the deposition temperature. The advantages of having a low(er) epi temperature are based on the fact that intermixing or in-diffusion of the adatoms in the underlying substrate is suppressed, leading to sharp interfaces, which is essential for deep submicron or quantum-well based structures. In the case of CVD, improvements can be expected by some form of additional excitation source, besides temperature, to trigger the decomposition of the precursor gases and to speed up the adsorption, by activating the nucleation sites. For MBE, the use of gas-source beams may enhance the deposition rate, so that it comes closer to CVD. It should be added that CVD is usually a higher temperature process than MBE, because of the use of precursor gases that need to be dissociated at some point, which requires a certain thermal budget. The deposition temperature can be lowered significantly if this dissociation energy is provided by other means: a plasma, an ion or photon beam, etc.

158

4 Germanium-Based Substrate Defects

4.2.2 Epitaxial Growth Modes There are different growth modes of thin films deposited on a crystalline substrate as illustrated by Fig. 4.3 [23,24]. Usually, for homoepitaxy, one operates in the 2D or layer-by-layer mode, also called Frank–van der Merwe growth [25]. Whether the layer is crystalline or not will depend on the deposition temperature, the substrate cleaning, the purity of the chamber, etc. In general, lattice-matched, strain-free growth occurs either in the layer-by-layer or the Volmer–Weber (island) growth (also referred to as VW growth), depending on the interface and surface energy of the system. Islands will form provided the sum of the surface and interface energy is lower than the substrate surface energy, while the layer will wet the surface in the opposite case. Changes in energy will only drive a transition from 2D to VW. In the case of strained or mismatched heteroepitaxy, there is an additional possibility that island formation may allow the system to introduce misfit dislocations underneath the islands to relax the epilayer strain. For a system with a small interface energy but large lattice mismatch, initial growth is layer-bylayer, but thicker layers have high strain energy, since the strain increases with the epilayer thickness he . The system can lower its total energy by forming isolated thick islands in which the strain is relaxed by interfacial misfit dislocations. Usually, the Stranski–Krastanow mode is obtained (case of Ge on

Fig. 4.3. The three possible growth modes: Frank–van der Merwe, Volmer–Weber, and Stranski–Krastanow. Where interface energy alone is sufficient to cause island formation, VW growth will occur; SK growth is uniquely confined to systems where the island strain energy is lowered by misfit dislocations underneath the islands (after [24])

4.3 Heteroepitaxial Strained Layers

159

Fig. 4.4. Island strain relaxation by local elastic deformation of near-surface layers in the substrate. This shows how (100) planes can acquire a radius of curvature, partially accommodating lattice mismatch and leading to coherent SK growth. Note that this mechanism can only produce significant relaxation of an isolated island; reducing the island spacing will lead to dislocation introduction (after [24])

Si1 ), whereby after a limited layer-by-layer growth, 3D growth sets in. This is dictated by the balance between the misfit strain and the surface energy of the film. By islanding, the surface strain can be minimized. This will happen earlier at higher growth temperatures, because of the higher adatom mobility. The energy criterion for the different growth modes has been calculated, for example, by Grabow and Gilmer [23]. For the Ge on Si(001) system, lattice mismatch is 4.1% and 2D coherent layer growth occurs up to three monolayers (ML) [24], beyond which islanding sets in at sufficiently high growth temperature. This implies that compressively strained Ge layers can be grown defect-free on Si below 3 ML (=0.814 nm) approximately, with thicker layers containing a high density of misfit dislocations, which usually extend up to the surface by developing threading arms. However, as will be detailed later, there are other mechanisms for relaxing misfit strain, including surface roughening, which is a form of elastic relaxation, intermixing, or interdiffusion and, finally, precipitation. An example of the elastic relaxation is the formation of coherent Ge islands on Si(001) without the introduction of a misfit dislocation by deformation of the substrate, that is, sharing of the misfit strain over island and substrate, as illustrated in Fig. 4.4 [24]. In this way Ge islands can grow up coherently to a critical thickness exceeding 50 times the one necessary for dislocation nucleation [18].

4.3 Heteroepitaxial Strained Layers The use of heteroepitaxy on a silicon substrate has opened up the possibility for band gap and strain engineering, enabling performance enhancement or 1

Ge has a 10% lower surface energy than Si. That is why Si growth on Ge follows the VW mechanism.

160

4 Germanium-Based Substrate Defects

new functionality on a silicon platform. However, because of the difference in lattice parameter between the substrate and the overlayer, a mismatch strain will build up for increasing thickness according to he = Rd , with Rd the deposition rate (assumed constant) and t the deposition time. For sufficiently large mismatch stress, preexisting dislocations will be able to glide, thereby relaxing the stress by developing a misfit dislocation parallel with the growth interface. The thickness whereby the first misfit dislocation is introduced has been called the equilibrium critical thickness (hc ). However, since epitaxial deposition is a nonequilibrium process, especially at low substrate temperatures (Ts ) and low-to-moderate mismatch strain (fm < 2%), metastable strained layers can be deposited with thicknesses well above hc , without introduction of misfit dislocations. This will largely depend on the relaxation kinetics, which depend on mismatch strain and growth temperature and on the barriers for dislocation nucleation and glide and the interactions between dislocations (multiplication, annihilation, recombination, blocking, etc.). In addition, there is also the possibility of elastic strain relaxation by the formation of surface roughness or ripples, where film strain energy is traded for surface free energy to minimize the total energy of the overlayer. Also local growth may result in elastic relaxation, thereby enhancing considerably the defect-free critical thickness. All these factors will be discussed in the following sections. 4.3.1 Equilibrium Critical Thickness The concept of critical thickness (hc ) has first been introduced by van der Merwe [25] and indicates the thickness up to which a strained epitaxial layer can be grown without plastic relaxation, that is, the introduction of a misfit dislocation at the interface. This thickness will first of all depend on the lattice misfit, indicated by fm and equal to ((as − af )/af ), with as and af the stressfree substrate and overlayer in-plane lattice parameter, respectively, whereby the substrate thickness is assumed much larger than the film thickness he . Positive values correspond with tensile stress in the film (af < as ), for example, Si1−x Gex on a Ge substrate, while negative values lead to compressive stress (af > as ; Si1−x Gex on Si(001) and corresponding with −0.041x). In the case of Ge on Si(001), hc is thus 3 ML after which relaxation through island formation occurs. Different models have been developed for the calculation of hc of a lattice mismatched overlayer. Initial calculations were based on the basis of energy considerations [25]. Equating the interfacial energy Ei , which is assumed the minimum energy available for misfit dislocation generation, and given by Ei = 9.5fm (Gs b/4π2 )

(4.1)

for moderate misfits, with the areal strain energy density associated with a film of thickness he and given by Eh =

(1 − μP ) 2 he f m , (1 + μP )

(4.2)

4.3 Heteroepitaxial Strained Layers

161

Fig. 4.5. Stages in the nucleation and growth of a dislocation half-loop. Curve (a) represents a subcritical dislocation half-loop; (b) represents a half-loop, which is stable under the influence of misfit stress; (c) depicts a loop that has grown to generate a length LL of a misfit dislocation line in an epilayer with thickness he

which yields for h = hc [25]: hc =

1 (1 − μP ) as ≈ 0.1(as /fm ). (8π 2 ) (1 + μP ) fm

(4.3)

From (4.3) follows that the equilibrium hc reduces with fm and thus becomes smaller for increasing Ge content in Si1−x Gex epitaxial layers on Si substrates. The opposite holds for the critical thickness of Si1−x Gex overlayers on Ge substrates. In practice, (4.3) was found to underestimate the experimental hc values for Si1−x Gex layers on Si(001). An alternative approach was proposed by Matthews and Blakeslee [26], considering the nucleation and growth by glide of a dislocation loop at the overlayer surface, as indicated in Fig. 4.5. The critical thickness was assumed to occur when the force exerted on the dislocation line by the misfit strain equals the tension in the dislocation line, that is, it is based on a mechanical equilibrium theory, resulting in a critical thickness [26]:      hc 1 b ∼ hc = ln +1 , (4.4) fm 4π(1 + μP ) b which resulted in a better fit to the measurement data. An alternative energy balance model assumes that the growing film is initially threading dislocation free and that the interfacial misfit dislocations will be generated when the areal strain energy density of (4.2) exceeds the selfenergy of an isolated dislocation of a given type, that is, the energy to create the dislocation. People and Bean considered the case of a screw dislocation, which has the lowest energy density, about 40% lower than that for an edge dislocation [27, 28]. This resulted in the following expression:      2  1 1 hc (1 − μP ) b √ hc ∼ , (4.5) ln = 2 (1 + μP ) af (x) fm b 16π 2 where af (x) is the bulk lattice constant of the alloy with Ge fraction x. Taking an average value = 0.554 nm and a slip distance b = 0.4 nm results in [27]:

162

4 Germanium-Based Substrate Defects

Fig. 4.6. Solid circles show experimental data of People and Bean [27]; curves show calculated values of critical layer thickness: 1, [32]; 2, [27, 28]; 3, [33] (after [32])

hc ∼ =



2.34 × 10−2 2 fm



 ln

hc 4

 .

(4.6)

While reproducing quite well experimental data, a main physical argument against this model is that screw dislocations cannot relieve misfit strain and are, therefore, also not observed in practice. Instead, 60◦ (fm < 2%) or 90◦ (pure edge) (fm > 2%) dislocations are found to occur at the interface. Alternative calculations [29–31] and improvements of the People–Bean model by including thermal strain during epi growth have been proposed [26]. As can be seen from Fig. 4.6 [26], good agreement has been obtained between calculated and experimental hc values for the Si1−x Gex /Si(001) system for misfits up to 1.4%. For larger fm values, (4.5) overestimates hc [21]. The Matthew–Blakeslee (MB) expression of (4.4) can be extended to the system of an epitaxial overlayer on a thin substrate, for example, as is present in a silicon-on-insulator (SOI) wafer, whereby it is assumed that the compliant behavior of the substrate stems from the slipping at the Si/SiO2 buried oxide (BOX) interface [34]. The result is that hc in (4.4) is replaced by ts hc /(ts +hc ), which is symmetrical in the film and substrate thickness (ts ) and for substrates much thicker than the epilayer yields the MB equation. Besides deposition of uniform Si1−x Gex layers on Si, nowadays there exists great interest in growing so-called step- or compositionally graded buffer layers, which are very useful in controlling the TD density (TDD) in the range 105 –106 cm−2 . As will be seen in a following section, the TDD usually increases with Ge content for uniform composition layers, so that the alternative way of a smooth transition from Si to a high Ge content layer via a

4.3 Heteroepitaxial Strained Layers

163

strain-relaxed buffer is very attractive. Usually, a constant (linear) grading rate (Cf ) is employed with a certain increase per micrometer of layer thickness, that is, Cf ≤ 10% μm−1 . As in this case, there is a smooth and gradual relaxation of the strain in the layer, appropriate expressions for hc have to be derived [35–37]. Fitzgerald et al. arrive at a condition for the critical thickness given by [37]: 3D(1 − μP /4) ln(ehc /b) . (4.7) h2c ∼ = Y Cf In (4.7), D = Gs b/[2π(1 − μp )] and Cf is the mismatch gradient, assumed constant for a graded structure. It implies that the critical thickness decreases as the grading rate Cf increases [37]. In addition, the surface roughness will increase (see Sect. 4.3.7), as the misfits are located closer to the surface since hc decreases. 4.3.2 Metastable Critical Thickness The equilibrium critical thickness can be considered as the thickness below which the mismatch strain is completely accommodated by the film strain, above hc , it is partly relieved by misfit dislocation generation. In practice, however, it is difficult to exactly determine the point where the first misfit is introduced at the heterointerface, because of experimental limitations [38]. Practical resolution for most techniques allows discriminating a relaxation of 10−3 . This can explain why the experimental hc is generally higher than the theoretical limit, which forms thus a lower boundary. A second issue is that strain relaxation is determined by the kinetics of plastic deformation and quite sluggish at lower growth temperatures, so that coherently strained overlayers can be grown to thicknesses well above hc , resulting in a metastable layer. This has led Tsao et al. [39] to introduce a second critical thickness for which strain relief is just sufficient to be observable. Associated with this metastable thickness limit, a critical excess stress (τexc ) can be defined, corresponding with the minimum stress to start dislocation glide and, hence, misfit dislocation elongation and relaxation [39, 40]:   2Gs S0 fm (1 + μP ) Gs b(1 − μP cos2 θ) αhe τexc = − = τa − τt , (4.8) ln (1 − μP ) 4πhe b and √ this, in the MB formalism. In (4.8), S0 is a compound angular factor [=1/ 6 for the (100) interface orientation] resolving the lattice mismatch stress into the dislocation Burgers vector, θ = 60◦ is the angle between the misfit dislocation line direction and its Burgers vector, and α is a parameter describing the dislocation core energy and usually taken as 4 for a 60◦ dislocation [41]. The first term on the right-hand side of (4.8) is the misfit stress (τa ) driving the threading dislocation motion, while the second term is the self-stress (τt ) due to the line tension of the misfit dislocation length created, opposing further elongation. Strained layer breakdown requires a fixed but

164

4 Germanium-Based Substrate Defects

Fig. 4.7. Thicknesses and misfits for a series of Six Ge1−x films grown at 494◦ C on Ge(001). Fully strained (filled circles) and partially strain-relieved (open circles) films separated by the τexc /Gs = 0.026 isobar. The τexc /Gs isobar separates absolutely stable from metastable films (after [39])

nonzero excess film stress with a value that depends on temperature [40]. Experimentally, a trend for higher τexc for lower normalized temperature Ts /Tm ( 0.5–0.6, a drastic drop in τexc occurs, pointing to another mechanism becoming operational. This is also the transition range from pure dislocation glide to motion assisted by diffusive climb, resulting in a higher mobility. The concept of excess stress leads to the stability diagram of Fig. 4.7 as a function of misfit strain [40]. The original MB equilibrium criterion is represented by the condition τexc /Gs = 0 and corresponds with the absolute film stability criterion. For τexc /Gs > 0, there is a net driving force for dislocation motion, but not sufficient for the misfits to move freely and therefore results in observable plastic deformation (relaxation). In Fig. 4.7, τexc /Gs needs to be 0.026 for strained layer breakdown, for Six Ge1−x films grown at 494◦C on Ge(001) substrates to result in partially or fully relieved strain [40]. In between there is the metastable regime where the films grow past the equilibrium critical thickness without observable plastic deformation. However, upon thermal annealing at the growth temperature, the film may relax with time, so that such films are useful for the study of the kinetic parameters governing the relaxation process, namely, dislocation nucleation, glide or climb, and interaction. It is also clear from Fig. 4.7 that it is much more difficult to grow metastable films for larger misfits (>0.02), that is, Ge on Si and/or at higher Ts .

4.3 Heteroepitaxial Strained Layers

165

4.3.3 Misfit and Threading Dislocation Densities Thermodynamically speaking, a dislocation cannot terminate in a crystalline semiconductor. However, misfit dislocations (MDs) formed at the interface between an overlayer usually do not grow out of the substrate, and so they have to terminate in a different way: by forming a closed loop, ending on a precipitate or point defect cluster (defect), at an internal interface or most frequently, by forming two threading arms to the surface, as shown in Fig. 4.5. During strain relaxation, the misfit dislocation expands by lateral glide of the threading arms until they are stopped by the repulsive strain field of a neighboring TD with the same Burgers vector on the same glide plane or blocked by the strain field of a crossing misfit. On Si(001) substrates and for not too high compressive misfit, relaxation usually occurs through the formation of full glissile 60◦ dislocations in (111) glide planes and in two orthogonal directions. No misfit stress is acting on these MDs, so that their expansion is through the motion of the threading arms. For a density of TDs represented by NTD , the amount of plastic relaxation associated with it is given by [35] δ = NTD blav /4,

(4.9)

where lav is the average misfit dislocation length projected into the (001) plane. In case of full relaxation of a mismatched epilayer, the misfit density NMDi is given by [35, 36] NMDi = fm /beff , (4.10) with beff the in-plane Burgers vector component of the dislocations. For a pure 90◦ dislocation this will correspond to b, while for the usual 60◦ it is b cos φ, with φ the angle between the Burgers vector and the (001) plane. The former are more efficient for strain relaxation. The average misfit length is given by [35, 37] lav ≈ 8fm /NTD b = ltot / (N/2)

(4.11)

for 60◦ dislocations. In (4.11), NTD is the number of threading dislocations in the area, that is, ρL2 and ltot is the total misfit length projected into the interface plane, given by ltot = 2L2 /S, (4.12) with S the projected spacing between misfits and L × L the square interface. The spacing between the misfits is related to the total strain relieved (δ) through δ = b/(2S) for 60◦ dislocations. It is clear from (4.11) that lav will increase for smaller TDD and for higher mismatch strain or strain relaxation rate. It also implies that NTD is linked to blocking events by dislocation interaction (see below), since NTD is inversely proportional with lav . It has, for example, been observed that lav for 100% Ge on Si(001) is 8 μm [37]. This average length is determined by dislocation interactions (blocking; annihilation) and by the number of nucleation events. One of the advantages of a

166

4 Germanium-Based Substrate Defects

compositional graded growth is the 3D nature of the misfit dislocation distribution instead of a planar arrangement at the heterointerface in the uniform layer case. The average misfit length lav can also be related to the average misfit dislocation spacing pMD . In the case of a wafer with diameter L, one can show that the following holds [42]: lav = 32/pMD π 2 NTD .

(4.13)

At the same time, it is clear that a higher misfit strain results in a higher TDD for full relaxation. However, the TDD is determined only by the misfit dislocation length and not by the mismatch [35]. If nucleation is dominant in TD creation, then NTD = 2Nn ρn , where Nn is the number of nucleation events per site and ρn is the areal density of nucleation sites. Thus, one of the keys to produce relaxed layers with low threading dislocation density is the suppression of dislocation nucleation, yet easy and fast propagation of existing threading segments. 4.3.4 Strained Layer Dislocation Nucleation Mechanism The kinetics of strain relaxation in strained-layer epitaxy and the resulting TDD at a finite temperature will first of all depend upon the dislocation nucleation. Usually, the nucleation rate at a temperature T is thermally activated, according to [31] Nn = AΩ exp (−En /kT ) , (4.14) with Ω the atom density and A a constant with units of inverse time (frequency). The dislocation nucleation barrier En in SiGe layers on Si(001) graded to x = 0.3 has been determined to be 4.4 eV [43] and is expected to decrease by 40% for pure Ge, according to the relation En (x) = En (Si) ×

Gs (x)[2 − μP (x)]2 /[1 − μP (x)][1 + μP (x)] Tm (x) . Gs (Si)[2 − μP (Si)]2 /[1 − μP (Si)][1 + μP (Si)] Tm (Si) (4.15)

In fact, it has recently been assumed that at the low strain rates, existing, for example, in a compositionally graded buffer layer, the heterogeneous dislocation nucleation is suppressed, while it is increased at lower growth temperature, where also a lower glide velocity exists [44]. This leads to a nucleation rate Nn proportional to exp(−En /Cf εT ), with ε the strain existing in the layer. Different nucleation mechanisms can be considered in strained-layer relaxation, as schematically represented by Fig. 4.8 [45]. State-of-the-art silicon (and germanium) (001) substrates do not contain preexisting dislocations so that the MB mechanism (a) is rather unlikely. Traditionally, it is assumed that circular dislocation loops nucleate homogeneously at surface atomic steps and

4.3 Heteroepitaxial Strained Layers

167

Fig. 4.8. Possible sources of misfit dislocations. (a) Generation of misfit dislocations from threading dislocations via the Matthew–Blakeslee mechanism; (b) homogeneous nucleation of dislocation half-loops at natural surface structures; (c) plan view of one type of misfit dislocation well; and (d) heterogeneous nucleation of dislocation half-loops at a surface oxide inclusion (after [45])

expand in the epilayer by glide, as sketched in Fig. 4.5 [27, 32, 46] or shown in more detail in Fig. 4.9 [47]. The misfit dislocation that can propagate most easily in the (Si)Ge/Si(001) system is a 60◦ line connected to the growth surface by a screw segment. This is the lowest-energy homogeneous nucleation mechanism at the free surface. By contrast, TEM investigations have revealed that if homogeneous nucleation occurs in Si1−x Gex /Si(001) epitaxy, it happens through the formation of a V-shaped loop, with one arm of screw and the other of 60◦ type [48]. The V-loop expands by glide, as sketched in Fig. 4.10, resulting finally in a misfit segment LL . Based on this observation, an adopted expression for the critical layer thickness has been proposed [49]. For misfit strains below 2%, the available energy is not sufficient to overcome the homogeneous nucleation barrier [50], so that heterogenous dislocation nucleation is more likely to occur [51]. In addition, it is observed that the 60◦ misfit dislocations introduced at low strain are not evenly spaced but occur in bunches, suggesting a kind of multiplication effect by a single source [44], like a Frank–Read source (Chap. 1). In the literature, one can find different types of defects that have been identified as nucleation sources in Si1−x Gex epilayers, like diamond defects (=(a/6) stacking fault- [50], β-SiC precipitates having a 20% lattice mismatch with silicon [51], oxideprecipitates [52] and surface damage (scratch) [53]. One important source of dislocation nucleation is thus oxygen and carbon contamination at the interface [51–53], which should be removed by appropriate cleaning [54]. It has been observed that for a clean, low-temperature (∼500◦ C) MBE or UHV-CVD process, the TD density in the SiGe overlayer can be suppressed

168

4 Germanium-Based Substrate Defects

Fig. 4.9. Formation and propagation of half-loop misfit dislocations (after [47])

Fig. 4.10. The generation of a misfit dislocation LL by nucleation and expansion of a V-shaped loop (after [48])

completely, with strain relaxation occurring through dislocation generation in the silicon substrate [54]. A modified Frank–Read multiplication mechanism has been proposed to explain the resulting dislocation configuration. Note finally that under certain circumstances, strain relaxation may occur through the introduction of dislocations split into two partials bounding a narrow stacking fault. This is the case for tensile mismatched growth of Ge on Si(001) and compressive mismatched growth on (110) and (111). The conditions for this to happen have been investigated by a number of groups [55,56], showing that for low tensile misfits (∼1%) half-loop nucleation of 90◦ partial dislocations require a factor of almost four less energy than nucleation of

4.3 Heteroepitaxial Strained Layers

169

60◦ perfect dislocations. In this case, the 60◦ dislocation nucleated from the surface will split in a trailing 30◦ partial, pinned at the growth surface and a leading 90◦ one, gliding under tensile strain to the interface [56]. This will result in the growth of microtwin planar defects in a Ge/Si superlattice (SL) structure. For compressive strain, on the other hand, the nucleation of partial dislocations that have to be of the 30◦ type does not lead to a substantial lowering of the activation energy for dislocation halfloop nucleation over the whole misfit range, resulting in relaxation by perfect 60◦ (a/2) type dislocations in this case [56]. 4.3.5 Dislocation Glide and Climb in Strained Layers A second important parameter in the relaxation kinetics is the dislocation velocity vd , which, similar to the case of bulk crystals, is stress- and temperature-dependent [57]. The dislocation velocity in bulk Ge and Si can be described by the activation law of (1.19) for T < 0.5Tm , where the mobility is dominated by glide. For T > 0.5Tm, dislocation climb becomes favorable so that the total mobility can be written as the sum of two Arrhenius laws [57]: α(τexc , T ) = Cgl exp(−Egl (τexc )/kT ) + Ccl exp(−Ecl /kT ),

(4.16)

with resulting dislocation velocity vd = αd (τexc , T )τexc . Cgl and Ccl are appropriate pre-exponential constants and Egl and Ecl are the corresponding activation energies. The excess resolved shear stress is the net driving force of the threading dislocations, given by (4.8). In case of relaxation by split dislocations, one can also subtract the stress from the stacking fault [58], although this can be ignored for the GeSi/Si(001) system. As indicated in (4.16), the activation energy for the climb process is independent on the stress and is basically corresponding with the one for self-diffusion [57]. On the other hand, Egl is expected to depend on τexc for sufficiently large values, approaching the zero-temperature flow stress, which should be in the range of 10 GPa for Si. This high value is associated with the strong covalent bonding in group IV semiconductors, resulting in a high Peierls barrier for dislocation glide. It has been proposed that Egl (τexc ) can be expressed as a linear function of τexc [58], given by (4.17) Egl (τexc ) = E0 [1 − (τexc /τ0 )], with Egl (0) = 16 kTm and τ0 = 0.1 Gs . By growing (partially) strained metastable SiGe/Si layers, several groups studied the dislocation velocity during subsequent annealing [41,59–64]. Using a heating stage, Hull et al. succeeded in performing in situ TEM studies [35, 53–55], confirming the model of (4.15). In good approximation, the dislocation glide velocity was found to obey m vd = v0 τexc exp(Ev (τ )/kT ),

(4.18)

170

4 Germanium-Based Substrate Defects

n d* = n m e -0.6x/kT /s exc

-32 -34 Si Ge

-36 -38 -40 -42 -44 -46 -48 -50 8

9

10

11 19

1/kT (x10

12

13

-1

J )

Fig. 4.11. Plot of the normalized velocity νd = νm e−0.6x/kT /σexc vs. inverse energy for Gex Si1−x layers grown on both Si(100) and Ge(100) substrates (νm is the measured dislocation velocity) (after [61]) ∗

with m an exponent between 1 and 2, Ev the activation barrier for TD glide. Since the excess stress increases with layer thickness he from zero at hc to the misfit stress for he → ∞, the dislocation velocity will increase accordingly [62]. The dislocation velocity has also been measured for high Ge content layers [61], showing a reduction of Ev according to 2.2 eV − 0.6x (Fig. 4.11). This implies that the misfit dislocation velocity and the corresponding relaxation rate strongly enhances with x and is higher for SiGe heterolayers on Ge compared with Si. The normalized data in Fig. 4.11 with m taken as 1 can be fitted quite well with a single activation energy for dislocation glide, corresponding to 2.01 eV, which is about 0.2 eV lower than that for pure Si (2.2 eV). The high mismatch stress levels in the range of several hundreds of MPa were thought responsible for this stress-dependent reduction of Ev in the heteroepitaxial layers compared with bulk crystalline material [61]. The double kink nucleation theory proposed by Hirth and Lothe for the dislocation glide velocity in bulk semiconductors [66] has been adapted to the case of glide in strained layers by Hull et al. [41]. As indicated in Fig. 4.12, the motion of a dislocation across the straight Peierls barrier is by a small microscopic disturbance, namely, the nucleation of a double kink, that is, a small portion of the line that jumps first, leaving microscopic kinks at either end, which are at an angle to the dislocation line direction. Because of the resolved misfit stress, these kinks then run along the dislocation line in opposite directions to effectively move the dislocation line one atomic spacing to the right. The kinks in Fig. 4.12 traverse one Peierls valley (distance ∼0.33 nm in Si) and in general assume a width w. For a thin overlayer, single kinks may nucleate

4.3 Heteroepitaxial Strained Layers

171

Fig. 4.12. The double-kink geometry showing kink width w and kink separation s. The predictions of the double-kink model will be most accurate in the limit where kinks are well separated (1 or 2) as opposed to overlapping (3) (after [41])

at the free surface as indicated in Fig. 4.13. As an estimate for the formation energy Ek , a value of 0.5 eV (∼dangling bond energy) is often assumed [62]. In this case, the activation energy for kink formation is half that of the doublekink mechanism and is preferred at lower temperatures. The corresponding activation energy for the dislocation glide velocity is then Ek + Em , whereby Ek is corrected for the work done by the applied misfit stress τa defined in (4.8) on the kink and Em is the kink migration energy [41]. Ek + Em is 2.2 eV in Si and 1.6 eV in Ge, respectively, as derived from the dislocation velocity activation energy in bulk material. According to simulations, the transition from single to double kink nucleations is predicted to occur at increasingly lower thickness with increasing Ge composition [41]. It also predicts a vd proportional to τexc (m = 1) and exponentially dependent on (τa )1/2 [41]. Important conclusions to be drawn from this model are the following: • Misfit dislocation velocities increase significantly with x (see also Fig. 1.34) • The strain relaxation rates vary linearly with dislocation velocity, which has consequences for the stability of the epilayers

172

4 Germanium-Based Substrate Defects

Fig. 4.13. Kink nucleation mode for uncapped layers: single kinks may nucleate at the free surface

• The dislocation velocity is enhanced (the stability lowered) in uncapped layers compared with capped ones, used in, for example, heterojunction bipolar transistors 4.3.6 Dislocation Interaction Mechanisms The part in the relaxation kinetics which is the least well understood is the interaction of misfit and threading dislocations in a strained epilayer, which can lead to a reduction (annihilation) or increase (multiplication) of the TDs. In addition, TDs may become blocked by a misfit dislocation in its glide path, leading to an immobile blocked dislocation and to dislocation pile-ups. A detailed in situ TEM study of dislocation interactions in SiGe/Si(001) heterostructures has been undertaken by Stach et al. [66]. Possible multiplication processes have also been discussed, which are based on the interaction of original dislocations, leading to a pinned segment that can act as a modified Frank–Read source [50, 67–69]. Each nucleation source is in principle able to punch out a larger number of misfit dislocations on closely spaced {111} planes. However, as the strain in the film is progressively released in the two bands of material lying along the orthogonal directions, intersecting close to the source, the driving force extracting dislocations from the source will cease to be active [67]. Gliding threading dislocations can also be blocked by an orthogonal misfit in its path [70], as shown schematically in Fig. 4.14 [71]. The combination of surface roughness and strain fields of underlying misfits is sufficient to trap TDs. This may lead to pile-ups, where the trapped dislocations no longer contribute to strain relaxation and a new TD has to be nucleated, increasing the number of TDs [44]. Growth conditions leading to a higher surface roughness

4.3 Heteroepitaxial Strained Layers

173

Fig. 4.14. Blocking of TD motion by a MD in the slip path of the TD

(higher strain or x) will generally result in a higher pile-up and, hence, field TD density2 [44]. Dislocation interaction may also lead to the beneficial reduction of the TD density, as illustrated in Fig. 4.15 [71]. In fact, the annihilation of TDs has been shown to explain the 1/he dependence of the TD density observed in heteroepitaxial layers [72]. The coalescence model is based on the assumption that there is a minimum stable separation between TDs on the same glide plane. TDs with close spacing will glide toward one another and coalesce. The minimum stable separation is dictated by a balance of forces between the attractive glide force between threading segments and the line tension associated with the misfit segment. From this follows that the dislocation density for a thickness he is given by [72]     1 fm cos φ ρMD (he ) = ln . (4.19) 16heb(1 − μP ) 4fm This model applies for thicknesses well above the critical thickness so that the misfit strain is negligible. It has often been observed that post-growth annealing leads to a factor 2 reduction of the dislocation density [72]. This can result from the interaction of two 60◦ misfits leading to one 90◦ edge dislocation, according to the reaction   a/2 [110] + a/2 1 1 0 → a/[010] , (4.20a)   a/2 [110] + a/2 1 1 0 → a/[100] . (4.20b) Combining dislocation nucleation, glide, and multiplication leads to a description of the relaxation kinetics as first proposed by Dodson and Tsao [40,57,73], 2

Field TD is an individual TD.

174

4 Germanium-Based Substrate Defects

Fig. 4.15. Motion and annihilation of TDs in strained layers. (a) Initial configuration of two TDs with opposite Burgers vector (b1 = −b2 ) on intersecting slip planes in a film of thickness h1 ≤ hc . (b) Intermediate configuration with TDs at the distance of the annihilation radius rA in the film with thickness h2 > h1 . (c) Final configuration with MDs only (the TDs are annihilated) in the film with thickness h3 > h2 (after [71])

yielding for the evolution of the strain relief ε with time t: dε(t) = CG2s [fm − ε(t) − r(he )]2 [ε(t) + ε0 ], dt

(4.21)

with r(he ) reflecting the fact that at equilibrium, the misfit of an initially strained layer will not be completely accommodated by misfit dislocations, but that a thickness-dependent homogeneous strain r(he ) is retained in the overlayer [40]. The term ε0 corresponds to a “source term,” that is, a background dislocation source density, giving rise to a strain relaxation offset γ0 . This model has proven quite successful in describing relaxation data [40], although alternative phenomenological models based on measurable quantities

4.3 Heteroepitaxial Strained Layers

175

have been developed as well [60, 64]. At the same time, one can describe the strain relaxation in function of the TD density, according to [74, 75] dε(t) = bv d (t)NTD (t) cos λ, dt

(4.22)

with λ the angle between the Burgers vector and the corresponding relaxation direction. The time evolution of the mobile TD density is given by [74]   m  dNTD (t) Qn τeff (t) =B NTD (t), exp − (4.23) dt Gs kT with τeff given by (4.8) and B a material constant. In case of a graded relaxed SiGe buffer layer, corresponding with a constant grading rate Cf (mismatch per unit thickness) and growth rate Rd , the threading dislocation density becomes [75]

Q 2Rd Cf exp kTgl NTD = , (4.24) m εm bBY100 eff with εeff the strain relieved by dislocation flow. From (4.24) follows directly why in well-behaved epitaxial systems the same TD density in the range of 105 –106 cm−2 is obtained. This is because the growth parameters cannot be varied by orders of magnitude, that is, growth temperature, growth rate Rd , and Cf . It is also evident that a low TD density requires either a lower Cf (R) and/or a higher T . At the same time, it has been observed empirically that εeff decreases with increasing Ge content, for the same grading rate [75]. This results in an increase in NTD for grading up to a higher Ge content, resulting in 106 –107 cm−2 threadings for grading up to pure Ge. 4.3.7 Elastic Relaxation by Surface Roughening Besides plastic relaxation, a mismatched heteroepitaxial film can also relax elastically by modulating the surface profile (=roughening), that is, by forming hillocks and pits [76, 77]. Although the free-surface energy is raised, the total energy of the system is lowered by partial relaxation of the strain by purely elastic deformation of the film [76]. It has been shown that this surface roughening is thermally activated with a barrier, which scales with the 4 . This means that at low temperatures and small fm , smooth misfit as fm epilayers will be grown, where relaxation mainly takes place by dislocation nucleation before roughening takes place. At higher misfits, rather surface roughening forms a lower barrier pathway to strain relaxation than dislocation nucleation. At the same time, surface pits or islands may concentrate the strain and form low-barrier nucleation sites for dislocations, so that roughening also leads to a higher TD density, because a high density of low nucleation

176

4 Germanium-Based Substrate Defects

barrier sites becomes available [76]. Roughening can be suppressed by lowtemperature growth or by using a surfactant, which lowers the surface energy (see Sect. 4.5.2). This behavior has been found in practice in SiGe/Si(001) heteroepitaxy [77], showing different relaxation behavior. For low mismatch layers (350◦ C), the growth rate is fairly independent on the temperature and limited by the GeH4 adsorption (supply-rate limited). On the other hand, the GeH4 flux dependence is just opposite: at high temperature a strong dependence and at low temperature a weak dependence is observed, as illustrated by Fig. 4.23 [98]. The disappearance of the oscillations at higher temperatures points to a higher mobility of the adatoms and the presence of a steady-state distribution

4.4 Homoepitaxy of Germanium

181

Fig. 4.20. Oscillations in the specular beam intensity during growth of Si(001) and Ge(001) for different substrate temperatures as indicated and for an angle of incidence of the electron beam θi ∼ 0.6◦ . CL denotes interrupting growth by closing the shutter of the source (after [97])

of growth steps on the surface [98]. So, steps are created by nucleation and an equal number disappears due to coalescence. Therefore, the RHEED intensity that measures an average step distribution measures no oscillations. The surface mobility of Ge atoms is much higher than that for Si, explaining the lower transition temperature. This is also consistent with the weaker Ge–Ge and Ge–H bonds. At low Ts , the growth rate is dominated by H desorption, yielding an activation barrier of 35 kcal mol−1 . At high Ts , H2 desorption proceeds fast, so that the growth rate is determined by the adsorption of GeH4 and is thus strongly flux dependent [98]. Xie et al. also proposed a model for the growth rate, which can be expressed by [98]   (4.26) RGe = kaGe J σ0Ge − σ GeH ,

182

4 Germanium-Based Substrate Defects

Ge , azimuth T = 150⬚C

T = 20⬚C

(a)

Intensity (a.u.)

0

2

4

(b)

0

2 T = 210⬚C

T = 180⬚C

(c)

0

2

4

4

T = 240⬚C

(d)

0

2

4

Shutter closed

(f)

(e) T = 300⬚C 0 0

2

2

4

4

Time (min) Fig. 4.21. Oscillations in the specular beam intensity measured in the azimuth at θi = 0.5◦ during growth of Ge(111) at different substrate temperatures as indicated (after [96])

where kaGe is the GeH4 adsorption coefficient on Ge sites, J is the GeH4 flux, σ0Ge , σ GeH is the total number of Ge sites and the surface hydride population, respectively. The rate of hydrogen desorption is represented by RGeH = kdGe σ GeH ,

(4.27)

where kdGe is the hydrogen desorption coefficient. The total adsorption and desorption rate are assumed to be at equilibrium during growth, that is, Ra = Rd [98]. The rate constants used to calculate the model are summarized in Table 4.1 [98], yielding satisfactory agreement with the measurements. Note

4.4 Homoepitaxy of Germanium

183

Growth Rate (MLs-1)

0.1

0.01

0.001 1.4

1.5

1.6

1.7

1.8

103/ Temperature (K -1) Fig. 4.22. Arrhenius plot of Ge homoepitaxial growth rate as obtained from the RHEED oscillation periods. Two temperature regimes are observed: at low temperatures the growth rate is strongly temperature dependent, which is attributed to hydrogen desorption kinetics. At high temperatures, the weak dependence is due to GeH4 adsorption kinetics (supply-rate limitation) (after [98])

that the low activation energy for GeH4 adsorption in Table 4.1 points to a low nucleation barrier (low activation) for the highly reactive GeH4 adsorption on Ge(001). Similar studies of the MBE growth mode have been performed relying on surface X-ray scattering during growth [99]. The main conclusion for Ge on Ge(111) was that at low Ts (1 μm). On the other hand, high temperature growth promotes the formation of facets and pits.

184

4 Germanium-Based Substrate Defects 0.05

T = 350 ºC

-1

Growth Rate (MLs )

0.04

0.03

0.02

T= 310ºC

0.01

0 0

2

4

6 16

Flux GeH4 (x10

8 -2

molecules cm

10 -1

s )

Fig. 4.23. GeH4 flux dependence of Ge homoepitaxial growth rate. At high temperature, it is strongly dependent, while at low temperature, it is only weakly flux dependent (after [98]) Table 4.1. Rate constants for GeH4 adsorption, ka (cm3 mol desorption, kd (s−1 ), from Si and Ge surface sites −1

k0i (1011 cm2 mol Si Ge

ka kd ka kd

s−1 )

−1 −1

s

), and hydrogen

Ei (kcal mol−1 )

6.3 6.6 0.93 3.2

1.8 49 3.6 37

The rate constants obey the equation ki = k0i exp(−Ei /kT ), where i = a or d (after [98])

4.4.2 Growth Roughness and Epitaxial Breakdown at Low Temperatures Interest in developing low-temperature epitaxial growth is driven by the fact that abrupt interfaces can be obtained, interlayer diffusion and intermixing are avoided, surface segregation is reduced, phase transitions are inhibited, and steep(er) doping profiles result, which is necessary for the fabrication of super lattice and quantum well structures. Early attemps to perform MBE of Ge on Ge(001) in the temperature range 20–100◦ C showed that the obtained Ge layer consists of three regions: the initial layer is epitaxial with a thickness given by h1 ∼ exp(−EA /kTs ), as shown by Fig. 4.24 [101]. The activation

4.4 Homoepitaxy of Germanium

185

1000 Layer Rd (nms-1) RHEED XTEM h1 • 0.1 h1 0.05 h2

0.05

Thickness (nm)

100

10

1

0.1 2.5

2.75 3

3

3.25

3.5 -1

10 /Substrate Temperature (K )

Fig. 4.24. h1 is the thickness of the defect-free region and h2 is the total (defect-free plus defective) thickness of epitaxial MBE Ge layers grown as a function of reduced growth rate Rd and Ts (after [101])

energy EA corresponds with 0.47 ± 0.1 eV. The thickness h1 corresponds with the transition from layer-by-layer to 3D growth (islanding or simultaneous multilayer growth), where the surface of the defect-free layer consists of small (2 × 1) terraces and yields an apparent 1 × 1 RHEED pattern. This is owing to the reduction of the size of the 2 × 1 reconstructed terraces. Layer 2 is epitaxial with {111} microtwins and stacking faults and corresponds with the average total film thickness at which there is a transition to amorphous growth. The defect density in layer 2 increases with Ts . This is related to the fact that the average size of the pyramidal islands increases with Ts , as does the roughness. The islands are bound primarily by {111} planes, as schematically represented in Fig. 4.25 [101], showing a multilayer pyramidal island giving rise to a 1 × 1 pattern in RHEED. Layer 3 is amorphous with a columnar structure and a locally abrupt transition. The observations have been qualitatively explained by considering the anisotropies of the surface diffusivities and bonding that have also been observed for the Si(100)2 × 1 surface [101]. It is, for example, accepted that adatoms have higher diffusivities parallel to the dimer rows and higher

186

4 Germanium-Based Substrate Defects

Fig. 4.25. Drawing of an ideal multilayer island, bounded by {111} facets, on Ge(001)2 × 1. Adatom A is shown bonding in an epitaxial position on a (111) facet, B is in a symmetry-related √ position √ leading to the formation of stacking faults, and adatom C is in a faulted 3 × 3 site (after [101])

accommodation probabilities at the end rather than on the sides of the dimer rows. Dimers are pairs of Ge atoms on the surface, which are bonded together to reduce the number of dangling bonds and, hence, the surface free energy. On Ge(001), the dimer pairs are aligned along the two orthogonal directions, leading to two kinds of (2 × 1) surface reconstructions (two types of terraces), separated by monatomic steps. At low Ts deposition, the adatom mobility is low and the island nucleation rate high. A complete filling of the buried terraces in Fig. 4.25 leads to {111} surfaces (facets). Incomplete filling will yield higher order surfaces such as {113} and {115}, which explains the curvature in some of the pyramidal boundaries. Compared to {100} surfaces, {111} planes have a higher atom density, exhibit more complex reconstructions, and require higher deposition temperatures (>200◦ C) than {100} planes for growth of epitaxial layers with thicknesses >100 nm. The fact that a faulted epitaxial layer is still in a lower energy state than an amorphous layer explains the presence of this transition layer 2. It was furthermore anticipated that the critical epitaxial layer thickness should increase with decreasing deposition rate at a certain Ts [101]. Recently, the microstructural phase diagram of Ge(001) grown by lowtemperature (LT)-MBE has been further explored by Bratland et al. [102–104] and is schematically represented in Fig. 4.26 [102]. From these studies clearly follows that there exists a critical epitaxial thickness at each Ts (and not critical substrate temperature) at which a transition from epitaxial to amorphous growth occurs. As can be seen from Fig. 4.26, the critical thicknesses h1 and h2 are thermally activated according to [102] h1,2 ∼ exp (−E1,2 /kTs ) ,

(4.28)

with activation energies E1 = 0.61 ± 0.05 eV and E2 = 0.48 ± 0.05 eV. E1 is approximately equal to the activation energy for adatom diffusion along a dimer row on Ge(001)2 × 1 [102].

4.4 Homoepitaxy of Germanium

187

Fig. 4.26. Microstructural phase diagram of Ge(001) layers grown by LT-MBE as a function of Ts with R = 0.05 nm s−1 . h1 is the thickness of the defect-free region and h2 is the total (defect-free plus defective) maximum epitaxial thickness (after [102])

Bratland et al. observed for growth temperatures below 170◦C three distinct sublayers, schematically represented by Fig. 4.27 [102]. For he < h1 (Ts ) the bulk Ge(001) appears structurally perfect while the surface morphology evolves via the formation of a periodic array of growth mounds oriented preferentially along directions. Surface mound widths w and coherence lengths d increase monotonically with film thickness [102]. As he → h1 (Ts ) deep cusps bonded by {111} facets form at the base of interisland trenches as the surface roughness reaches a critical aspect ratio w/d = 0.02, which is independent of Ts . So, epitaxial BD is initiated on the {111} facets at the base of the interisland trenches. Above 170◦C continuous epitaxial growth was observed [102], that is, h1 → ∞. Note that the energy 170 k = 0.038 eV is close to the Ehrlich barrier on Ge(001) surfaces [102]. Continued deposition at h1 < he < h2 results in the formation of bulk structural defects, including {111} twins and stacking faults (SF). The density

188

4 Germanium-Based Substrate Defects

Fig. 4.27. Diagram illustrating the time (i.e., film thickness) microstructural and surface morphological evolution during LT-MBE growth of Ge(001) (after [102])

of twins and SFs increases with Ts [102]. The epitaxial-to-amorphous transition is locally atomically abrupt but the interface is quite rough, as indicated in Fig. 4.27. It was observed that the epitaxial breakdown is not controlled by the H2 background pressure, ruling out a H-related mechanism due to the passivation of DBs. It is rather believed that epi BD is a growth mode transition with a direct relation with kinetic roughening. It can be explained by an atomistic growth model in which depositions on {111} faceted cusps leads to double-positioning and other symmetry breaking defects, resulting in a loss of long-range order (see also Fig. 4.25) [102]. From (4.28) follows that   0.13eV h2 − h 1 . (4.29) ∼ 1 − exp − h2 kTs 0.13 eV is close to the free energy difference between crystalline and amorphous Ge. Further, it has been shown that w ∼ hβe and d ∼ hne [102]. The exponent β is a measure of how fast surface roughness develops while n is a measure for the rate of island coarsening. Typical values are β ∼ 0.46 and n ∼ 0.35 for 7 < he < 180 nm [102]. Beyond 180 nm β increases continuously, while

4.4 Homoepitaxy of Germanium

189

n remains approximately constant. Bratland et al. also concluded that there must be a relationship between critical thickness and adatom diffusion [102]. Assume that the island peak to valley distance can be expressed by x ∼ d/2 ∼ Bhne /2,

(4.30)

and the adatom mean diffusion length is given by α

L = (Ds /Rd )

with Ds = D0 exp (−Em /kTs ) .

(4.31)

One arrives at the following expression for h1 [102]:  h1 =

2 B



D0 Rd

α 1/n

 exp

−Em /α nkTs

 ,

(4.32)

with Em = 0.65 eV, the migration energy of an adatom along dimer rows on Ge(001)2 × 1; D0 = b0 2 ν/4 with b0 = 0.4 nm, the surface lattice constant along dimer rows in Ge(001)2 × 1; and ν = 8.27 × 1012 s−1 , the Ge Debye frequency [102]. The condition for epitaxial breakdown reads then x ≥ L, from which follows that the adatoms can no longer fill the interisland trenches by diffusion. The origin of kinetic roughening at low growth temperatures is thus that a significant fraction of adatoms condensing on the tops of the islands cannot cross step edges to lower terraces, due to the presence of an Ehrlich diffusion barrier, before nucleating a new higher level island. Thus growth at low Ts proceeds on multiple levels simultaneously. Bratland et al. have investigated ways to reduce the roughening during LT-MBE [103,104]. Several approaches exist, like temperature cycling, pulsed ion irradiation to increase nucleation densities, ion-assisted depositions, and the use of surfactants. One promising route to achieve this is by using diluted concentrations (1 × 1018 –6 × 1019 cm−3 ) of Sn as a surfactant. It has been shown that Sn reduces the rate of surface roughening and, hence, interisland trench formation, but increases h1 up to 10 times over the temperature range 95–170◦ C (Fig. 4.28 [103]). Nevertheless, the surface aspect ratio w/d at the onset of epitaxial BD remains constant (∼0.02). This provides a direct link between kinetic surface roughening and the onset of epitaxial breakdown [103]. It should also be remarked that films with [Sn] = 6 × 1019 cm−3 are compressively strained, as detected by HR-XRD. From Fig. 4.28, it can be derived that the activation energy of h1 reduces from 0.61 to 0.37 and 0.31 ± 0.05 eV for Ge(001):Sn layers. At the same time, the critical temperature for continuous (infinite) epitaxial growth is lowered from 170◦C to 150◦ C (1018 cm−3 Sn) and 130◦ C (6 × 1019 cm−3 Sn) [104]. If this is considered a measure for the Ehrlich diffusion barrier than it means that the presence of Sn lowers Eb . Adsorbed Sn atoms lead to an enhancement of Ge step crossing probabilities and terrace migration mobilities. The resulting Sn-induced increase in interlayer mass transfer gives rise to enhanced filling of interisland trenches, which, in turn, decreases

190

4 Germanium-Based Substrate Defects 10000

Thickness h1 (nm)

170ºC

150ºC

130ºC

1000

Epitaxial

Ge/Ge(001) LT-MBE

100

G e(001) Ge (001):Sn 18 -3 1x10 cm

G e(001):Sn 1x10

19

-3

cm

RHEED XTEM

10 2.2

2.4

2.6

2.8

103/ Substrate Temperature (K-1) Fig. 4.28. Critical thicknesses h1 for the onset of epitaxial breakdown in Ge(001) and Ge(001): Sn layers grown at Rd = 0.05 nm s−1 as a function of Ts (after [103])

the rate of {111} facet formation. The mechanism is supposed to be linked to the 2D segregation of Sn atoms in surface islands, leading to a preferential attachment at step edges, thereby increasing the probability of itinerant Ge atoms crossing to lower terraces through a push-out/exchange mechanism. On the other hand, increasing the Sn concentration above 2% introduces too much compressive strain (a higher covalent radius and a lower sublimation enthalpy). At x ≤ 2%, Sn-induced enhancement in both adatom mobility and the rate of interlayer mass transport suppresses kinetic roughening and results in a significant increase in h1 for the onset of epitaxial breakdown [104]. However, for [Sn] > 8 × 1020 cm−3 (x > 0.020) strain-induced roughening overcomes the Sn-induced surface smoothening effects and gives rise to a rapid decrease of h1 , as shown in Fig. 4.29 [104]. In this concentration range, we are in the alloying regime. It should also be kept in mind that Sn has a strong tendency to segregate to the surface. As shown in Fig. 4.30, the roughening rate w/he reduces from pure Ge to [Sn] < 2% but increases hereafter. The observed decrease in w/he corresponds with an increase in h1 . The mean interisland distance decreases with x over the entire Sn concentration range.

192

4 Germanium-Based Substrate Defects

100 Ge1-x Snx /Ge(001)

h e (nm)

T s = 100ºC

h e ¥ ε-2

10



RHEED XTEM HR-XRD

1 0

5

10

15 x (at% )

20

25

30

Fig. 4.31. Epitaxial thickness he as a function of the Sn fraction x in Ge1−x Snx layers grown on Ge(001) at Ts = 100◦ C. The solid line is calculated for constant strain energy (after [105])

dilatation in interplanar spacings near island peaks [104]. For example, the strain increases from 1.5 × 10−3 to 2.9 × 10−3 when going from 1.0% to 2.0% Sn. The onset of strain-induced roughening is not only manifested through the overall increase of w/he but also through a change in the mound shape as the {105} faceted pyramidal islands gradually become rounded mounds with increasing x (>2%). This shape transformation starts at x = 0.01 and is complete at x = 0.2 [104]. For higher Sn surface concentrations, it has been demonstrated that a hybrid roughening mechanism may exist [105] on Ge(001), which is a combination of the kinetic roughening (dominant at low growth T and [Sn]) and strain-induced roughening, occurring during high-temperature growth of strained-layer epitaxy. This results in a further reduction of he with [Sn], as shown in Fig. 4.31. The break in the curve at x = 0.09 is due to a change in the roughening mechanism at Ts = 100◦ C [105]. For x < 0.09, the roughness is larger with features typical of kinetic roughening. For x > 0.09, it is assumed that kinetic roughening gives rise to surface oscillations on lateral length scales, which allow bulk film relaxation through strain-induced islanding [105]. The driving force at x > 0.09 is the elastic energy per unit interfacial area, for a strained film given by (4.2). From (4.2) it follows that he ∼ ε−2 , as represented by the line fit in Fig. 4.31. At the same time, the elastic energy stored in all films with x > 0.09 and grown to he (x) is the same. It should be remarked that kinetic roughening yields a correlation length of 10–15 nm (see Fig. 4.23), while strain-induced roughening operates on a 100–400 nm length scale [101]. As a consequence, the Ge1−x Snx aspect ratio / remains constant at he , irrespective of x in the range 0.03–0.05, as shown in

4.5 Heteroepitaxial Growth of Ge on Si

193

0.08

1.5

Ge1-x Snx /Ge(001) T s = 100ºC 1

0.04

0.5

Roughness Rate

Surface Width (nm)

0.06

0.02 Ge buffer 0

0 0

5

10

15

20

25

x (at%)

Fig. 4.32. Surface width and average roughening rate vs. the composition x of epitaxial Ge1−x Snx layers grown on Ge(001) to thicknesses h = he at Ts = 100◦ C (after [105])

Fig. 4.32 [105], while it increases for higher x. An estimate of the length scale λc for strain-induced roughening occurring at x > 0.09 is given by [105] λc =

πγs (1 − μP ) , 2 2Gs (1 + μP )2 fm

(4.33)

λc can be considered as the equilibrium critical perturbation wavelength, with γs the surface energy. It was, finally, concluded that with increasing misfit (and x), the temperature where strain-induced roughening becomes kinetically favored over dislocation nucleation becomes lower [105].

4.5 Heteroepitaxial Growth of Ge on Si Pioneering work in the fifties, sixties, and early seventies pointed out that for good-quality epitaxial deposition of Ge on crystalline substrates one has to avoid all contamination by the source material and the reactor environment. Crucial was also to start from a clean, oxide- and carbon-free surface. Interest in the deposition of Ge on silicon substrates was sparked by the possibility of the epitaxial deposition of III–V alloy layers, opening up the path for the integration of optoelectronics on a silicon CMOS platform. However, the direct deposition of, for example, GaAs on silicon results in highly defective layers, due to the large lattice mismatch and the difference in thermal expansion coefficient. This yields poor performance of the fabricated photodiodes.

194

4 Germanium-Based Substrate Defects

Employing a Ge layer on Si as a template for heteroepitaxial III–V growth solves most of these issues, as the lattice parameter and thermal expansion coefficient of Ge and GaAs are very similar. Therefore, since the late seventies, much effort has been devoted to optimize the epitaxial deposition of Ge, mainly on Si(001) substrates, as these are technologically most relevant [106–122].3 Because of the 4.2% mismatch, SK growth will normally occur, which may result in rough layers with a high TD density. However, pioneering MBE work by Baribeau et al. [123–125] demonstrated that the defect density could be reduced significantly by employing a graded SiGe buffer layer growth – densities of 5 × 108 cm−2 in the top Ge layer could be achieved. On the other hand, implementation of a strainedlayer Si/Ge superlattice (SL) did not improve the defect density in the Ge epilayer [123–125]. They also noted that the direct deposition of Ge on Si(001) at Ts ≤ 800◦ C yielded smooth layers with a TD density of ∼108 cm−2 , whereby most TDs were confined to the first 100 nm of the Ge layer. In addition, postgrowth in situ (high vacuum) annealing resulted in a marked improvement in the crystalline quality: the TD density could be reduced by at least one decade [124]. Multiple anneal cycles up to 600–750◦ C resulted in densities of 5 × 106 cm−2 , whereby the annealing time in the range 5–30 min did not play a strong role. The annealing of the dislocations comes from the thermal stress generated by the temperature cycling, which moves the dislocations and results in their annihilation (see Sect. 4.3.6). Moreover, it was suggested that a two-stage low-temperature/high-temperature growth could provide highquality smooth Ge layers on Si: a low-temperature smooth film of 200 nm is deposited first, followed by a high-temperature (700◦ C) Ge on Ge epitaxy (this increases the growth rate and favors dislocation reduction by increasing their mobility) yielded a NTD = 5 × 106 cm−2 [124]. Another proposed scheme considers the use of a growth interruption and intermediate annealing step to improve the crystalline quality of the epilayer. As will be seen later, all recent work aiming at obtaining good-quality Ge epi on Si relies on one of the methods described earlier, whereby a record low density of ∼105 cm−2 can be achieved. In this section, first the initial stages of Ge growth on Si(100) (and Si(111)) will be described, showing that after an initial wetting layer of ∼3 ML, coherent islands nucleate, which can grow much beyond their critical height due to elastic relaxation. At a certain point, dislocations relax the island strain, islands coalesce and a relaxed Ge layer with a large TDD (∼1010 –1011 cm−2 ) is formed. However, SK growth can be suppressed by following one of the two strategies: either a low-temperature growth, where a high density of islands nucleates but coalesces in an early stage to form a smooth epilayer or by the use of a surfactant layer. Both these 3

The higher hole mobility in direction has recently raised the interest in {110}-grown silicon substrates, giving rise to so-called hybrid-orientation technology (HOT). This might stimulate further developments of epitaxial growth on differently oriented substrates.

4.5 Heteroepitaxial Growth of Ge on Si

195

methods have a huge impact on the strain relaxation and defect formation of the mismatched layer. Next, defect formation and reduction strategies for direct epi on Si will be discussed, while Sect. 4.5.4 describes the use of a compositional graded SiGe buffer layer. The defect formation and reduction during selective epitaxy of Ge on Si will be outlined. In the next paragraph, the deposition and related defect issues for thin strained Si and Ge layers will be highlighted. The section at the end of chapter gives a summary table on the best reported results for Ge epitaxy on silicon. 4.5.1 Initial Growth Mechanisms Since the early seventies, numerous studies have investigated the initial stages of Ge on Si(111) [126–129] or Si(001) growth [130–135] to unravel the exact mechanism. There has been a dispute for some time whether the SK growth of Ge on Si and the VW growth of Si on Ge [136,137] were accompanied by significant in-diffusion/intermixing of the deposited species and, hence, whether the growth interface is abrupt or not [138]. In-diffusion is also a way of strain relaxation, by modifying the local lattice parameter and hence the mismatch. While there is evidence of Ge segregation for Si solid-source epitaxy on Ge(001) at 400◦ C [139,140] (see also Sect. 4.5.6), at typical temperatures where Ge island nucleation on Si(001) was found (300–450◦ C) and for the deposition times used, little or no diffusion in the bulk can take place [138]. It was also concluded that for very high growth rates and moderate temperatures, kinetic aspects will determine the initial growth [138]. Under such circumstances the nucleation rate will be high and the adatom mobility low, so that a quasi layerby-layer growth mode sets in at early stages of the deposition. The nuclei coalesce in a very early stage and the further growth has a 2D character, yielding a smooth layer. This is a good template for further Ge deposition. The final crystallinity of Ge on Si(001) was shown to improve even more upon intentionally introducing defects near the Si/Ge interface, for example, by an intermediate high-temperature anneal or by ion implantation and reannealing [138]. In the early nineties, breakthroughs in the insight in the initial stages of Ge epitaxial growth on Si(001) was obtained, using among other scanning tunneling microscopy [141–143]. It was observed that in the transition from 2D to 3D growth a metastable 3D cluster phase with well-defined structure and shape is found [141]. These so-called hut clusters are elongated pyramids with four equivalent {105} facets, oriented along and on Si(001). It was shown that they define the kinetic path for the formation of “macroscopic” Ge islands. A next breakthrough was the observation that Ge hut clusters can grow coherently on a wetting layer of 3 ML without plastic relaxation [24]. However, it was shown that significant elastic relaxation takes place, shared both between the island and the silicon substrate (see also Fig. 4.4). These nanoscale hut clusters are metastable and only formed at growth temperatures below 530◦C [144]. The strain in such hut clusters has, for example, been evaluated by X-ray diffraction [144], showing that near the

196

4 Germanium-Based Substrate Defects

apex of the clusters the strain is relaxed and the atomic spacing is close to the natural Ge lattice spacing with a 4.2% misfit. From this, it is concluded that the main driving force for the 2D to 3D transition is the island morphology, providing for significant elastic strain relaxation. Considerable efforts have also been devoted to determine exactly the transition point from 2D to 3D growth for Ge/Si(001) [143–147]. Precise photoluminescence investigations indicated that the onset of island growth occurs at 3.7 ML, but the equilibrium critical thickness is 3 ML [146, 147], in agreement with other observations and theoretical calculations [148]. It has been found that at 1 ML, the Si(001) surface is completely Ge-terminated, reflecting the lower surface energy of germanium. The surface structure gradually changes from a (2 × 1) to a (2 × N ) reconstruction during the initial three monolayers, where N is large and not well-defined at 0.5 ML and about 8 at 3 ML. This is thought to be a strain relieving effect, where the creation of a “missing dimer” structure allows more room for the expansion of the Ge layer, since each missing dimer is associated with a significant tensile stress [149]. More detailed STM observations indicate that the Ge wetting layer also relaxes by forming missing dimer rows (or vacancy lines), roughening the layer [150]. Several studies have investigated the evolution and defect formation in Ge islands on Si(001) (see, e.g., [149, 151, 152]). Particularly, in situ UHV-TEM observations proved to be instructive [149]. Below roughly 600◦ C, the 2D to 3D transition was shown to proceed through the formation of hut clusters [149]. Huts have not been found to relax by dislocations. At higher deposition temperatures, the hut clusters are not formed and replaced by a homogeneous, less dense nucleation of rounder islands [149]. Also the growth and relaxation modes are different for low and high temperature islands. For high temperature growth (650◦ C) all islands are coherent with the Si(001) substrate and no dislocations are found initially [149]. Once an island has relaxed plastically, it becomes a preferential site for Ge attachment and it grows much faster than the others. All dislocations introduced at 650◦ C are 60◦ type with b = a/2 [102] with a 60◦ angle to the dislocation line unit vectors along [111] and [110] and thus can relieve strain in both directions [149]. Plastic relaxation occurs through glide on their {111} planes. A new (second) dislocation is formed at the edge of the island, which than rapidly grows past it. At low growth temperatures, below the ductile to brittle transition of bulk Ge, a different plastic relaxation is expected. Two cases have been distinguished [149]: one, the hut cluster regime and two, below the brittle-to-ductile transition (50%) the high reactivity of the (Si)Ge layer emphasizes the chemical component of the polishing, so that a high thickness needs to be removed to obtain a low surface roughness. Recently, record-low TDDs have been achieved for Ge-on-Si growth using a VS, relying on low-energy plasma enhanced CVD [224,225], which maintains a high growth rate, independent of Ge concentration or substrate temperature.

210

4 Germanium-Based Substrate Defects

A 1 μm pure Ge layer on a graded buffer was obtained by deposition at 500◦ C and resulting in a TDD of 1.1 ± 0.7 × 105 cm−2 . This is for instance one decade better than the best what could be achieved by MBE growth at 450–550◦ C [226]. GeSi buffer layers grown up to pure Ge have been successfully used as a template for high-quality GaAs growth on Si [227]. Conversely, a similar approach can be followed to grow a relaxed Si1−x Gex buffer on Ge(001) substrates [228, 229]. Most efforts nowadays are devoted to reducing the thickness of the VS, which is one of the main drawbacks of the technique: the several micrometer thick layer is not very interesting for local deposition and also suffers from a poor thermal conductivity, which may result in self-heating during device operation. The best way to achieve this is by improving the relaxation of the layers in some way or another. It has been shown that the TDD can be reduced by promoting growth with pure edge misfit dislocations [230]. This is achieved by depositing a thin Ge layer on top of which a thin epitaxial or amorphous Si layer is grown; relaxation of the Ge occurs through the formation of a pure edge dislocation network at the Ge/Si(001) interface [230]. Alternatively, by using a sufficiently large concentration step between two subsequent layers, a blocking of the TDs at the interface between the two layers is accomplished [231, 232]. Other methods are based on the use of Si+ preion-implantation to enhance the strain relaxation [233] or the implementation of a low temperature (350–400◦C) SiGe buffer, eliminating the need for post Ge-growth cyclic annealing [234]. Quite promising is also the low-temperature Si buffer approach developed by Peng et al. [235, 236], whereby intermediate buffer layers grown at 400◦C serve as nucleation sites/traps for dislocations, as shown in Fig. 4.43. A TDD in the range of 3 × 106 cm−2 could be reached for a total stack thickness of 1.7 μm [235]. In some sense, this method is a hybrid between the two-step direct Ge approach and the graded SiGe buffer layer technique. Finally, also a high-temperature (850◦ C) growth of very high Ge content SiGe VSs has recently been proposed [237]. Polished Si0.5 Ge0.5 VSs were used as templates for the growth of the high Ge concentration part of such a stack, in order to reduce the surface roughening when ramping to high Ge concentrations. In this way, a good control of the TDD and pile-up density can be achieved up to high Ge%, as shown in Fig. 4.44 [237], with a TDD of ∼3 × 106 cm−2 for a 100% Ge layer. 4.5.5 Selective Epitaxial Growth of Relaxed Ge on Si It has been shown that selective CVD growth on Si can be achieved using GeH4 or GeCl4 as the Ge precursor, with the latter showing improved surface roughness and a better selectivity on oxide-patterned Si [238]. SEG of Ge on Si has been applied for the integration of Ge p-i-n photodetectors on a Si platform [239–241] or for the fabrication of raised [242–244] or embedded [244] Ge source/drains. The advantage of the latter is among others a reduced

4.5 Heteroepitaxial Growth of Ge on Si Ge0.3Si0.7 500 nm

211

Ge0.9Si0.1 (c) 500 nm

(a)

LTGe06. Si0.4

LT-Si

Si(001)

200nm

g=200

Ge0.6Si0.4 500 nm

Ge0.6Si0.4 500 nm LTGe03. Si0.7

(b)

LTGe03. Si0.7

Ge0.3Si0.7 500 nm LT-Si

Ge0.3Si0.7 500 nm LT-Si

200nm

g=200

Si(001)

Si(001) g=200

200nm

Fig. 4.43. Layer structures and bright field cross section (200) TEM images for three types of layer stacks (a, b and c) defined in the figure. The LT layers were grown at 400◦ C and the 500 nm GeSi at 550◦ C (after [235])

-2

Threading Disl. Dens. (x10 cm )

10 Field Pile up

6

850ºC 1

0.1

0.01

20

30

40

50

60

70

80

90

100

Ge Concentration (%)

Fig. 4.44. Field and pile-up threading dislocation densities as a function of the Ge concentration for SiGe virtual substrates grown at 850◦ C. Polished Si0.49 Ge0.51 virtual substrates were used as templates for growth of the SiGe layers with contents above 50% (after [237])

212

4 Germanium-Based Substrate Defects

series resistance and the possibility of dopant activation at lower temperatures, yielding more abrupt and ultra-shallow junctions, which are necessary for a good control of the short-channel effects of the devices. Lower thermal budget processing is also more compatible with high-κ gate dielectrics [242]. In principle, local epitaxial deposition of relaxed Ge layers should yield a lower threading defect density, according to Sect. 4.3.8, because of the possibility of elastic relaxation or defect necking. In practice, high defect densities have been observed [244–248], demonstrating that misfit strain is predominantly relaxed through a plastic deformation mechanism. From a systematic study of the window size effect [246,248], it can be concluded that the etch pit density indeed reduces with size, as represented in Fig. 4.45 [248]. This reduction could be partly due to the defect necking mechanism, observed earlier for growth of GaAs on Si(001) [89] and more recently for Ge on Si(001) [249–251]. To trap (or block) the 60◦ glide dislocations at the oxide sidewalls, the aspect ratio (trench height/trench width) needs to be larger than 1 [250]. In addition, it is advantageous to start from 6◦ off-cut Si(001) wafers along the [001] direction and using a two-step growth, consisting of a low-temperature smooth buffer and a high temperature layer grown at 400–600◦C [249]. It was observed that dislocations originating from the Ge/Si interface terminate at the SiO2 sidewalls within the first 200 nm of the interface [249]. A complete defect-free growth occurs above the trapping region. In this way, thin relaxed

Dislocation Density (x106 cm-2)

10000 1000 100 10 1 0.1 0.01 Experimental Yamaguchi data Curve fitting

0.001 0.0001 0.00001 0.000001 0.01

0.1

1 10 100 Patterned Width (μm)

1000

10000

Fig. 4.45. EPD measurements show that the material quality improves as the size of the windows decreases from 20 to 4 μm. A graded buffer layer approach is utilized (after [248])

4.5 Heteroepitaxial Growth of Ge on Si

213

Ge films can be grown on Si(001) with a low thermal budget, which satisfies the planarity and thermal requirements of modern Si CMOS technology. A more detailed investigation of the defect reduction mechanism has revealed that growth facets, when formed early in the process, play a dominant role in the configuration of the TDs [250,251]. It has been observed that the TDs behave like growth dislocations, which are replicated during growth approximately along the facet normal and so are deflected from the center of the selective epitaxial region. The efficiency of the aspect ratio trapping could be improved through the engineering of steeper facets, for example, by chosing a different dielectric sidewall or by the optimization of the growth temperature [251]. Faceting is one of the problems encountered in selective epitaxial growth [244, 245, 252, 253]. It can originate from the predeposition etching with HCl, resulting in the development of , , and facets etched in the silicon active region with orientation [244]. At the same time, some dewetting and thinning of the B-doped Ge layers at the boundaries with shallow trench isolation oxide has been observed, probably due to the built-in compressive strain and the presence of facets underneath [244]. Facets also grow along the SiO2 sidewalls, due to the high Ge/SiO2 interface energy. Severe faceting has been found for SiO2 sidewalls only; at the same time, severe loading was observed [245]. More detailed studies have revealed that for selective growth on Si(001) in SiO2 windows, {113} facets develop from the foot of the SiO2 sidewalls, while the top terrace is {100} [252]. This behavior has been ascribed to the reduction of the precursor chemisorption rate on {113} facets with respect to (100). For the narrowest trenches, the height of the deposit depends on the trench width (Fig. 4.46). Between 0.3 and 1 μm the (100) top terraces have nearly disappeared and additional {111} facets develop [252]. Also, the Ge begins to wet the SiO2 . Similar observations have recently been reported by Park et al. [253], showing that the development of {111} facets in Ge epi is less favorable than in Si growth. At the same time, for narrow trenches, the driving force for faceting is most likely the minimization of the free surface energy – especially with repect to the high-energy Ge/SiO2 interface. As a result, no (100) top terrace is formed in narrow trenches and predominant {113} facets form, resulting in an angle of 25.2◦ with respect to the growth surface. It is believed that this faceting already occurs during the low-temperature growth of the Ge nucleation layer, where the layer is much thinner near the SiO2 edges of the trench, with a round and multifacet shape [253]. Avoiding contact with the SiO2 is thought to minimize the system’s energy and controls the front morphology. In extreme cases also voids may be formed in epitaxially overgrown layers on the SiO2 interface [253]. Quite some efforts have also been devoted to grow selectively Ge dots on an SiO2 patterned Si substrate to control the spacing and size of the islands [254–258]. Allowing for coalescence of these quantum dot structures

214

4 Germanium-Based Substrate Defects

Deposited Ge Thickness (nm)

55

50

45

40

35

30

25 0.1

1

10

100

Trench Width (mm) Fig. 4.46. SEG Ge height as a function of the trench width after 90 min deposition (after [252])

results in a uniform Ge overlayer that is dislocation free [259]. However, twins are generated mostly on top of the SiO2 template. At the same time, indiffusion of Ge occurs in the vias up to 25 nm deep at 650◦ C, giving rise to additional strain relaxation [259]. No dislocation segments have been observed above the oxide-free region. However, stacking faults emanate from the SiO2 region, propagate along {111} planes and terminate within 70 nm from the interface [259]. The termination or necking of threading dislocations at the SiO2 sidewalls may be responsible for the defect-free growth above the Ge seed layers. A further refinement of this method starts from a Si substrate covered by an ultrathin (1.2 nm) chemical SiO2 layer, which is exposed to germanium (MBE growth) [260]. Because of this exposure, a random array of nanometer wide Ge seeds monodispersed in size forms. It is believed that the Ge seeds consume the SiO2 layer and touchdown on the underlying substrate. The resulting seed density is larger than 1011 cm−2 , which should result in the growth of a dislocation-free Ge epitaxial layer upon their coalescence. It was found that the width of SiO2 between the Ge seeds ranges from 2 to 12 nm. The Ge epitaxial lateral overgrowth (ELO) layer is fully relaxed above 10 nm from the interface [260]. While no TDs are observed, a density of SFs and microtwins emanates from the Ge–SiO2 interface and propagates along {111} planes [260]. An EPD below 2 × 106 cm−2 has been obtained for a 4 μm polished Ge epilayer. Only a small fraction of the SFs grows until the surface.

4.5 Heteroepitaxial Growth of Ge on Si

215

The origin of these SFs is ascribed to a supersaturation of Ge interstitials near the Ge–SiO2 interface, which may nucleate extrinsic SFs and the merging of two Ge seed fronts [260]. 4.5.6 Growth of Strained Ge and Si Layers and Si/Ge Superlattices Besides the growth of thick Ge layers on a silicon substrate, there is also strong interest in depositing thin layers of strained Ge (sGe) on Si or strained Si (sSi) on Ge, since this may lead to a further enhancement of the drive current (mobility) of a MOSFET [261–263]. To maintain the strain in such layers, the thickness has to remain below the critical thickness, implying a few nanometer at most. Thin Ge layers are also helpful in optimizing the device performance. This can be achieved either by reducing band-to-band tunneling currents [264, 265] or controlling the short channel effects by a selective lowtemperature activation of dopants in Ge with respect to silicon [266]. Besides for the fabrication of high-mobility strained-silicon n-channel devices, epitaxial Si layers on Ge are good surface passivation layers [261–267], giving rise to a lower density of surface states at the Si–SiO2 interface for Ge p-MOSFETs. As will be seen in the next paragraph, a silicon passivation layer is also useful in the fabrication of GeOI substrates, to improve the quality of the Ge-buried oxide interface. The thickness of these Si layers has to be controlled carefully, as relaxation by misfit dislocations, noticed in Fig. 4.47, for 12 ML of Si will generate extra interface traps and thus no longer meets its purpose. Another critical feature is the temperature of the deposition [268],

Fig. 4.47. Cross-sectional TEM of the high-κ gate stack on a Ge surface passivated by different thicknesses of Si. At 12 ML of silicon, the layer relaxes, giving rise to misfit dislocations at the interface and loss of the surface passivation

216

4 Germanium-Based Substrate Defects

which can result in Ge surface segregation at higher deposition temperatures, accompanied by an increase of the density of interface traps. Thin strained Ge or Si layers can also be grown on a SiGe VS [269– 277]. Several layer schemes have been proposed. However, for a dual strained channel approach, a 50% Ge concentration VS offers a good starting point for depositing sGe and sSi channels with symmetric strain [274–276]. Using MBE growth, strained germanium and silicon can also be stacked into a SiGe superlattice (SL), which should offer unique optical properties to the system [277–279]. The stability of such layers has been discussed by Hull et al. [281], showing that two critical thicknesses are applicable in this case, one associated to the overall thickness of the SL and one relating to the thickness of the individual layers. Exceeding the critical superlattice thickness results in a network of dislocations between the substrate and the first SL layer [281]. Relaxation can also take place in this case by interdiffusion [281] or by the creation of planar defects (microtwins) generated by the successive glide of 90◦ (a/6) Shockley partials [282, 283].

4.6 Defects in Germanium-On-Insulator Substrates Germanium-on-Insulator (GeOI) substrates have several advantages compared with bulk Ge or epitaxial Ge layers: it combines limited material use with the advantages of silicon-on-insulator (SOI), namely, a better control of short-channel effects and a suppression of the drain leakage current. In addition, germanium layers on insulator can be useful in a 3D integration approach, as already discussed in Sect. 4.2. While the feasibility of solid phase and liquid phase epitaxy has been demonstrated, a better control can be achieved by wafer bonding techniques. There are two main routes to fabricate bonded GeOI substrates. A first approach is by bonding two thick substrates and etch-back of the Ge overlayer to the desired thickness (Fig. 4.48). This may be useful when rather thick layers are required for optical applications, for example. The major factor to control in this process is the difference in thermal expansion coefficient between handle and donor wafer. Another approach relies on the so-called smart-cut process [285], schematically represented in Fig. 4.49 [21, 286–290], and useful for the transfer of thin Ge layers. Since GeO2 is unstable, a CVD SiO2 layer is used and densified at 600◦ C, while the silicon handle wafer is thermally oxidized [21]. Room temperature hydrophilic bonding is usually applied. In this case, layer transfer or delamination is achieved by a H+ implantation at an energy of 50–100 keV to a high dose (mid 1016 to 1017 cm−2 typically). After bonding of the donorhandle wafer pair, a low-temperature anneal creates the layer exfoliation by the build-up of H2 in the implantation-induced defects (voids, platelets, and cracks), which expand and merge due to the increasing H2 gas pressure. The layer thickness is determined by the peak implantation damage range, that is,

4.6 Defects in Germanium-On-Insulator Substrates

217

Si donor wafer Stop layer(s) Transfer Layer(s)

SiGe graded buffer

SiGe graded buffer

Si donor wafer

Si donor wafer

(a)

(b)

SiGe grade

Stop layer(s) Transfer Layer(s) Handle wafer

(c)

Grind and Si etch Remaining SiGe

Stop layer(s) SiGe etch

Stop layer(s)

Stop layer(s)

Transfer Layer(s)

Transfer Layer(s)

Transfer Layer(s)

Handle wafer

Handle wafer

Handle wafer

(d)

(e)

(f)

Fig. 4.48. Process flow for grind/etch-back method with stop layer: (a) CVD growth of SiGe graded buffer and surface planarization, (b) growth of stop and transfer layers, (c) wafer bonding to handle wafer, (d) backside grinding and Si etch-stopping in graded layer, (e) selective SiGe removal, and (f) optional removal of stop layers (after [291])

by the implantation energy in the first place. The resulting high surface roughness is then reduced by a polishing step. While in the etch-back technique only one wafer can be produced per bulk Ge wafer, several tens of wafers can be made from the same donor Ge wafer, which is a huge cost advantage. When starting from a bulk Ge substrate, the defect density in an exfoliated GeOI wafer will be mainly determined by the defectivity of the starting material [21], which amounts to ≤ 3 cm−2 and by particles generated during the wafer bonding process. State-of-the-art GeOI material reaches light point defect densities in the range ≤10 cm−2 [21], leaving some margin for further improvement. Besides bulk Ge wafers, one can also start from an epitaxial Ge layer on a SiGe virtual substrate [288, 291–293]. The advantage of this approach is that one is not restricted to the limited availability of 200 or 300 mm diameter Ge wafers, since one can rely on the available silicon substrates for epitaxial deposition. Another benefit is that the VS removes the issue of thermal stresses associated with the difference in thermal expansion coefficient between Si and Ge. Drawback is that the TDD of the relaxed epitaxial layers will be

218

4 Germanium-Based Substrate Defects

Ion Implantation peak

Si donor wafer Transfer Layer(s) SiGe grade Stop layer(s)

Stop layer(s)

SiGe graded buffer

SiGe grade

Si donor wafer

Si donor wafer

(a)

(b)

Transfer Layer(s) Handle wafer

(c)

Si donor wafer SiGe grade

SiGe etch Remaining SiGe Stop layer(s) Transfer Layer(s) Handle wafer

(d)

Stop layer(s) Transfer Layer(s) Handle wafer

(e)

Transfer Layer(s) Handle wafer

(f)

TM

Fig. 4.49. Process flow for SmartCut with stop layers: (a) CVD growth of SiGe graded buffer and surface planarization, (b) growth of stop and transfer layers followed by ion implantation, (c) wafer bonding to handle wafer, (d) delamination of the wafer pair at the implant depth, (e) selective SiGe removal stopping on stop layers, and (f) optional removal of stop layers (after [291])

transferred to the final GeOI wafer, imposing a lower limit in the range of 105 –106 cm−2 (Sects. 4.5.5 and 4.5.6). An important problem in this approach is the difficulty for finding a good CMP recipe for high Ge content layers. A standard oxide CMP process works well for x < 0.5 but becomes very inefficient at higher Ge contents (slow removal rate) [293]. In addition, surface pitting occurs due to the anisotropic chemical etching, with a density equal to the TDD. It is believed that the preferential attack occurs at the strain fields of the TDs [293,294]. At the same time, recipes for CMP of bulk Ge cannot easily be applied to VSs, since they have a strong chemical component, requiring the removal of a lot of material before an acceptable rms surface roughness is obtained [294]. As solution to this problem, it has been proposed to employ a low-temperature oxide or a thin Si layer on top of the Ge VS, which can

4.6 Defects in Germanium-On-Insulator Substrates

219

be planarized with CMP with high efficiency and low material removal. In addition, these layers also protect the underlying Ge from cleaning attack during the wafer bonding process. A thin Si layer on top of the Ge transfer layer can be used to passivate the interface with the CVD buried oxide. It has been observed, however, that this layer is highly defective, due to the mismatch strain [280]. Along the same lines, it is proposed to introduce a Si0.4 Ge0.6 tensilestrained etch-stop layer, as represented in Fig. 4.49 [291–293], whereby the surface damage after layer splitting is removed by selective etching of Ge with respect to Si0.4 Ge0.6 by H2 O2 . In this way, the Ge transfer layer thickness is defined by epitaxy rather than by CMP. The Si0.4 Ge0.6 etch stop layer can then be removed by a CMP step. In addition, these etch stop layers are a good getterer for H2 , so that adding a second layer allows to better define the exfoliation depth and serves to reduce the hydrogen implantation dose to 1016 cm−2 [292]. For optimizing the gettering and exfoliation efficiency, one should place the gettering layer at the maximum vacancy damage peak of the H+ implant [292]. It has been observed by TEM that {100} platelets are preferentially formed in strained Si0.4 Ge0.6 gettering layers, which are oriented perpendicular to the implantation surface, thus relieving the strain in the biaxially strained gettering layer [292]. In addition, the gettering layer was found to be surrounded by a 10 nm wide region denuded of implantation damage [292]. A detailed study of extended defect and blistering formation during GeOI wafer bonding and hydrogen-induced splitting has been performed by Chao et al. [294]. It was observed by TEM that a different distribution of platelets and microcracks exists in (100) oriented substrates vs. (111). For (100) Ge wafers, the platelets on (100) and (111) type planes appear in separate zones after a 80 keV H+ implantation (mid 1016 cm−2 dose) and layer splitting at 200–250◦ C [294]. The hydrogen peak is found at 770 nm from the surface and aligned close to the {111} microcrack region, where the layer splitting takes place. In contrast to the (100) wafers, no vertical separation of the different types of microcracks is found for (111) wafers. Because of this different defect distribution, the layer splitting is much rougher in (100) Ge compared with (111) substrates [294]. This is due to the difficulty in cleavage development in (100) by the two zones of (100) and (111) platelets, resulting in a wide cleavage region. Moreover, the lower the H+ dose, the rougher the split surface becomes, with a high roughness for a dose of 1.5 × 1016 cm−2 [294]. Another finding was that the time and temperature for blister formation are lower in Ge compared with Si but show no doping (Fermi level) dependence. It was also concluded that a long wafer storage time at room temperature leads to greater difficulty in layer transfer. The activation energy of 1.2 eV for blistering to occur should be attributed solely to germanium bond breaking for microcrack growth, because of the greater stability of H2 than chemisorbed H in Ge [294]. This most likely also explains the dopingindependence of the layer splitting in Ge. As the storage time increases, H2

220

4 Germanium-Based Substrate Defects

gas is prone to out-diffusion compared with the chemisorbed state, resulting in less available hydrogen in the damaged zone for microcrack development [294]. It has also been noted that during bonding of thin Ge wafers to Si, the wafers may deform and dislocations can be introduced [294]. To avoid this, the hydrogen implantation conditions need to be fine-tuned. At the buried or back interface, voids may be observed: this is due to oxidation of germanium and the volatility and water solubility of GeO2 . This can be cured by passivating the back interface, for example, by a thin Si cap layer [291, 292, 294]. The residual hydrogen content of the layers was in the range 1019 cm−3 whereof 0.3% is electrically active in the form of most likely V2 H acceptors, with a level at 80 meV from the valence band [294]. In Chap. 5, the extended defects formed during hydrogen implantation of Ge will be discussed in more detail. The wafer splitting approach has also been utilized for 3D integration of Ge devices on a polysilicon/6 metal layers Si CMOS technology platform [295]. Direct bonding of Ge on Si has also been investigated for the fabrication of III– V solar cells on Ge/Si substrates [296] and to develop Ge/Si heterojunction photodiodes [297, 298]. Recently, germanium has been bonded to a sapphire substrate [299]. The presence of voids was ascribed to particulates or defects on the germanium surface, which have not been removed by the cleaning procedure. A promising technique for the fabrication of high Ge content SiGe (or Ge) on insulator substrates is the so-called Ge condensation technique, depicted schematically in Fig. 4.50 [300–304]. It relies on the high-temperature (1000–1200◦C) dry oxidation of a SiGe layer deposited on a SOI substrate. It is well known that under such conditions, silicon is oxidized preferentially, whereby the Ge atoms are expelled from the growing SiO2 layer [305–307]. They are also blocked by the buried oxide layer so that the Ge content in the SiGe layer in between the two oxide layers is increasing with increasing oxida-

Fig. 4.50. Condensation technique for SGOI formation, starting with (a) a layer of low-Ge content Si1−x Gex on oxide, (b) following a high temperature oxidation, Ge expulsion and interdiffusion into the underlying SiGe

4.6 Defects in Germanium-On-Insulator Substrates

221

tion time. Because of the conservation of the total Ge content in the layer [302], the final Ge content (xf ) can be tuned by the total oxidation time, that is, by the final SiGe thickness according to the expression xi tSiGei = xf tSiGef . In this way, condensation up to pure GeOI can be achieved [303], both on (100) and (110) starting substrates [304]. To obtain an uniform Ge profile, a high temperature oxidation is required, resulting in a sufficiently fast Ge diffusion. The Ge condensation technique can thus be used to develop a relaxed virtual substrate for the subsequent deposition of strained-silicon layers [300–302,308] or for the fabrication of relaxed GeOI substrates. For optoelectronic applications of GeOI material, it is desirable to have rather thicker high Ge-content layers, as the optical absorption scales exponentially with layer thickness. Two schemes have been proposed to achieve thicker high-Ge layers. In the first case, it has been proposed to condensate a Si0.7 Ge0.3 /Si superlattice [309]. Five hours of annealing such SL results in a ∼800 nm thick SGOI film with 25% Ge, which can then be oxidized up to an 80% Ge and 250 nm thick layer. Another approach is to regrow epitaxially a thicker Ge layer on the SGOI or GeOI substrate formed by Ge condensation [310–313]. In the first case, one can obtain a compressively strained Ge epitaxial layer. One of the main advantages of the condensation technique is that it can be applied on a local scale, offering the possibility to fabricate SiGe source/drain stressors [314] or dual-channel CMOS [315] on insulator. Crucial in the preparation of a high-quality condensation layer is the oxidation process, which not only enriches the SiGe layer but also plays a crucial role in the strain relaxation and defect formation. One striking observation is that the oxidation process is self-limiting, so that the oxide thickness saturates [302, 316, 317]. This has been ascribed to several mechanisms, either the residual strain in the enriched SiGe layer [302] or the melting of the Geenriched interfacial layer [316]. Recently, it was proposed that the oxygen diffusion through the SiO2 layer may be the limiting factor [317], whereby the presence of GeO2 can retard further oxygen in-diffusion. Besides the oxidation rate, also the diffusion of Ge in the SiGe layer plays an important role in the final strain state and defectiveness of the condensed layer [318, 319]. Depending on the applications, a constant Ge profile may be desirable or not: a graded Ge content may be useful for the deposition of GaAs on condensed SGOI substrates [320] or for rolling up strained SiGeon-insulator [321], although in most cases, an uniform Ge content is more appropriate. Depending on the oxidation temperature, a different profile can be obtained as sketched in Fig. 4.51. As shown there, a high oxidation temperature (1200◦C) is more favorable for an uniform Ge profile and to control the dislocation formation [318]. Lower temperature oxidation (1100◦C) corresponds with a lower Ge diffusivity and consequently a concentration profile, which induces a strain profile that may nucleate misfit dislocations. It is also clear that the Ge enrichment at the SiO2 /SiGe interface corresponds with an alloy with a lower melting temperature. Therefore, two- or multiple

222

4 Germanium-Based Substrate Defects

Fig. 4.51. The competitive process of oxidation and diffusion at low and high temperatures (after [318])

step oxidations are usually carried out if the goal is to reach high Ge contents, whereby the temperature reduces at each subsequent step. Intermittent annealing to homogenize the Ge profile is also being conducted, improving the layer quality [322]. However, at lower temperature oxidation (900–950◦ C), an amorphous layer can be formed at the SiO2 /SiGe interface [323, 324]. Again, multiple oxidation/annealing stages have been proposed to circumvent this problem. Conversely, solid-phase epitaxial regrowth of a crystalline Ge layer has been observed during oxidation of a SiGe layer both on an SOI [325] and, originally, a bulk Si substrate [326]. Strain relaxation mechanisms during Ge condensation have been studied by a number of Groups [327–335]. Originally, it was assumed that the buried oxide played the role of a compliant substrate, so that the strain is accommodated for by a change in the oxide structure without the need for dislocation formation [327, 336]. Bedell et al. have shown, on the other hand, that strain relaxation in SiGe-on-insulator formed by Ge condensation thicker than 40 nm can be well described in the frame of the equilibrium model [328,329], as shown by Fig. 4.52. In other words, if the built-up strain at a given SGOI thickness exceeds the equilibrium value, dislocations are generated and grow until the equilibrium residual strain is recovered, similar as for thin strained epitaxial layers on a bulk substrate (Sect. 4.3). This also implies that one can easily design a priori the properties of the SGOI layer to suit a specific technological application [329]. Another consequence of Fig. 4.52 is that SGOI remains strained even after oxidation at 1300◦C and the compliance of the buried oxide [329]. Besides threading defects, a high density of stacking faults (planar defects) was observed, the

4.6 Defects in Germanium-On-Insulator Substrates

223

Residual SGOI Film Strain (%)

1.6 1.4 x j = 60 nm x j = 100 nm Equilibrium Model

1.2 1 0.8 0.6 0.4 0.2 0 0

25

50

75

100

125

150

175

200

SGOI Thickness (nm)

Fig. 4.52. Residual compressive strain in a SGOI layer measured by X-ray diffraction, formed after high temperature oxidation, vs. final SGOI thickness. Data include SGOI formed from both 60 and 100 nm initial SiGe layers (xi = 0.17) after oxidation ranging from 1200 to 1300◦ C. The solid line is the calculated equilibrium residual strain of a strained layer containing a network of noninteracting 60◦ dislocations (after [328])

density of which increases exponentially with the final SGOI thickness (and, thus, Ge content). Once formed, these SFs cannot be removed. A higher oxidation temperature drastically reduces the SF density, as shown in Fig. 4.53 [328]. It is also clear that GeOI is a highly stacking faulted material [329]. This raises the question whether it is better to optimize the condensation of relaxed SGOI and simply grow the Ge layer epitaxially or continue to oxidize SGOI down to a highly Ge-enriched layer, which is most likely heavily, faulted [329]. One can follow also an in-between strategy, employing a limited low-temperature oxidation to create well-defined Ge enriched layers. If the oxidation temperature is reduced, Ge tends to concentrate near the interface of the SiGe layer (Fig. 4.51), leaving a relatively defect-free surface. The thus formed Ge layers look more like epitaxial layers than diffusion profiles [329]. For the epitaxial depositon of Ge layers on SGOI, the challenge is that the Ge condensation should be executed up to such Ge content that the misfit strain reaches a manageable level, in the range of 2.5–2.7% compressive strain in the Ge layer. This means concentrating up to 40–50% Ge [329]. A detailed relaxation mechanism for Ge-condensation SGOI has been proposed by Tezuka et al. [332], leading to the following picture. Relaxation starts with the formation of long misfit dislocations, forming a oriented

4.6 Defects in Germanium-On-Insulator Substrates

225

Fig. 4.54. Cross-sectional TEM images for SGOI layers during ramping up and oxidation processes. Ge profiles across the layers are obtained by SIMS measurements and are also indicated. MDs initially located at the SiGe/SOI interface are partially absorbed in the SGOI/BOX interface, and then the dislocation half-loops left in the SGOI layer move toward the surface (after [332])

Fig. 4.55. Evolution of xeff measured by Raman measurement and calculated from MD line density (MDD) during ramping up and condensation processes. TDD values are also plotted. Values of TDD and MDD were both obtained from plan-view TEM images (after [332])

226

4 Germanium-Based Substrate Defects

Fig. 4.56. Ge fraction (x) dependence of line density of planar defects in SGOI layer with x = 0.23, 0.41, 0.68, 0.82, 0.96, and 1. Planar defects in samples x ≤ 0.41 are stacking faults and those of x ≥ 0.68 are microtwins. The inset shows the Ge fraction dependence of the number of lamellae in the microtwin region (after [334])

formed by successive glide of partial dislocations on adjacent {111} layers in the process of compressive strain relaxation. A TDD is obtained in the range 1.5 × 108 cm−2 , whereby a thinner layer exhibits more defects [335]. However, the strain relaxed by the microtwins is low (∼0.1%). Most part of the relaxation is carried by perfect 60◦ dislocations, gliding along {111} planes to the interface [335]; only a small fraction of the dislocations remains split into partials. It has also been demonstrated that there exists a critical Ge enrichment during the Ge condensation process where the creation of partial dislocations and SFs occurs [336] and the value found never exceeds 0.82. Therefore, it seems impossible to form GeOI without SF (or microtwins) by the condensation technique. Dislocations in condensed SGOI films have also been investigated by PL [337], revealing the typical D1 to D4 lines (see Sect. 2.6.4). It has also been demonstrated that the utilization of a proton implantation plus appropriate annealing may facilitate strain relaxation during Ge condensation [337–340]. This is ascribed to the resulting changes in the mechanical properties of the buried oxide interface, by reducing the bonding strength of the SiGe/buried oxide interface [338–340]. Dislocation- and cross-hatch-free SiGe-on-insulator structures can be grown by the condensation method if applied to mesa structures [341, 342]. This is based on the presence of the compliant SiO2 buried oxide, which allows a lateral size expansion of the islands [343]. The elastic relaxation is more effective for smaller and thicker mesa structures [341]. Better relaxation is achieved

4.7 Summary and Conclusions

227

when replacing standard SiO2 by Borophosphorosilicate glass (BPSG) [343]. For integration purposes this is not a practical solution, due to the B and P doping from the BPSG. Along the same lines, vertical compliant structures can be used to create SiGe fins by the condensation technique [344].

4.7 Summary and Conclusions In summary, it can be stated that there exists a good fundamental and practical understanding of strain relaxation and defect formation in mismatched heteroepitaxial layers on silicon substrates. This has pointed out two main avenues for the growth of high crystalline quality Ge layers, either directly or via a VS approach. In both cases, TDDs may reach values in the 105 –106 cm−2 range, as recently reviewed by Nylandsted Larsen [345]. Here, Table 4.2 of that reference has been updated, showing that 105 cm−2 is about the ultimate limit for uniform wafer growth. Lower values are in principle possible by Table 4.2. Compilation of characteristic growth parameters and results of the different epitaxial Ge on Si techniques Method

Compositional graded buffer Comp. graded buffer with CMP Low-temperature buffer Two-step UHV-CVD with cyclic thermal annealing Two-step growth low temp/high temp Surfactant-mediated growth Gas source MBE (GeH3 )2 CH2 Low-temp MBE graded buffer Reduced Pressure CVD at 850◦ C; Si0.5 Ge0.5 vs. with CMP UHV-MBE on 1.2 nm SiO2 MHAH PE CVD

Si1−x Gex (%)

Ge layer thickness (μm)

Buffer layer thickness (μm)

TDD (cm−2 )

Ref.

100

23

1.5

1.1 × 107

210

100

11

1.5

2.1 × 106

210

90 100

1.7 0.03

0.5 1

3 × 106 2.3 × 107

235 198

96

0.02

2.5

4 × 106

236

100

no buffer

1

∼2 × 107

178

100

no buffer

∼1

105

191

106

68

100 100

>5

1

3 × 106

237

100

no

4

2 × 106 SF

260

100

0.2

0.2

1.4 × 107 105

204 225

228

4 Germanium-Based Substrate Defects

local deposition in trenches with SiO2 sidewalls, relying on the aspect ratio trapping mechanism. The lowest of all defect densities is obtainable using GeOI wafers formed by layer exfoliation or bonding and etch-back from a Ge bulk wafer, where the defect density is limited by the starting Ge wafer quality (a few cm−2 currently). Also deposition on compliant substrates may yield high-quality layers, especially for small size structures. In conclusion, it can be stated that state-of-the-art Ge-on-Si (GeOSi) substrates meet the requirements for Ge CMOS processing, the main limitation being the wafer cost and availability. For other applications, like optoelectronics, satisfactory solutions are available but additional progress is desirable to further optimize the device performance.

References 1. C. Claeys, E. Simoen, Germanium-Based Technologies: From Materials to Devices (Elsevier, Amsterdam, 2007), Chap. 2 2. J. Vanhellemont, E. Simoen, J. Electrochem. Soc. 154, H572 (2007) 3. M. Davis, R.F. Lever, J. Appl. Phys. 27, 835 (1956) 4. D.J. Dumin, J. Cryst. Growth 8, 33 (1971) 5. M.L. Sink, G.E. Juras, Chem. Phys. Lett. 20, 474 (1973) 6. R. Withnall, L. Andrews, J. Phys. Chem. 94, 2351 (1990) 7. J.M. Caywood, A.M. Fern, J.O. McCaldin, G. Ottaviani, Appl. Phys. Lett. 20, 236 (1972) 8. G. Ottaviani, V. Marrello, J.W. Mayer, M.-A. Nicolet, J.M. Caywood, Appl. Phys. Lett. 20, 323 (1972) 9. V. Marrello, J.M. Caywood, J.W. Mayer, M.-A. Nicolet, Phys. Stat. Sol. (A) 13, 531 (1972) 10. C. Canali, J.W. Mayer, G. Ottaviani, D. Sigurd, W. van der Weg, Appl. Phys. Lett. 25, 3 (1974) 11. G. Ottaviani, C. Canali, G. Majni, J. Appl. Phys. 47, 627 (1976) 12. H. Kanno, K. Toko, T. Sadoh, M. Miyao, Appl. Phys. Lett. 89, 182120 (2006) 13. J.-H. Park, P. Kapur, K.C. Saraswat, H. Peng, Appl. Phys. Lett. 91, 143107 (2007) 14. I. Tsunoda, A. Kenjo, T. Sadoh, M. Miyao, Appl. Surf. Sci. 224, 231 (2004) 15. F. Xiong, E. Ganz, A.G. Loeser, J.A. Golovchenko, F. Spaepen, Appl. Phys. Lett. 59, 3586 (1991) 16. H. Jagannathan, M. Deal, Y. Nishi, J. Woodruff, C. Chidsey, P. McIntyre, J. Appl. Phys. 100, 024318 (2006) 17. Y. Liu, M.D. Deal, J.D. Plummer, Appl. Phys. Lett. 84, 2563 (2004) 18. J. Feng, Y. Liu, P.B. Griffin, J.D. Plummer, IEEE Electron. Device Lett. 27, 911 (2006) 19. S. Balakumar, M.M. Roy, B. Ramamurthy, C.H. Tung, G. Fei, S. Tripathy, G. Dongzhi, R. Kumar, N. Balasubramanian, D.L. Kwong, Electrochem. SolidState Lett. 9, G160 (2006) 20. D.J. Tweet, J.J. Lee, J.S. Maa, T.S. Hsu, Appl. Phys. Lett. 87, 141908 (2005)

References

229

21. L. Clavelier, C. Deguet, C. Le Royer, B. Vincent, J.-F. Damlencourt, J.-M. Hartmann, O. Kermarrec, T. Signamarcheix, B. Depuydt, A. Theuwis, C. Quaeyhaegens, N. Cherkashin, Y. Morand, P. Rivallin, C. Tabone, S. Lagrastra, Y. Campidelli, S. Descombes, L. Sanchez, T. Akatsu, A. Rigny, D. Bensahel, T. Billon, N. Kernevez, S. Deleonibus, Electrochem. Soc. Trans. 3(7), 789 (2006) 22. Y. Liu, K. Gopalakrishnan, P.B. Griffin, K. Ma, M.D. Deal, J.D. Plummer, IEDM Tech. Dig. 1001–1004 (2004) 23. M.H. Grabow, G.H. Gilmer, Surf. Sci. 194, 333 (1988) 24. D.J. Eaglesham, M. Cerullo, Phys. Rev. Lett. 64, 1943 (1990) 25. J.H. van der Merwe, J. Appl. Phys. 34, 123 (1963) 26. J.W. Matthews, A.E. Blakeslee, J. Cryst. Growth 27, 118 (1974) 27. R. People, J.C. Bean, Appl. Phys. Lett. 47, 32 (1985) 28. R. People, J.C. Bean, Appl. Phys. Lett. 194, 229 (1986) 29. R.H.M. van de Leur, A.J.G. Schellingerhout, F. Tuinstra, J.E. Mooij, J. Appl. Phys. 64, 3043 (1988) 30. S.M. Hu, J. Appl. Phys. 69, 7903 (1991) 31. E.A. Fitzgerald, Mater. Sci. Rep. 7, 87 (1991) 32. J. Huang, Z. Ye, H. Lu, D. Que, J. Appl. Phys. 83, 173 (1998) 33. J.W. Matthews, S. Mader, T.B. Light, J. Appl. Phys. 41, 3800 (1970) 34. L.B. Freund, W.D. Nix, Appl. Phys. Lett. 69, 173 (1996) 35. E.A. Fitzgerald, Y.-H. Xie, D. Monroe, P.J. Silverman, J.M. Kuo, A.R. Kortan, F.A. Thiel, B.E. Weir, J. Vac. Sci. Technol. B 10, 1087 (1992) 36. J. Tersoff, Appl. Phys. Lett. 62, 693 (1993) 37. E.A. Fitzgerald, S.B. Samavedam, Thin Solid Films 294, 3 (1997) 38. I.J. Fritz, Appl. Phys. Lett. 51, 1080 (1987) 39. J.Y. Tsao, B.W. Dodson, S.T. Picraux, D.M. Cornelison, Phys. Rev. Lett. 52, 2455 (1987) 40. B.W. Dodson, J.Y. Tsao, Appl. Phys. Lett. 51, 1325 (1987) 41. R. Hull, J.C. Bean, D. Bahnck, L.J. Peticolas Jr., K.T. Short, F.C. Unterwald, J. Appl. Phys. 70, 2052 (1991) 42. R. Hull, J.C. Bean, D.J. Werder, R.E. Leibenguth, Phys. Rev. B 40, 1681 (1989) 43. P.M. Mooney, F.K. LeGoues, J.L. Jordan-Sweet, Appl. Phys. Lett. 65, 2845 (1994) 44. C.W. Leitz, M.T. Currie, A.Y. Kim, J. Lai, E. Robbins, E.A. Fitzgerald, M.T. Bulsara, J. Appl. Phys. 90, 2730 (2001) 45. B.W. Dodson, Appl. Phys. Lett. 53, 394 (1988) 46. P.M.J. Mar´ee, J.C. Barbour, J.F. van der Veen, K.L. Kavanagh, C.W.T. BulleLieuwma, M.P.A. Viegers, J. Appl. Phys. 62, 4413 (1987) 47. C.G. Tuppen, C.J. Gibbings, M. Hockly, J. Cryst. Growth 94, 392 (1989) 48. Y. Fukuda, Y. Kohama, M. Seki, Y. Ohmachi, Jpn. J. Appl. Phys. 28, L19 (1989) 49. Y. Fukuda, Y. Kohama, Y. Ohmachi, Jpn. J. Appl. Phys. 29, L20 (1990) 50. D.J. Eaglesham, E.P. Kvam, D.M. Maher, C.J. Humphreys, J.C. Bean, Philos. Mag. A 59, 1059 (1989) 51. D.D. Perovic, G.C. Weatherly, J.-M. Baribeau, D.C. Houghton, Thin Solid Films 183, 141 (1989) 52. C.J. Gibbings, C.G. Tuppen, M. Hockly, Appl. Phys. Lett. 54, 148 (1989) 53. C.G. Tuppen, C.J. Gibbings, Thin Solid Films 183, 133 (1989)

230 54. 55. 56. 57. 58. 59. 60. 61. 62. 63. 64. 65. 66. 67. 68. 69. 70. 71. 72. 73. 74. 75. 76. 77. 78. 79. 80.

81. 82. 83. 84. 85.

4 Germanium-Based Substrate Defects F.K. LeGoues, B.S. Meyerson, J.F. Morar, Phys. Rev. Lett. 66, 1903 (1991) A.P. Kvam, R. Hull, J. Appl. Phys. 73, 7407 (1993) W. Wegscheider, H. Cerva, J. Vac. Sci. Technol. B 11, 1056 (1993) B.W. Dodson, J.Y. Tsao, Phys. Rev. B 38, 12383 (1988) R. Hull, E.A. Stach, R. Tromp, F. Ross, M. Reuter, Phys. Stat. Sol. (A) 171, 133 (1999) R. Hull, J.C. Bean, D.J. Werder, R.E. Leibenguth, Appl. Phys. Lett. 51, 1605 (1988) R. Hull, J.C. Bean, C. Buescher, J. Appl. Phys. 66, 5837 (1989) R. Hull, J.C. Bean, L.J. Peticolas, B.E. Weir, K. Prabhakaran, T. Ogino, Appl. Phys. Lett. 65, 327 (1994) C.G. Tuppen, C.J. Gibbings, J. Appl. Phys. 68, 1526 (1990) D.C. Houghton, Appl. Phys. Lett. 57, 2124 (1990) D.C. Houghton, J. Appl. Phys. 70, 2136 (1991) J.P. Hirth, J. Lothe, Theory of Dislocations (McGraw-Hill, New York, 1968), Chap. 15 E.A. Stach, R. Hull, R.M. Tromp, F.M. Ross, M.C. Reuter, J.C. Bean, Philos. Mag. A 80, 2159 (2000) C.G. Tuppen, C.J. Gibbings, M. Hockly, S.G. Roberts, Appl. Phys. Lett. 56, 54 (1990) F.K. LeGoues, B.S. Meyerson, J.F. Morar, P.D. Kirchner, J. Appl. Phys. 71, 4230 (1992) F.K. LeGoues, Phys. Rev. Lett. 72, 876 (1994) L.B. Freund, J. Appl. Phys. 68, 2073 (1990) A.E. Romanov, W. Pompe, S. Mathis, G.E. Beltz, J.S. Speck, J. Appl. Phys. 85, 182 (1999) J.E. Ayers, J. Appl. Phys. 78, 3724 (1995) B.W. Dodson, J.Y. Tsao, Appl. Phys. Lett. 53, 2498 (1988) K. Kolluri, L.A. Zepeda-Ruiz, C.S. Murthy, D. Maroudas, Appl. Phys. Lett. 88, 021904 (2006) E.A. Fitgerald, A.Y. Kim, M.T. Currie, T.A. Langdo, G. Taraschi, M.T. Bulsara, Mater. Sci. Eng. B 67, 53 (1999) J. Tersoff, F.K. LeGoues, Phys. Rev. Lett. 72, 3570 (1994) E.A. Fitzgerald, S.B. Samavedam, Y.H. Xie, L.M. Giovane, J. Vac. Sci. Technol. A 15, 1048 (1997) A.G. Cullis, D.J. Robbins, S.J. Barnett, A.J. Pidduck, J. Vac. Sci. Technol. A 12, 1924 (1994) T. Walther, C.J. Humphreys, A.G. Cullis, Appl. Phys. Lett. 71, 809 (1997) Y.H. Xie, G.H. Gilmer, C. Roland, P.J. Silverman, S.K. Buratto, J.Y. Cheng, E.A. Fitzgerald, A.R. Kortan, S. Schuppler, M.A. Marcus, P.H. Citrin, Phys. Rev. Lett. 73, 3006 (1994) M.A. Lutz, R.M. Feenstra, F.K. LeGoues, P.M. Mooney, J.O. Chu, Appl. Phys. Lett. 66, 724 (1995) P.M. Mooney, F.K. LeGoues, J.O. Chu, S.F. Nelson, Appl. Phys. Lett. 62, 3464 (1993) S.Y. Shiryaev, F. Jensen, J. Lundsgaard Hansen, J. Wulff Petersen, A. Nylandsted Larsen, Phys. Rev. Lett. 78, 503 (1997) S. Luryi, E. Suhir, Appl. Phys. Lett. 49, 140 (1986) D.B. Noble, J.L. Hoyt, C.A. King, J.F. Gibbons, T.I. Kamins, M.P. Scott, Appl. Phys. Lett. 56, 51 (1990)

References

231

86. N. Chand, S.N.G. Chu, Appl. Phys. Lett. 58, 74 (1991) 87. A. Ackaert, P. Demeester, L. Buydens, G. Coudenys, P. Van Daele, M. Renaud, J. Cryst. Growth 107, 822 (1991) 88. E.A. Fitzgerald, P.D. Kirchner, R. Proano, G.D. Pettit, J.M. Woodall, D.G. Ast, Appl. Phys. Lett. 52, 1496 (1988) 89. E.A. Fitzgerald, N. Chand, J. Electron. Mater. 20, 839 (1991) 90. R. Hull, J.C. Bean, G.S. Higashi, M.L. Green, L. Peticolas, D. Bahnck, D. Brasen, Appl. Phys. Lett. 60, 1468 (1992) 91. L. Vescan, T. Stoica, C. Dieker, H. L¨ uth, Mater. Res. Soc. Symp. Proc. 298, 45 (1993) 92. T. Stoica, L. Vescan, J. Cryst. Growth 131, 32 (1993) 93. G.E. Beltz, M. Chang, M.E. Eardley, W. Pompe, A.E. Romanov, J.S. Speck, Mater. Sci. Eng. A 234–236, 794 (1997) 94. X.G. Zhang, P. Li, G. Zhao, D.W. Parent, F.C. Jain, J.E. Ayers, J. Electron. Mater. 27, 1248 (1998) 95. S. Christiansen, M. Albrecht, H.P. Strunk, P.O. Hansson, E. Bauser, Appl. Phys. Lett. 66, 574 (1995) 96. J. Aarts, P.K. Larsen, Surf. Sci. 188, 391 (1987) 97. J. Aarts, W.M. Gerits, P.K. Larsen, Appl. Phys. Lett. 48, 931 (1986) 98. M.H. Xie, J. Zhang, S.M. Mokler, J. Fern´ andez, B.A. Joyce, Surf. Sci. 320, 259 (1994) 99. E. Vlieg, A.W. Denier van der Gon, J.F. van der Veen, J.E. Macdonald, C. Norris, Phys. Rev. Lett. 61, 2241 (1988) 100. F. Tsui, D. Barlett, J. Wellman, C. Uher, R. Clarke, J. Cryst. Growth 150, 960 (1995) 101. G. Xue, H.Z. Xiao, M.-A. Hasan, J.E. Greene, H.K. Birnbaum, J. Appl. Phys. 74, 2512 (1993) 102. K.A. Bratland, Y.L. Foo, J.A.N.T. Soares, T. Spila, P. Desjardins, J.E. Greene, Phys. Rev. B 67, 125322/1 (2003) 103. K.A. Bratland, Y.L. Foo, P. Desjardins, J.E. Greene, Appl. Phys. Lett. 82, 4247 (2003) 104. K.A. Bratland, Y.L. Foo, T. Spila, H.-S. Seo, R.T. Haasch, P. Desjardins, J.E. Greene, J. Appl. Phys. 97, 044904/1 (2005) 105. P. Desjardins, T. Spila, O. G¨ urdal, N. Taylor, J.E. Greene, Phys. Rev. B 60, 15993 (1999) 106. S.S. Lau, W.F. Tseng, M.-A. Nicolet, J.W. Mayer, J.A. Minnucci, A.R. Kirkpatrick, Appl. Phys. Lett. 33, 235 (1978) 107. B.-Y. Tsaur, J.C.C. Fan, R.P. Gale, Appl. Phys. Lett. 38, 176 (1981) 108. B.-Y. Tsaur, M.W. Geis, J.C.C. Fan, R.P. Gale, Appl. Phys. Lett. 38, 779 (1981) 109. T.F. Kuech, M. M¨ aenp¨ aa ¨, S.S. Lau, Appl. Phys. Lett. 39, 245 (1981) 110. M. M¨ aenp¨ a¨ a, T.F. Kuech, M.-A. Nicolet, S.S. Lau, D.K. Sadana, J. Appl. Phys. 53, 1076 (1982) 111. M. Garozzo, G. Conte, F. Evangelisti, G. Vitali, Appl. Phys. Lett. 41, 1070 (1982) 112. Y. Ohmachi, T. Nishioka, Y. Shinoda, J. Appl. Phys. 54, 5466 (1983) 113. H. Aharoni, D. Durembergova, Thin Solid Films 102, 327 (1983) 114. J.C. Bean, T.T. Sheng, L.C. Feldman, A.T. Fiory, R.T. Lynch, Appl. Phys. Lett. 44, 102 (1984)

232

4 Germanium-Based Substrate Defects

115. J.C. Bean, L.C. Feldman, A.T. Fiory, S. Nakahara, I.K. Robinson, J. Vac. Sci. Technol. A 2, 436 (1984) 116. P. Sheldon, B.G. Yacobi, S.E. Asher, K.M. Jones, M.J. Hafich, G.Y. Robinson, J. Vac. Sci. Technol. A 4, 889 (1986) 117. Y. Fukuda, Y. Kohama, J. Cryst. Growth. 81, 451 (1987) 118. G.L. Zhou, K.M. Chen, W.D. Jiang, C. Sheng, X.J. Zhang, X. Wang, Appl. Phys. Lett. 53, 2179 (1988) 119. M.L. Green, Y.S. Ali, D. Brasen, S. Nakahara, J. Electron. Mater. 17, 229 (1988) ¨ urk, D.T. Grider, J.J. Wortman, M.A. Littlejohn, Y. Zhong, D. Batch120. M.C. Ozt¨ elor, P. Russell, J. Electron. Mater. 19, 1129 (1990) 121. S. Kobayashi, M.-L. Cheng, A. Kohlhase, T. Sato, J. Murota, N. Mikoshiba, J. Cryst. Growth 99, 259 (1990) 122. Y. Hida, T. Tamagawa, H. Ueba, C. Tatsuyama, J. Appl. Phys. 67, 7274 (1990) 123. J.M. Baribeau, T.E. Jackman, P. Maign´e, D.C. Houghton, M.W. Denhoff, J. Vac. Sci. Technol. A 5, 1898 (1987) 124. J.M. Baribeau, T.E. Jackman, D.C. Houghton, P. Maign´e, M.W. Denhoff, J. Appl. Phys. 63, 5738 (1988) 125. J.M. Baribeau, D.C. Houghton, T.E. Jackman, J.P. McCaffrey, J. Electrochem. Soc. 136, 1158 (1989) 126. L.N. Aleksandrov, R.N. Lovyagin, O.P. Pchelyakov, S.I. Stenin, J. Cryst. Growth 24/25, 298 (1974) 127. T. Narusawa, W.M. Gibson, Phys. Rev. Lett. 47, 1459 (1981) 128. K. Shoji, M. Hyodo, H. Ueba, C. Tatsuyama, Jpn. J. Appl. Phys. 22, L200 (1983) 129. K. Shoji, M. Hyodo, H. Ueba, C. Tatsuyama, Jpn. J. Appl. Phys. 22, 1482 (1983) 130. M. Asai, H. Ueba, C. Tatsuyama, J. Appl. Phys. 58, 2577 (1985) 131. Y. Kataoka, H. Ueba, C. Tatsuyama, J. Appl. Phys. 63, 749 (1988) 132. Y. Koide, S. Zaima, N. Ohshima, Y. Yasuda, Jpn. J. Appl. Phys. 28, L690 (1989) 133. Y. Koide, S. Zaima, N. Ohshima, Y. Yasuda, J. Cryst. Growth 99, 254 (1990) 134. C. Tatsuyama, T. Terasaki, H. Obata, T. Tanbo, H. Ueba, J. Cryst. Growth 115, 112 (1991) 135. C.E. Aumann, Y.-W. Mo, M.G. Lagally, Appl. Phys. Lett. 59, 1061 (1991) 136. S.A. Chambers, V.A. Loebs, Phys. Rev. Lett. 63, 640 (1989) 137. H. Kawabata, H. Ueba, C. Tatsuyama, J. Appl. Phys. 66, 634 (1989) 138. P.M.J. Mar´ee, K. Nakagawa, F.M. Mulders, J.F. Van Der Veen, K.L. Kavanagh, Surf. Sci. 191, 305 (1987) 139. D. Aubel, L. Kubler, J.L. Bischoff, D. Bolmont, Surf. Sci. 352–354, 634 (1996) 140. D. Aubel, L. Kubler, J.L. Bischoff, L. Simon, D. Bolmont, Appl. Surf. Sci. 99, 169 (1996) 141. Y.-W. Mo, D.E. Savage, B.S. Swartzentruber, M.G. Lagally, Phys. Rev. Lett. 65, 1020 (1990) 142. J. Knall, J.B. Pethica, Surf. Sci. 265, 156 (1992) 143. M. Tomitori, K. Watanabe, M. Kobayashi, O. Nishikawa, Appl. Surf. Sci. 76/77, 322 (1994) 144. A.J. Steinfort, P.M.L.O. Scholte, A. Ettema, F. Tuinstra, M. Nielsen, E. Landemark, D.M. Smilgies, R. Feidenhans’l, G. Falkenberg, L. Seehofer, R.L. Johnson, Phys. Rev. Lett. 77, 2009 (1996)

References

233

145. A.A. Williams, J.M.C. Thornton, J.E. Macdonald, R.G. van Silfhout, J.F. van der Veen, M.S. Finney, A.D. Johnson, C. Norris, Phys. Rev. B 43, 5001 (1991) 146. H. Sunamura, N. Usami, Y. Shiraki, S. Fukatsu, Appl. Phys. Lett. 66, 3024 (1995) 147. H. Sunamura, S. Fukatsu, N. Usami, Y. Shiraki, J. Cryst. Growth 157, 265 (1995) 148. J. Tersoff, Phys. Rev. B 43, 9377 (1991) 149. M. Hammar, F.K. LeGoues, J. Tersoff, M.C. Reuter, R.M. Tromp, Surf. Sci. 349, 129 (1996) 150. D.T. Tambe, C.V. Ciobanu, V.B. Shenoy, Appl. Phys. Lett. 87, 251908 (2005) 151. F.K. LeGoues, M.C. Reuter, J. Tersoff, M. Hammar, R.M. Tromp, Phys. Rev. Lett. 73, 300 (1994) 152. S.A. Chaparro, Y. Zhang, J. Drucker, D. Chandrasekhar, D.J. Smith, J. Appl. Phys. 87, 2245 (2000) 153. I. Goldfarb, P.T. Hayden, J.H.G. Owen, G.A.D. Briggs, Phys. Rev. B 56, 10459 (1997) 154. G. Medeiros-Ribeiro, T.I. Kamins, D.A.A. Ohlberg, R.S. Williams, Phys. Rev. B 58, 3533 (1998) 155. T.I. Kamins, G. Medeiros-Ribeiro, D.A.A. Ohlberg, R.S. Williams, J. Appl. Phys. 85, 1159 (1999) 156. J. Drucker, IEEE J. Quant. Electron. 38, 975 (2002) 157. M. Copel, M.C. Reuter, E. Kaxiras, R.M. Tromp, Phys. Rev. Lett. 63, 632 (1989) 158. M. Copel, M.C. Reuter, M. Horn von Hoegen, R.M. Tromp, Phys. Rev. B 42, 11682 (1990) 159. J.M.C. Thornton, A.A. Williams, J.E. MacDonald, R.G. van Silfhout, M.S. Finney, C. Norris, Surf. Sci. 273, 1 (1992) 160. B. Voigtl¨ ander, A. Zinner, J. Vac. Sci. Technol. A 12, 1932 (1994) 161. D. Reinking, M. Kammler, M. Horn-von Hoegen, K.R. Hofmann, Appl. Phys. Lett. 71, 924 (1997) 162. T.I. Kamins, G. Medeiros-Ribeiro, D.A.A. Ohlberg, R.S. Williams, J. Appl. Phys. 94, 4215 (2003) 163. J. Falta, M. Copel, F.K. LeGoues, R.M. Tromp, Appl. Phys. Lett. 62, 2962 (1993) 164. S. Maruno, S. Fujita, H. Watanabe, Y. Kusumi, M. Ichikawa, Appl. Phys. Lett. 68, 2213 (1996) 165. H.J. Osten, G. Lippert, J. Klatt, J. Vac. Sci. Technol. B 10, 1151 (1992) 166. H.J. Osten, J. Klatt, G. Lippert, E. Bugiel, S. Hinrich, Appl. Phys. Lett. 60, 2522 (1992) 167. H.J. Osten, J. Klatt, G. Lippert, B. Dietrich, E. Bugiel, Phys. Rev. Lett. 69, 450 (1992) 168. D.J. Eaglesham, F.C. Unterwald, D.C. Jacobson, Phys. Rev. Lett. 70, 966 (1993) 169. F.K. LeGoues, M. Copel, R.M. Tromp, Phys. Rev. Lett. 63, 1826 (1989) 170. F.K. LeGoues, M. Copel, R.M. Tromp, Phys. Rev. B 42, 11690 (1990) 171. F.K. LeGoues, M. Horn-von Hoegen, M. Copel, R.M. Tromp, Phys. Rev. B 44, 12894 (1991) 172. M. Horn-von Hoegen, F.K. LeGoues, M. Copel, M.C. Reuter, R.M. Tromp, Phys. Rev. Lett. 67, 1130 (1991)

234

4 Germanium-Based Substrate Defects

173. M. Horn-von Hoegen, M. Pook, A. Al Falou, B.H. M¨ uller, M. Henzler, Surf. Sci. 284, 53 (1993) 174. M. Horn-von Hoegen, A. Al-Falou, H. Pietsch, B.H. M¨ uller, M. Henzler, Surf. Sci. 298, 29 (1993) 175. K.R. Hofmann, D. Reinking, M. Kammler, M. Horn-von Hoegen, Thin Solid Films 321, 125 (1998) 176. A. Janzen, I. Dumkow, M. Horn-von Hoegen, Appl. Phys. Lett. 79, 2387 (2001) 177. J.L. Liu, S. Tong, Y.H. Luo, J. Wan, K.L. Wang, Appl. Phys. Lett. 79, 3431 (2001) 178. T.F. Wietler, E. Bugiel, K.R. Hofmann, Appl. Phys. Lett. 87, 182102 (2005) 179. T.F. Wietler, E. Bugiel, K.R. Hofmann, Thin Solid Films 508, 6 (2006) 180. T. Schmidt, R. Kr¨ oger, T. Clausen, J. Falta, A. Janzen, M. Kammler, P. Kury, P. Zahl, M. Horn-von Hoegen, Appl. Phys. Lett. 66, 111910 (2005) 181. T.F. Wietler, A. Ott, E. Bugiel, K.R. Hofmann, Mater. Sci. Semicond. Process 8, 73 (2005) 182. A. Sakai, T. Tatsumi, Appl. Phys. Lett. 64, 52 (1994) 183. S.-J. Kahng, J.Y. Park, K.H. Booth, J. Lee, Y. Khang, Y. Kuk, J. Vac. Sci. Technol. A 15, 927 (1997) 184. S.-J. Kahng, Y.H. Ha, J.-Y. Park, S. Kim, D.W. Moon, Y. Kuk, Phys. Rev. Lett. 80, 4931 (1998) 185. D. Dentel, J.L. Bischoff, T. Angot, L. Kubler, Surf. Sci. 402–404, 211 (1998) 186. S.-J. Kahng, Y.H. Ha, D.W. Moon, Y. Kuk, Appl. Phys. Lett. 77, 981 (2000) 187. D.J. Eaglesham, M. Cerullo, Appl. Phys. Lett. 58, 2276 (1991) 188. B. Cunningham, J.O. Chu, S. Akbar, Appl. Phys. Lett. 59, 3574 (1991) 189. J. Liu, H.J. Kim, O. Hul’ko, Y.H. Xie, S. Sahni, P. Bandaru, E. Yablonovitch, J. Appl. Phys. 96, 916 (2004) 190. J.P. Leit˜ ao, A. Fonseca, N.A. Sobolev, M.C. Carmo, N. Franco, A.D. Sequeira, T.M. Burbaev, V.A. Kurbatov, M.M. Rzaev, A.O. Pogosov, N.N. Sibeldin, V.A. Tsvetkov, H. Lichtenberger, F. Sch¨ affler, Mater. Sci. Semicond. Process 8, 35 (2005) 191. M.A. Wistey, Y.-Y. Fang, J. Tolle, A.V.G. Chizmeshya, J. Kouvetakis, Appl. Phys. Lett. 90, 082108 (2007) 192. A. Sakai, T. Tatsumi, K. Aoyama, Appl. Phys. Lett. 71, 3510 (1997) 193. L. Colace, G. Masini, G. Assanto, IEEE J. Quant. Electron 35, 1843 (1999) 194. M. Halbwax, D. Bouchier, V. Yam, D. D´ebarre, L.H. Nguyen, Y. Zheng, P. Rosner, M. Benamara, H.P. Strunk, C. Clerc, J. Appl. Phys. 97, 064907 (2005) 195. J.M. Hartmann, J.-F. Damlencourt, Y. Bogumilowicz, P. Holliger, G. Rolland, T. Billon, J. Cryst. Growth 274, 90 (2005) 196. J.-S. Park, M. Curtin, J. Bai, M. Carroll, A. Lochtefeld, Jpn. J. Appl. Phys. 45, 8581 (2006) 197. P. Sutter, U. Kafader, H. von K¨ anel, Solar Energy Mater. Sol. Cells 31, 541 (1994) 198. H.-C. Luan, D.R. Lim, K.K. Lee, M. Chen, J.G. Sandland, K. Wada, L.C. Kimerling, Appl. Phys. Lett. 75, 2909 (1999) 199. J. Liu, J. Michel, W. Giziewicz, D. Pan, K. Wada, D.D. Cannon, S. Jongtham¨ Ilday, F.X. K¨ manurak, D.T. Danielson, L.C. Kimerling, J. Chen, F.O. artner, J. Yasaitis, Appl. Phys. Lett. 87, 103501 (2005) 200. Y. Ishikawa, K. Wada, J. Liu, D.D. Cannon, H.-C. Luan, J. Michel, L.C. Kimerling, J. Appl. Phys. 98, 013501 (2005)

References

235

201. D.D. Cannon, J. Liu, D.T. Danielson, S. Jonthammanurak, U.U. Enuha, K. Wada, J. Michel, L.C. Kimerling, Appl. Phys. Lett. 91, 25211 (2007) 202. A. Nayfeh, C.O. Chui, K.C. Saraswat, T. Yonehara, Appl. Phys. Lett. 85, 2815 (2004) 203. A. Nayfeh, C.O. Chui, K.C. Saraswat, T. Yonehara, Electrochem. Soc. Proc. 2004–2007, 1189–1194 (2004) 204. A. Nayfeh, Heteroepitaxial growth of relaxed germanium on silicon. PhD Thesis, University of Stanford (2006) 205. K.C. Saraswat, C.O. Chui, T. Krishnamohan, A. Nayfeh, P. McIntyre, Microelectron. Eng. 80, 15 (2005) 206. A. Nayfeh, C.O. Chui, T. Yonehara, K.C. Saraswat, IEEE Electron. Device Lett. 26, 311 (2005) 207. E.P. Kvam, F. Namavar, Appl. Phys. Lett. 58, 2357 (1991) 208. D.P. Malta, J.B. Posthill, R.J. Markunas, T. Humphreys, Appl. Phys. Lett. 60, 844 (1992) 209. C.S. Peng, H. Kawanami, Y.K. Li, G.H. Li, Q. Huang, J.M. Zhou, J. Cryst. Growth 227–228, 786 (2001) 210. A.S. Nandedkar, J. Narayan, Mater. Sci. Eng. A 113, 51 (1989) 211. E.P. Kvam, D.M. Maher, C.J. Humphreys, J. Mater. Res. 5, 1900 (1990) 212. J.X. Chen, F. Ernst, P.O. Hansson, E. Bauser, J. Cryst. Growth 118, 452 (1992) 213. M. Albrecht, S. Christiansen, H.P. Strunk, P.O. Hansson, E. Bauser, Solid State Phenom. 32–33, 433 (1993) 214. B. Roos, F. Ernst, J. Cryst. Growth 137, 457 (1994) 215. S. Oktyabrsky, H. Wu, R.D. Vispute, J. Narayan, Philos. Mag. A 71, 537 (1995) 216. J.N. Stirman, P.A. Crozier, D.J. Smith, F. Phillip, G. Brill, S. Sivananthan, Appl. Phys. Lett. 84, 2530 (2004) 217. M. Dornheim, H. Teichler, Phys. Stat. Sol. (A) 171, 267 (1999) 218. E.A. Fitzgerald, M.T. Currie, S.B. Samavedam, T.A. Langdo, G. Taraschi, V. Yang, C.W. Leitz, M.T. Bulsara, Phys. Stat. Sol. (A) 171, 227 (1999) 219. S.B. Samavedam, E.A. Fitzgerald, J. Appl. Phys. 81, 3108 (1997) 220. M.T. Currie, S.B. Samavedam, T.A. Langdo, C.W. Leitz, E.A. Fitzgerald, Appl. Phys. Lett. 72, 1718 (1998) 221. S.B. Samavedam, M.T. Currie, T.A. Langdo, E.A. Fitzgerald, Appl. Phys. Lett. 73, 2125 (1998) 222. K. Sawano, K. Kawaguchi, T. Ueno, S. Koh, K. Nakagawa, Y. Shiraki, Mater. Sci. Eng. B 89, 406 (2002) 223. K. Sawano, Y. Abe, H. Satoh, K. Nakagawa, Y. Shiraki, Jpn. J. Appl. Phys. 44, L1320 (2005) 224. R.E. Jones, S.G. Thomas, S. Bharatan, R. Thoma, C. Jasper, T. Zirkle, N.V. Edwards, R. Liu, X.D. Wang, Q. Xie, C. Rosenblad, J. Ramm, G. Isella, H. von K¨ anel, J. Oh, J.C. Campbell, IEDM Tech. Dig. 793–796 (2002) 225. S.G. Thomas, S. Bharatan, R.E. Jones, T. Thoma, T. Zirkle, N.V. Edwards, R. Liu, X.D. Wang, Q. Xie, C. Rosenblad, J. Ramm, G. Isella, H. von K¨ anel, J. Electron. Mater. 32, 976 (2003) 226. F.K. LeGoues, B.S. Meyerson, J.F. Morar, P.D. Kirchner, J. Appl. Phys. 71, 4230 (1992) 227. E.Y. Chang, T.-H. Yang, G. Luo, C.-Y. Chang, J. Electron. Mater. 34, 23 (2005)

236

4 Germanium-Based Substrate Defects

228. J.H. Li, V. Holy, G. Bauer, J.F. N¨ utzel, G. Abstreiter, Appl. Phys. Lett. 67, 789 (1995) 229. J.H. Li, V. Holy, G. Bauer, J.F. N¨ utzel, G. Abstreiter, Semicond. Sci. Technol. 10, 1621 (1995) 230. N. Taoka, A. Sakai, T. Egawa, O. Nakatsuka, S. Zaima, Y. Yasuda, Mater. Sci. Semicond. Process 8, 131 (2005) 231. G. Luo, T.-H. Yang, E.Y. Chang, C.-Y. Chang, K.-A. Chao, Jpn. J. Appl. Phys. 42, L517 (2003) 232. T.H. Yang, G.L. Luo, E.Y. Chang, Y.C. Hsieh, C.Y. Chang, J. Vac. Sci. Technol. B 22, L17 (2004) 233. Y.C. Hsieh, E.Y. Chang, G.L. Luo, M.H. Pilkuhn, S.S. Tang, C.Y. Chang, J.Y. Yang, H.W. Chung, Appl. Phys. Lett. 90, 083507 (2007) 234. T.H. Loh, H.S. Nguyen, C.H. Tung, A.D. Trigg, G.Q. Lo, N. Balasubramanian, D.L. Kwong, S. Tripathy, Appl. Phys. Lett. 90, 092108 (2007) 235. C.S. Peng, Z.Y. Zhao, H. Chen, J.H. Li, Y.K. Li, L.W. Guo, D.Y. Dai, Q. Huang, J.M. Zhou, Y.H. Zhang, T.T. Sheng, C.H. Tung, Appl. Phys. Lett. 72, 3160 (1998) 236. C.S. Peng, H. Chen, Z.Y. Zhao, J.H. Li, D.Y. Dai, Q. Huang, J.M. Zhou, Y.H. Zhang, C.H. Tung, T.T. Sheng, J. Wang, J. Cryst. Growth 201–202, 530 (1999) 237. Y. Bogumilowicz, J.M. Hartmann, C. Di Nardo, P. Holliger, A.-M. Papon, G. Rolland, T. Billon, J. Cryst. Growth 290, 523 (2006) 238. J.-S. Park, M. Curtin, C. Major, S. Bengtson, M. Carroll, A. Lochtefeld, Electrochem. Solid State Lett. 10, H313 (2007) 239. R. Calarco, M. Fiordelisi, S. Lagomarsino, F. Scarinci, Thin Solid Films 391, 138 (2001) 240. T.H. Loh, H.S. Nguyen, R. Murthy, M.B. Yu, W.Y. Loh, G.Q. Lo, N. Balasubramanian, D.L. Kwong, J. Wang, S.J. Lee, Appl. Phys. Lett. 91, 075303 (2007) 241. W.Y. Loh, J. Wang, J.D. Ye, R. Yang, H.S. Nguyen, K.T. Chua, J.F. Song, T.H. Loh, Y.Z. Xiong, S.J. Lee, M.B. Yu, G.Q. Lo, D.L. Kwong, IEEE Electron. Device Lett. 28, 984 (2007) 242. Y.-K. Choi, D. Ha, T.-J. King, C. Hu, IEEE Electron. Device Lett. 22, 447 (2001) 243. P. Ranade, H. Takeuchi, V. Subramanian, T.-J. King, IEEE Electron. Device Lett. 23, 218 (2002) 244. J.M. Hartmann, A.-M. Papon, J.M. Fabbri, G. Rolland, T. Billon, M. Juhel, A. Halimaoui, Electrochem. Soc. Trans. 3(7), 489 (2006) 245. J.M. Hartmann, A. Abbadie, A.-M. Papon, P. Holliger, G. Rolland, T. Billon, J.M. F´ed´eli, M. Rouvi`ere, L. Vivien, S. Laval, J. Appl. Phys. 95, 5905 (2004) 246. G. Vanamu, A.K. Datye, S.H. Zaidi, J. Vac. Sci. Technol. B 23, 1622 (2005) 247. S. Mochizuki, A. Sakai, O. Nakatsuka, H. Kondo, K. Yukawa, K. Izunome, T. Senda, E. Toyoda, M. Ogawa, S. Zaima, Semicond. Sci. Technol. 22, S132 (2007) 248. G. Vanamu, A.K. Datye, S.H. Zaidi, J. Cryst. Growth 280, 66 (2005) 249. T.A. Langdo, C.W. Leitz, M.T. Currie, E.A. Fitzgerald, A. Lochtefeld, D.A. Antoniadis, Appl. Phys. Lett. 76, 3700 (2000) 250. J.-S. Park, J. Bai, M. Curtin, B. Adekore, M. Carroll, A. Lochtefeld, Appl. Phys. Lett. 90, 052113 (2007)

References

237

251. J. Bai, J.-S. Park, Z. Cheng, M. Curtin, B. Adekore, M. Carroll, A. Lochtefeld, M. Dudley, Appl. Phys. Lett. 90, 102902 (2007) 252. M. Halbwax, L.H. Nguyen, F. Fossard, X. Le Roux, V. Mathet, V. Yam, D.T. Cao, D. Bouchier, Mater. Sci. Semicond. Process 9, 460 (2006) 253. J.-S. Park, J. Bai, M. Curtin, M. Carroll, A. Lochtefeld, J. Vac. Sci. Technol. B 26, 117 (2008) 254. A. Barski, M. Derivaz, J.L. Rouvi`ere, D. Buttard, Appl. Phys. Lett. 77, 3541 (2000) 255. Y. Nitta, M. Shibata, K. Fujita, M. Ichikawa, Surf. Sci. Lett. 462, L587 (2000) 256. A.A. Shklyaev, M. Shibata, M. Ichikawa, Phys. Rev. B 62, 1540 (2000) 257. A.A. Shklyaev, M. Ichikawa, Surf. Sci. 514, 19 (2002) 258. I. Berbezier, A. Karmous, A. Ronda, A. Sgarlata, A. Balzarotti, P. Castrucci, M. Scarselli, M. De Crescenzi, Appl. Phys. Lett. 89, 063122 (2006) 259. Q. Li, S.M. Han, S.R.J. Brueck, S. Hersee, Y.-B. Jiang, H. Xu, Appl. Phys. Lett. 83, 5032 (2003) 260. Q. Li, Y.-B. Jiang, H. Xu, S. Hersee, S.M. Han, Appl. Phys. Lett. 85, 1928 (2004) 261. C.C. Yeo, B.J. Cho, F. Gao, S.J. Lee, M.H. Lee, C.-Y. Yu, C.W. Liu, L.J. Tang, T.W. Lee, IEEE Electron. Device Lett. 26, 761 (2005) 262. T. Uehara, H. Matsubara, R. Nakane, S. Sugahara, S. Takagi, Jpn. J. Appl. Phys. 46, 2117 (2007) 263. H. Zang, W.Y. Loh, J.D. Ye, G.Q. Lo, B.J. Cho, IEEE Electron. Device Lett. 28, 1117 (2007) 264. T. Krishnamohan, Z. Krivokapic, K. Uchida, Y. Nishi, K.C. Saraswat, Symp. VLSI Tech. Dig. 82–83 (2005) 265. J. Oh, P. Majhi, H. Lee, O. Yoo, S. Banerjee, C.Y. Kang, J.-W. Yang, R. Harris, H.-H. Tseng, R. Jammy, IEEE Electron. Device Lett. 28, 1044 (2007) 266. B. De Jaeger, R. Bonzom, F. Leys, O. Richard, J. Van Steenbergen, G. Winderickx, E. Van Moorhem, G. Raskin, F. Letertre, T. Billon, M. Meuris, M.M. Heyns, Microelectron. Eng. 80, 26 (2005) 267. G. Nicholas, B. De Jaeger, D.P. Brunco, P. Zimmerman, G. Eneman, K. Martens, M. Meuris, M.M. Heyns, IEEE Trans. Electron. Devices 54, 2503 (2007) 268. F.E. Leys, R. Bonzom, B. Kaczer, T. Janssens, W. Vandervorst, B. De Jaeger, J. Van Steenbergen, K. Martens, D. Hellin, J. Rip, G. Dilliway, A. Delabie, P. Zimmerman, M. Houssa, A. Theuwis, R. Loo, M. Meuris, M. Caymax, M.M. Heyns, Mater. Sci. Semicond. Process 9, 679 (2006) 269. M.L. Lee, C.W. Leitz, Z. Cheng, A.J. Pitera, T. Langdo, M.T. Currie, G. Taraschi, E.A. Fitgerald, D.A. Antoniadis, Appl. Phys. Lett. 79, 3344 (2001) 270. M.L. Lee, E.A. Fitzgerald, IEDM Tech. Dig, 429 (2003) 271. M.L. Lee, A.J. Pitera, E.A. Fitzgerald, J. Vac. Sci. Technol. B 22, 158 (2004) 272. A. Ritenour, M.L. Lee, N. Lu, W. Bai, S. Yu, E.A. Fitzgerald, D.L. Kwong, D.A. Antoniadis, Electrochem. Soc. Proc. 2004–2001, 406–411 (2004) 273. M.L. Lee, A. Ritenour, D.A. Antoniadis, E.A. Fitzgerald, Electrochem. Soc. Proc. 2004–2007, 89 (2004) 274. Y. Bogumilowicz, J.M. Hartmann, N. Cherkashin, A. Claverie, G. Rolland, T. Billon, Mater. Sci. Eng. B 124–125, 113 (2005) 275. N. Cherkashin, M.J. H¨ ytch, E. Snoeck, A. Claverie, J.M. Hartmann, Y. Bogumilowicz, Mater. Sci. Eng. B 124–125, 118 (2005)

238

4 Germanium-Based Substrate Defects

276. T. Ernst, F. Andrieu, O. Weber, J.-M. Hartmann, C. Dupr´e, O. Faynot, J.C. Barbe, J. Eymery, S. Barraud, F. Ducroquet, G. Ghibaudo, S. Deleonibus, Electrochem. Soc. Trans. 3(7), 947 (2006) 277. G. Nicholas, T.J. Grasby, D.J.F. Fulgoni, C.S. Beer, J. Parsons, M. Meuris, M.M. Heyns, IEEE Electron. Device Lett. 28, 825 (2007) 278. J. Bevk, J.P. Mannaerts, L.C. Feldman, B.A. Davidson, A. Ourmazd, Appl. Phys. Lett. 49, 286 (1986) 279. G. Abstreiter, K. Eberl, E. Friess, W. Wegscheider, R. Zachai, J. Cryst. Growth 95, 431 (1989) 280. G. Abstreiter, Thin Solid Films 183, 1 (1989) 281. R. Hull, J.C. Bean, F. Cerdeira, A.T. Fiory, J.M. Gibson, Appl. Phys. Lett. 48, 56 (1986) 282. J.M. Baribeau, R. Pascual, S. Saimoto, Appl. Phys. Lett. 57, 1502 (1990) 283. W. Wegscheider, K. Eberl, H. Cerva, H. Oppolzer, Appl. Phys. Lett. 55, 448 (1989) 284. W. Wegscheider, K. Eberl, G. Abstreiter, H. Cerva, H. Oppolzer, Appl. Phys. Lett. 57, 1496 (1990) 285. M. Bruel, IEE Electron. Lett. 31, 1201 (1995) 286. C.J. Tracy, P. Fejes, N.D. Theodore, P. Maniar, E. Johnson, A.J. Lamm, A.M. Paler, I.J. Malik, P. Ong, J. Electron. Mater. 33, 886 (2004) 287. C. Deguet, C. Morales, J. Dechamp, J.M. Hartmann, A.M. Charvet, H. Moriceau, F. Chieux, A. Tauzin, L. Clavelier, V. Loup, N. Kernevez, G. Raskin, C. Richtarch, T. Signamarcheix, F. Allibert, T. Akatsu, F. Letertre, C. Mazure, in Proc 1st EUROSOI Workshop, 2005, pp. 31–32 288. L. Sanchez, C. Deguet, J. Dechamp, F. Madeira, F. Mazen, A. Tauzin, V. Loup, N. Kernevez, B. Depuydt, C. Richtarch, D. Mercier, T. Signamarcheix, F. Allibert, T. Akatsu, F. Letertre, in Proc 2nd EUROSOI Workshop, 2006, pp 85–86 289. C. Deguet, L. Sanchez, T. Akatsu, F. Allibert, J. Dechamp, F. Madeira, F. Mazen, A. Tauzin, V. Loup, C. Richtarch, D. Mercier, T. Signamarcheix, F. Letertre, B. Depuydt, N. Kernevez, Electron. Lett. 42, 415 (2006) 290. C.-Y. Yu, C.-Y. Lee, C.-H. Lin, C.W. Liu, Appl. Phys. Lett. 89, 101913 (2006) 291. G. Taraschi, A.J. Pitera, E.A. Fitzgerald, Solid-State Electron. 48, 1297 (2004) 292. A.J. Pitera, E.A. Fitzgerald, Electrochem. Soc. Proc. 2004–2007, 227– 237 (2004) 293. A.J. Pitera, G. Taraschi, M.L. Lee, C.W. Leitz, Z.-Y. Cheng, E.A. Fitzgerald, J. Electrochem. Soc. 151, G443 (2004) 294. Y.-L. Chao, R. Scholz, M. Reiche, U. G¨ osele, J.C.S. Woo, Jpn. J. Appl. Phys. 45, 8565 (2006) 295. D.S. Yu, A. Chin, C.C. Liao, C.F. Lee, C.F. Cheng, M.F. Li, W.J. Yoo, S.P. McAlister, IEEE Electron. Device Lett. 26, 118 (2005) 296. J.M. Zahler, C.-G. Ahn, S. Zaghi, H.A. Atwater, C. Chu, P. Iles, Thin Solid Films 403–404, 558 (2002) 297. H. Kanbe, M. Komatsu, M. Miyaji, Jpn. J. Appl. Phys. 45, L644 (2006) 298. H. Kanbe, M. Miyaji, M. Hirose, N. Nitta, M. Taniwaki, Appl. Phys. Lett. 91, 142119 (2007) 299. P.T. Baine, H. Gamble, B.M. Armstrong, D. McNeill, S.J.N. Mitchel, in EUROSOI 2008 – Conf Proc, 2008, pp. 89–90 300. T. Tezuka, N. Sugiyama, T. Mizuno, S. Takagi, IEDM Tech. Dig. 946– 948 (2001)

References

239

301. T. Tezuka, N. Sugiyama, S. Takagi, Appl. Phys. Lett. 79, 1798 (2001) 302. T. Tezuka, N. Sugiyama, T. Mizuno, M. Suzuki, S. Takagi, Jpn. J. Appl. Phys. 40, 2866 (2001) 303. S. Nakaharai, T. Tezuka, N. Sugiyama, Y. Moriyama, S. Takagi, Appl. Phys. Lett. 83, 3516 (2003) 304. S. Takagi, T. Irisawa, T. Tezuka, S. Nakaharai, T. Numata, N. Hirashita, Y. Moriyama, K. Usuda, S. Dissanayake, M. Tekenaka, S. Sugahara, N. Sugiyama, Electrochem. Soc. Trans. 11(6), 61 (2007) 305. M.A. Rabie, Y.M. Haddara, J. Carette, J. Appl. Phys. 98, 074904 (2005) 306. M.K. Bera, S. Chakraborty, R. Das, G.K. Dalapati, S. Chattopadhyay, S.K. ˇ Samanta, W.J. Yoo, A.K. Chakraborty, Y. Butenko, L. Siller, M.R.C. Hunt, S. Saha, C.K. Maiti, J. Vac. Sci. Technol. A 24, 84 (2006) 307. B.-G. Min, Y.H. Pae, K.S. Jun, D.-H. Ko, H. Kim, M.-H. Cho, T.-W. Lee, J. Appl. Phys. 100, 016102 (2006) 308. N. Hirashita, T. Numata, T. Tezuka, N. Sugiyama, K. Usuda, T. Irisawa, A. Tanabe, Y. Moriyama, S. Nakaharai, S. Takagi, E. Toyoda, Y. Miyamura, in IEEE Int SOI Conf, 2004, pp. 141–142 309. S. Balakumar, S. Peng, K.M. Hoe, G.Q. Lo, R. Kumar, N. Balasubramanian, D.L. Kwong, Y.L. Foo, S. Tripathy, Appl. Phys. Lett. 90, 192113 (2007) 310. S. Koh, K. Sawano, Y. Shiraki, N. Usami, K. Nakajima, X. Huang, S. Uda, in Proc 1st IEEE Int. Conf. on Group IV Photonics, 2004, pp. 61–63 311. J.-F. Damlencourt, Y. Campidelli, M.-C. Roure, B. Vincent, E. Martinez, F. Fillot, Y. Morand, B. Arrazat, T. Nguyen, S. Cristoloveanu, L. Clavelier, Electrochem. Soc. Trans. 6(4), 315 (2007) 312. J.-F. Damlencourt, Y. Campidelli, T. Nguyen, B. Vincent, C. LeRoyer, Y. Morand, S. Cristoloveanu, L. Clavelier, Electrochem. Soc. Trans. 6(1), 65 (2007) 313. Q.T. Nguyen, J.F. Damlencourt, B. Vincent, L. Clavelier, Y. Morand, P. Gentil, S. Cristoloveanu, Solid-State Electron. 51, 1172 (2007) 314. K.-J. Chui, K.-W. Ang, A. Madan, A. Du, C.-H. Tung, N. Balasubramanian, G. Samudra, Y.-C. Yeo, in Proc ICSICT, The IEEE, (New York), 2006, pp. 85–88 315. T. Tezuka, S. Nakaharai, Y. Moriyama, N. Hirashita, E. Toyoda, T. Numata, T. Irisawa, K. Usuda, N. Sugiyama, T. Mizuno, S. Takagi, Semicond. Sci. Technol. 22, S93 (2007) 316. T. Shimura, M. Shimizu, S. Horiuchi, H. Watanabe, K. Yasutake, M. Umeno, Appl. Phys. Lett. 89, 111923 (2006) 317. C. Li, K. Cai, Y. Zhang, H. Lai, S. Chen, J. Electrochem. Soc. 155, H156 (2008) 318. N. Sugiyama, T. Tezuka, T. Mizuno, M. Suzuki, Y. Ishikawa, N. Shibata, S. Takagi, J. Appl. Phys. 95, 4007 (2004) 319. Z. Di, P.K. Chu, M. Zhang, W. Liu, Z. Song, C. Lin, J. Appl. Phys. 97, 064504 (2005) 320. H.J. Oh, K.J. Choi, W.Y. Loh, T. Htoo, S.J. Chua, B.J. Cho, Electrochem. Soc. Trans. 6(1), 95 (2007) 321. F. Cavallo, R. Songmuang, C. Ulrich, O.G. Schmidt, Appl. Phys. Lett. 90, 193120 (2007) 322. G.H. Wang, E.-H. Toh, Y.-L. Foo, C.-H. Tung, S.-F. Choy, G. Samudra, Y.-C. Yeo, Appl. Phys. Lett. 89, 053109 (2006) 323. S. Balakumar, G.Q. Lo, C.H. Tung, R. Kumar, N. Balasubramanian, D.L. Kwong, C.S. Ong, M.F. Li, Appl. Phys. Lett. 89, 042115 (2006)

240

4 Germanium-Based Substrate Defects

324. S. Balakumar, S. Peng, K.M. Hoe, A. Agarwal, G.Q. Lo, R. Kumar, N. Balasubramanian, D.L. Kwong, S. Tripathy, Appl. Phys. Lett. 90, 032111 (2007) 325. S. Balakumar, C.H. Tung, G.Q. Lo, R. Kumar, N. Balasubramanian, D.L. Kwong, G. Fei, S.J. Lee, Appl. Phys. Lett. 89, 032101 (2006) 326. W.S. Liu, J.S. Chen, D.Y.C. Lie, M.-A. Nicolet, Appl. Phys. Lett. 63, 1405 (1993) 327. Z. Di, A. Huang, P.K. Chu, M. Zhang, W. Liu, Z. Song, S. Luo, C. Lin, J. Cryst. Growth 281, 275 (2005) 328. S.W. Bedell, K. Fogel, D.K. Sadana, H. Chen, Appl. Phys. Lett. 85, 5869 (2004) 329. S.W. Bedell, K. Fogel, J. Ott, A. Reznicek, D.K. Sadana, Electrochem. Soc. Trans. 3(7), 807 (2006) 330. N. Taoka, A. Sakai, S. Mochizuki, O. Nakatsuka, M. Ogawa, S. Zaima, T. Tezuka, N. Sugiyama, S. Takagi, Jpn J. Appl. Phys. 44, 7356 (2005) 331. N. Hirashita, N. Sugiyama, E. Toyoda, S. Takagi, Thin Solid Films 508, 112 (2006) 332. T. Tezuka, Y. Moriyama, S. Nakaharai, N. Sugiyama, N. Hirashita, E. Toyoda, Y. Miyamura, S. Takagi, Thin Solid Films 508, 251 (2006) 333. T. Tezuka, N. Hirashita, Y. Moriyama, S. Nakaharai, N. Sugiyama, S. Takagi, Appl. Phys. Lett. 90, 181918 (2007) 334. S. Nakaharai, T. Tezuka, N. Hirashita, E. Toyoda, Y. Moriyama, N. Sugiyama, S. Takagi, Semicond. Sci. Technol. 22, S103 (2007) 335. B. Vincent, J.-F. Damlencourt, V. Delaye, R. Gassilloud, L. Clavelier, Y. Morand, Appl. Phys. Lett. 90, 074101 (2007) 336. E.M. Rehder, C.K. Inoki, T.S. Kuan, T.F. Kuech, J. Appl. Phys. 94, 7892 (2003) 337. D. Wang, S. Ii, H. Nakashima, K. Ikeda, N. Nakashima, K. Matsumoto, M. Nakamae, Appl. Phys. Lett. 89, 041916 (2006) 338. T. Sadoh, R. Matsuura, M. Ninomiya, M. Nakamae, T. Enokida, H. Hagino, M. Miyao, Mater. Sci. Semicond. Process 8, 167 (2005) 339. M. Tanaka, I. Tsunoda, T. Sadoh, T. Enokida, M. Ninomiya, M. Nakamae, M. Miyao, Jpn. J. Appl. Phys. 45, 3147 (2006) 340. M. Miyao, M. Tanaka, I. Tsunoda, T. Sadoh, T. Enokida, H. Hagino, M. Ninomiya, M. Nakamae, Appl. Phys. Lett. 88, 142105 (2006) 341. T. Tezuka, N. Sugiyama, S. Takagi, T. Kawakubo, Appl. Phys. Lett. 80, 3560 (2002) 342. T. Tezuka, N. Sugiyama, S. Takagi, J. Appl. Phys. 94, 7553 (2003) 343. H. Yin, K.D. Hobart, F.J. Kub, S.R. Shieh, T.S. Duffy, J.C. Sturm, Appl. Phys. Lett. 84, 3624 (2004) 344. T.-Y. Liow, K.-M. Tan, Y.-C. Yeo, A. Agarwal, A. Du, C.-H. Tung, N. Balasubramanian, Appl. Phys. Lett. 87, 262104 (2005) 345. A. Nylandsted Larsen, Mater. Sci. Semicond. Process 9, 454 (2006)

5 Process-Induced Defects in Germanium

5.1 Introduction The fabrication of devices in a germanium substrate relies on processing techniques that can be quite aggressive to the material. Chemical etching can introduce metallic and other impurities in the near-surface layer, which diffuse deeper in the bulk during a subsequent heat treatment, thereby affecting the electrical (lifetime and resistivity) properties. Dry etching, on the other hand, results in the creation of radiation damage, as energetic ions are used to sputter/remove locally the Ge atoms from the surface. While in the early days doping profiles were tailored by solid- or gas-source diffusion of Group III and V impurities, the industrial standard is now ion implantation, whereby the depth of the profile (junction) is selected through the energy of the ions, while the dose settles the sheet resistance. However, ion implantation creates radiation damage by displacing Ge atoms from their lattice site. At sufficiently high doses, this results in a complete amorphization of the implanted layer. Therefore, to cure the damage and activate the dopants, that is, to move them on a substitutional lattice site, a thermal anneal is required. For shallow junction formation, the thermal budget of this anneal should be well controlled, to avoid excessive diffusion of the dopant atoms. Generally, there exists a trade-off between damage removal (low leakage current), on the one hand, and maintaining a shallow doping profile on the other. The traditional furnace annealing (FA), is nowadays replaced by the so-called rapid thermal annealing (RTA) and even spike annealing, in the case of silicon CMOS. At the moment, extensive research is being performed on more advanced activation techniques, combining preamorphization of the substrate with solid-phase epitaxial regrowth (SPER) of the implanted layer. The presence of an amorphized layer also opens the door for the implementation of intense laser annealing. At the same time, flash lamp annealing enables to heat the sample on a very short time scale (millisecond) even compared with the spike annealing achievable in standard RTA equipment.

242

5 Process-Induced Defects in Germanium

In this chapter, processing-induced defect formation and removal will be discussed, with particular emphasis on ion implantation damage. In the first paragraph, the fundamental ion-implantation damage mechanisms will be discussed in terms of the collision cascade (CC) theory. It will be shown that for high energy density cascades, a deviation occurs which is related to a collective movement of atoms, most likely due to the occurrence of so-called thermal spikes. It will also be shown that MeV self-ion implantation helps to reveal the nature of the damage nucleation – homogeneous (Si) or heterogeneous (Ge). As will be shown for Ge, for high-dose heavy-ion implantations, there exists a damage phase beyond complete amorphization, whereby voids or pores are being formed. In a third paragraph, high-temperature annealing mechanisms will be discussed. Next, ion implantation of the traditional Group III and V dopants is highlighted. As we see in Sect. 5.5, there exists also some interest in implanting oxygen and nitrogen in Ge for certain applications. Currently, also the implantation of low-energy, high-dose hydrogen in Ge is of technological relevance, in the frame of the smart-cut fabrication of Germanium-on-Insulator (GeOI) substrates (Sect. 5.6). Finally, the defect formation during other processing steps will be briefly summarized.

5.2 Fundamental Ion Implantation Damage Mechanisms The interaction of an ion with a crystalline material is usually described in terms of the collision cascade theory, whereby a part of the particle energy goes into a series of individual atomic displacements; the main fraction goes into interactions with the valence electrons, leading to the creation of electron–hole pairs (ionization energy; average threshold energy ∼3 eV in Ge). To displace a Ge atom from its lattice site, a mean threshold energy Edis needs to be supplied, which is in the range 13–15 eV for Ge for low-energy implantations [1]. Along the ion track, a cascade of knock-on atoms is displaced, which in turn can start their own subcascade when they have sufficient energy to displace other lattice atoms. Most of the damage creation (energy deposition) occurs at the end of the ion track (end-of-range (EOR)). Within the frame of the collision cascade theory, the number of displaced atoms per ion (NCC ) is given by eff NCC = 0.42νe/Edis ,

(5.1)

which is termed the modified Kinchin–Pease formulation. In (5.1), νe (E) is the energy available for elastic atomic displacements, that is, available for elastic eff the effective mean displacement energy. The average nuclear collisions and Edis deposited energy density per atom equals [2] = 0.2νe (E)/Nii VDR ,

(5.2)

with Nii the number of atoms contained within a spheroid defined by the longitudinal and transverse straggling of the statistical damage distribution.

5.2 Fundamental Ion Implantation Damage Mechanisms

243

VDR is the ratio of an individual cascade volume to the transport cascade volume. Finally, the mean damage fraction FD is given by [1] FD = 0.35NCC /Nii .

(5.3)

The number of displaced atoms encountered in practice is usually lower than predicted by (5.1) because there may be interaction between displaced interstitial atoms and vacancies within one cascade, leading to damage recovery or “annealing.” The same applies for overlapping or interacting cascades corresponding with different ions. The amount of annealing largely depends on the mobility of the point defects and, hence, on the implantation temperature. When implanting at 50 K, the point defects are essentially frozen so that the damage creation dominates over point defect recombination [1]. For room temperature implantation, on the other hand, a substantial fraction of the displaced atoms is removed, as can be derived from Fig. 5.1, representing the normalized number of displaced atoms (NCC /νe (E)) in function of the cascade energy density [1]. The implantation energy range considered here is 10–60 keV for atomic numbers Z ≥ 7.

1 0.5 0.7

Ge (50 K) Ge (300 K) Ge (300 K) CRNL

1.0

Ncc/νe(E)

2.0 4.0 0.1 6.0

12

Threshold value of Edis

0.01 0.001

0.01

0.1

1

20

10

Cascade Energy Density (eV/atom) Fig. 5.1. Measured values of the normalized number (NCC /νe (E)) of displaced atoms as a function of the cascade energy density for various implants in Ge at 50 and 300 K. The right-hand ordinate scale gives the corresponding values eff of the effective displacement energy Edis . CRNL stands for Chalk River Nuclear Laboratories, another irradiation facility used (after [1])

244

5 Process-Induced Defects in Germanium

Another striking feature in Fig. 5.1 is the observed change in effective threshold displacement energy from a low-energy density limit of 13–15 eV to a high-density value of 0.5 eV/atom, independent of the implantation temperature. This means that in a dense cascade, the damage is stable with respect to recombination, and point-defect annealing no longer plays a role. eff coincides fortuitously with the heat of melting The high-energy limit for Edis for Ge. This makes it attractive to think of a collective displacement mechanism in dense cascades, related to an energy (heat) spike in the cascade [1]. This damage mechanism becomes important for energy densities in the range 0.1 eV atom−1 . At low and implantation damage, one expects from CC theory a constant number of displacements in the absence of annealing, as is observed in Fig. 5.1 for the 50 K curve. At higher energy densities, it is clear that the CC theory heavily underestimates the number of displaced atoms. In fact, the concept of displacement threshold becomes meaningless in this range. Further evidence for the departure from the CC theory is given in Fig. 5.2 [1], showing that for both Ge and Si, the fractional damage measured by Rutherford back-scattering (RBS) can become higher than 1. This implies that in dense cascades, the amorphization extends out to a significantly larger volume than CC theory would predict. It is found in practice that FD is given by [1] (5.4) FD ∼ 1.37 for Ge. 100

Fractional Damage (x10-1)

(1) cascade energy deposited for atomic collisions = 0.36 n(E)/Nii (2) total cascade energy deposited

10 Si (1) Si (2) 1

Ge (1)

0.1

slope =1

0.01

0.001 0.001

0.01

0.1

1

10

100

-1

Cascade Energy Density ( x10 eV/atom)

Fig. 5.2. Relationship between the fractional damage FD and the cascade energy density for various implants at 50 K in Si and Ge. A line of slope = 1.0 is included as a guide (after [1])

5.2 Fundamental Ion Implantation Damage Mechanisms

245

1 GexSi1-x Si

Nii/νe(E)

Ge

0.1

0.01 0.001

0.01

0.1

1

10

Average Cascade Energy Density (eV/atom)

Fig. 5.3. The total number of displaced atoms, Nii , divided by νe (E), the component of the ion energy dissipated in elastic collisions, is plotted vs. the average energy density into the collision cascade per atom. The dashed line is to indicate the trend as x increases in 100 keV Si implanted x = 0.10, 0.13, 0.15, and 0.20 material (after [2])

for > 0.1 eV atom−1 . Note that this increase is faster than linear, predicted by standard CC theory. Besides an energy spike, it has also been suggested that above a certain threshold, a “damage spike” may occur. However, such a damage spike cannot explain an FD > 1 [1]. It is also clear from Fig. 5.2 that the number of displaced atoms is larger in Ge than in Si. This is shown more explicitly in Fig. 5.3 for the case of 28 Si+ ions implanted in Ge, Si, and Gex Si1−x [2]. The higher damage retention in Ge compared with Si is ascribed to the increase in for the same implantation conditions and a reduction of the mobility of the defects in the collision cascades. The latter is believed related to the binding of primary defects to Ge atoms, that is, by the formation of Ge-V centers [3]. The resulting fractional damage as a function of Si dose is represented in Fig. 5.4 [2], demonstrating a roughly 10 times lower amorphization threshold for Ge compared with Si. In Fig. 5.4, the implantation energy is chosen such as to maintain the same ion range in the material. For 60 keV heavy ion implantations in Ge, the corresponding effective eff threshold energy Edis is represented in Fig. 5.5 vs. the energy available for elastic collisions [4]. It is clear that the heavier mass (higher νe (E)) gives rise eff . At the same time, the damage density increases with the to a lower Edis energy density, as shown in Fig. 5.6 [4]. It should be remarked that complete amorphization corresponds with 50 atoms nm−3 , as indicated by the dashed

246

5 Process-Induced Defects in Germanium 1.2

Maximum Relative Damage

Ge (300 keV)

Si0.9 Ge0.1 (100 keV)

1

0.8

Si (100 keV)

0.6

0.4

0.2

0

0

2

1

3 4 5 Si Ion Dose (1014/cm2)

6

7

8

Fig. 5.4. The maximum relative damage in Si(100) and Si0.9 Ge0.1 implanted by 100 keV Si, and in Ge(100) implanted by 300 keV Si, plotted vs. the Si ion dose. All implantations were done at room temperature (after [2])

Effective Displacement Energy (eV/atom)

100 Ge Implanted at RT

C CO CO2

10

As Sb

As2

Te Sb2

Te2

1 0

10

20

30

40

50

νe(E) (keV) eff Fig. 5.5. Experimentally derived values of the effective displacement energy Edis as a function of νe (E) (after [4])

5.2 Fundamental Ion Implantation Damage Mechanisms

247

100

Damage Density (atom/nm3)

Sb2 As2x x

10

Te2

Te Sb

As

1

0.1

CO2 C

Ge Implanted at RT

CO

0.01 1

10

100

1000

Energy Density (eV/nm3) Fig. 5.6. Correlation between the observed damage density (Nii /VDR ) for the various heavy ion implants in germanium. The dashed line at 50 atoms nm−3 corresponds to a completely disordered cascade (after [4])

line. However, no saturation was observed at this level [4], suggesting that another damage mechanism (thermal spike?) must operate in dense cascades, whereby damage is created beyond the calculated straggle cylinder. The mechanisms of amorphization in dense cascades in Ge have been more fundamentally explored by Parsons [5]. The model he proposes for the thermal spike is that it is equivalent to rapid heating and quenching to a localized volume centered around the collision cascade. The thermal spike is estimated to have a lifetime of 10 ps, whereby the lattice thermal diffusivity amounts −1 to 10−3 cm2 s . The size and structure of the damaged region has also an athermal component due to transient chaotic atomic displacements produced in the cascade. The size of the damage region is in the first instance defined by the substrate temperature during ion implantation and is shown to be independent of the doping type [5]. One important factor is also that amorphous germanium (a-Ge) is up to 10% less dense than crystalline material. It was, for example, observed that implantation at 320◦ C of 100 keV 1015 O− ions cm−2 in Ge did not produce visible damage regions. This may be related to the fact that a-Ge recrystallizes epitaxially at >300◦ C. The picture arising from this is that during the thermal spike, a-Ge is formed, which recrystallizes epitaxially at the outer boundary of the molten region. This process stops within 10 ps, when the temperature has fallen below 300◦ C (the glass transition temperature of Ge). The remaining damage core is smaller than the molten zone. In addition, the damage core is less dense (depleted) by the fact that about 10% of the atoms are ejected into the surrounding lattice as channeled atoms [5].

248

5 Process-Induced Defects in Germanium

The absence of a doping dependence is then explained by considering the negligible contribution of electron–hole thermal conduction within the time interval of the spike. From a comparison between neutron- and ion-bombarded germanium and silicon, it was also concluded that a critical factor for amorphization is the flux [6]. This followed from the observation that the individual damaged regions (cascades) remain crystalline. It was only when these damaged regions are formed rapidly enough so that overlap occurs before appreciable annealing of the point defects that the damaged regions become amorphous. A critical defect concentration of 0.02 was estimated for the spontaneous transformation to amorphism [6]. The impact of the dose rate and irradiation temperature was further investigated by Haynes and Holland [7, 8]. From Fig. 5.7, one can derive that for 30 + Si at 100 keV implantations, there exists a transition region between 140 and 150◦ C, where the magnitude of the peak damage decays [6]. The damage– temperature curve has the same shape for both Ge and GaAs (similar mass). No damage is found for a temperature T∞ , given by [7] T∞ =

Qd , 2k ln (L0 /R0 )

(5.5)

1.2

Peak Damage Fraction

1

0.8

6x10

14

1x10

0.6

6x10

14

14

GaAs Ge 14

1x10

0.4

13

3x10 13

3x10

0.2

0 -150

-100

-50

0

50

100

150

Implantation Temperature (oC)

Fig. 5.7. Temperature dependence of the damage produced in GaAs (open symbols) and Ge (filled) by implantation of 100 keV Si+ [3 × 1013 cm−2 (open squares, filled squares), 1 × 1014 cm−2 (open triangles, filled triangles), and 6 × 1014 cm−2 (open circles, filled circles)]. The dose rate was 0.05 μA cm−2 (after [7])

5.2 Fundamental Ion Implantation Damage Mechanisms

249

Damage (1017 displ/cm2)

10

Ge,122ºC 2x1014 1

GaAs, RT 2x1014

Ge,RT 3x1013

0.1 0.01

0.1

1

10

2

Dose Rate (μA/cm )

Fig. 5.8. Dose-rate dependence of the total depth-integrated damage for 100 keV Si implants into GaAs at RT (dose = 2×1014 cm−2 ), and into Ge at RT (3×1013 cm−2 ) and at 122◦ C (2 × 1014 cm−2 ) (after [7])

with Qd the activation enthalpy of the point defect diffusion, R0 the radius of the collision cascade cylinder, and L0 the diffusion length of the implantationinduced point defects. For 77–100 keV Si implantation in Ge(100), one finds that Qd = 0.26 eV, L0 = 0.03 μm, and T∞ = 155◦ C. The amorphization threshold is at 5 × 1013 Si ions cm−2 [7]. The effect of the dose rate is shown in Fig. 5.8 [7]. It appears to be much less in Ge than in GaAs for the same substrate temperature. The same dose rate dependence is found at 122◦ C. This effect may come from the interaction between defects formed in different collision cascades. It should become apparent in the neighborhood of the transition temperature T∞ . More recently, the impact of the ion flux and irradiation temperature on the damage buildup and dynamic annealing has been investigated by using a 30 keV Ga+ focused ion beam in a Ge(001) channeling direction [9]. In this way, the flux could be varied over a range of seven orders of magnitude. According to the results of Fig. 5.9, irradiation at 250◦ C and high flux leads to damage accumulation and increasing dechanneling with fluence, while at low flux, dynamic annealing dominates [9]. In contrast, dynamic annealing is negligible at room temperature both for a high and a low flux: the damage accumulates till the amorphization threshold of 1014 cm−2 . As a result, the critical nuclear energy per atom for defect formation is smaller than the threshold energy for displacements, since most of the atomic displacements are formed in a region of a collision cascade where the target structure is no longer perfect [9]. The amorphization threshold dose is shifted to ∼5 × 1014 cm−2 at 250◦ C implantation [9]. It was also concluded that at 250◦ C the lifetime of

250

5 Process-Induced Defects in Germanium

Fig. 5.9. Dependence of the relative disorder on the implantation dose. The symbols show the data obtained from micro-RBS/C (squares, RT; triangles, 250◦ C; black, 1019 cm−2 s−1 ; grey, 1012 cm−2 s−1 ). The lines are drawn to guide the eye (after [9])

the most relevant implantation defects was less than 10 s, whereas it is much higher at 300 K. It is believed that the dynamic annealing taking place at times shorter than 10 s are related to short-range recombination processes within one collision cascade, such as close Frenkel pair recombination, rearrangement of defect complexes, and recrystallization of amorphous pockets [9]. According to Fig. 5.10, the self-amorphization kinetics of Ge(001) can be described within the frame of the critical damage energy density (CDED) model, using a critical energy density Edis of 5 eV atom−1 , rather close to the value for silicon [10]. The CDED model assumes that the crystalline to amorphous transition (c → a) is a first order transition and occurs uniformly in a crystal containing a high enough density of defects, so that it will spontaneously relax towards the amorphous phase. This approach is suitable for describing the c/a transition up to a Ge dose of 5 × 1015 at cm−2 [10]. Beyond that, a further phase transition occurs, as will be described in Sect. 5.3. A systematic study of the displacement damage in semiconductor materials has been performed by Ascheron et al. [11, 12] using 0.3 and 1.2 MeV protons. It was observed that there was a significant difference between the damage predicted by the transport of ions in matter (TRIM) code for a certain Edis , based on the collision cascade theory and the observed damage. Particularly, in the damage tails near the surface, where the energy transfer is mainly by ionization, the displacement damage is higher than that predicted by simulations [11]. This occurs for an energy density Gcrit > 0.1 keV nm−1 1 into ionization [11]. For very high ionization energies, one can obtain a local melting and recrystallization of the semiconductor material, which may be useful in damage removal (see later). This damage density in the near surface

5.2 Fundamental Ion Implantation Damage Mechanisms

251

Fig. 5.10. Dose-depth diagram for germanium self-implanted at 150 keV. Inside the profile the material is amorphous, outside it is still crystalline (after [10])

region of various semiconductors is represented in Fig. 5.11, against the fluence of 300 keV protons [11]. From Fig. 5.11 it is clear that, especially in the near surface damage region, there is an obvious difference in the deposited damage for the various materials studied. To explain the higher damage in the near surface tail, where the incoming ions have still most of their energy, it is assumed that the energy required to displace an atom is lowered in the case of chemical bonds broken by ionization. Based on the results of Fig. 5.11, an attempt was made to correlate the displacement damage with some fundamental material parameters [11]. It was found that there exists a correlation between the damage (radiation hardness) and the band gap (=the inverse lattice parameter) within each material’s group. At the same time, it was concluded that the higher the microhardness, the stronger the resistance against radiation damage. It has also been observed that in elemental semiconductors, there is a higher degree of interstitial type of defects. However, the total remnant damage is usually much higher in compound semiconductors due to the more successful recombination – not due to a lower production rate. The main reason for the higher remnant damage is the existence of anti-site defects (an As atom on a Ga site or vice versa), which gives rise to a “wrong” recombination. In this context, it should also be remarked that during RBS high energy (1– 2 MeV) H+ or He+ ions are utilized as probe ions for back-scattering. However, at the same time, they can produce displacement damage in the material under

252

5 Process-Induced Defects in Germanium 18 0.3 MeV H+

16

ZnSe

Damage Density (%)

14 12

InP

10 InSb

8

GaAs

6

GaP

4

Ge

2 0 -2

Si

0

1

2 17

Dose (10

3

-2

cm )

Fig. 5.11. Dose dependence of the damage density /N0 within the nearsurface region (t = 0–1 μm) of 0.3 MeV proton-bombarded ZnSe, InSb, InP, GaAs, GaP, Ge, and Si (after [11])

investigation. This issue has been tackled by Campisano et al. [13] and was found to be significant for Ge but not for silicon. It was also found that GaAs, GaP, and Ge show considerable expansion due to proton bombardment, resulting from defect-induced lattice strain [12]. Based on double crystal X-ray diffraction, it was concluded that the strain increases linearly with Si+ dose up to the amorphous threshold [14]. To investigate the nature of the implantation damage nucleation, that is, homogeneous or heterogeneous, silicon and germanium have been implanted by MeV self ion and O implants [15]. The fundamental question is the role of the collision cascade in the damage nucleation: if one relies on a thermal spike model, than one expects heterogeneous nucleation. In the case of homogeneous nucleation, the role of the collision cascade is to provide a source of long-lived point defects, which interact somewhere in the implanted layer, leading to stable remnant damage [15]. It turns out that MeV self ion implants behave totally different in the two materials. While in Si, the damage growth changes along the track and proceeds faster at the EOR, this is not the case for Ge. The RBS data of Fig. 5.12 illustrates nicely that in Ge, the damage grows uniformly along the entire range of the ion track and monotonically with fluence [15]. There is no damage saturation occurring ahead of the EOR as in silicon [15]. The aligned scattering yield in Fig. 5.12 increases with dose until the random level is reached. There is no evidence for the formation of a buried amorphous layer with a distinct front interface.

5.2 Fundamental Ion Implantation Damage Mechanisms

253

8000

16O

Implantation into Ge at 1.3 MeV

(a)

7000 6000

Counts

5000

3.1ⴛ1013 6.2ⴛ1013 1.2ⴛ1014 2.5ⴛ1014 5.0ⴛ1014 1.0ⴛ1015 2.0ⴛ1015

4000

3000

2000 1000 0 0

0.5

1

1.5

2

2.5

Depth (mm) 8000

Ge Implantation into Ge at 2.5 MeV

(b)

7000 6000

Counts

5000

4000

5.0ⴛ1012 1.0ⴛ1013 2.5ⴛ1013 2.5ⴛ1014 5.0ⴛ1013 7.5ⴛ1013

3000

2000 1000 0 0

0.5

1

1.5

2

2.5

Depth (mm)

Fig. 5.12. aligned RBS spectra obtained from (a) O-implanted and (b) selfimplanted Ge single crystal by backscattering 2.9-MeV He+ ions at 160◦ (after [15])

When studying the fluence dependence of the ion implantation damage, it was concluded that for low fluences no a-Ge is formed but rather dislocated crystalline regions of damage clusters [15]. For medium dose implants, the damage layer contains both a- and c-Ge phases, whereby the a/c fraction increases for increase in depth up to 1 μm for a 2.5 MeV Ge implantation. The damaged layer extends from 0.1 to 0.4 μm in depth from TRIM [11].

254

5 Process-Induced Defects in Germanium

No clear a-Ge layer forms, with no sharp interface ahead of it. The dose necessary to amorphize the substrate at the peak of the damage profile by self-implantation is ∼1014 Ge+ cm−2 for 2.5 MeV energy [15]. A level of 25 times more displacements per atom are required in Si compared with Ge to produce the same damage level. The difference between silicon and germanium is explained by considering that the energy density is quite high for the lower energy self ions. This means in practice at the EOR and also in the subcascades of energetic knockon atoms. It is reasonable to assume that the damage can be nucleated in these dense cascade regions in Ge, associated with energetic knock-ons and with the primary ions near the EOR. This is consistent with the monotonous damage increase over the entire implanted region, as shown in Fig. 5.12 [15]. To produce amorphous Ge, overlap of dense cascades must occur to create high enough damage density to stimulate this transformation. Therefore, in Ge there exists a one-to-one correlation between the damage and the displacements per atom, resulting in a heterogeneous nucleation of the damage. The radiation damage by MeV Si+ ions in Ge has been investigated by Wang et al. [16]. The radiation temperature was 300 K and energies of 1, 1.5, and 2 MeV were employed under different angles. Annealing was performed at 800◦ C for 30 min under N2 atmosphere. Complete amorphization was observed in this case for doses in the range 2× 1014 to 5 × 1014 ions cm−2 [16]. The peak position of the damage was found to move closer to the surface for increasing irradiation angle. In the near surface region, the experimental damage was found higher than the one calculated from TRIM. Finally, implantation damage in Ge has also been studied by optical absorption, showing a good correlation with ion-channeling (RBS) studies [17].

5.3 Heavy Ion Induced Void Formation In the early eighties, it became clear that high-dose (>1015 cm−2 ) heavy-ion implantations in Ge lead to a new type of surface morphology, beyond the amorphous phase [18–23]. It is characterized by the creation of large pores or voids in the structure, which are several 100 nm deep and can hardly be annealed. It has been shown to have no surface effect and is accompanied by a blackening of the surface, in contrast to the milky appearance for an amorphous Ge layer. According to Fig. 5.13 [22], this morphological instability of the amorphous layer is characterized by a marked back-scattering yield deficit near the surface and a loss of dopants (implanted ions) [22]. Microdiffraction patterns obtained by TEM clearly show that the cratered layer is amorphous, consisting of regularly spaced columnar voids [22]. At the same time, it was shown that C and O impurities were incorporated in the near surface layer (Fig. 5.14 [20]). As a result, the surface obtains a black appearance, due to the surface morphology. Figure 5.15 shows some crosssection electron micrographs of {111} Ge single crystals implanted at room

5.3 Heavy Ion Induced Void Formation

255

Fig. 5.13. Ion scattering/channeling analysis of Ge(111) implanted at 77 and 300 K (after [22])

16

O Concentration (x1022 cm-3)

1.25

1

0.75 Implanted, RT

0.5 Implanted, LN2 0.25 Virgin

0 -0.02

0

0.02

0.04

0.06

0.08

0.1

0.12

0.14

0.16

Depth (mm) Fig. 5.14. Profile of oxygen determined by 16 O(∞, α)16 O nuclear scattering resonance in a virgin crystal and a crystal implanted with 209 Bi (280 keV, 4.0 × 1015 cm−2 ) ions at RT and LN2 (after [20])

256

5 Process-Induced Defects in Germanium

Fig. 5.15. Cross-section electron micrographs of {111} Ge single crystals implanted at room temperature with 120-keV 115 In ions with a total dose of (a) 1 × 1015 , (c) 2×1015 , and (d) 5 × 1015 In cm−2 ; (b) electron microdiffraction pattern from sample in part (a) of the figure (after [20])

temperature with 120-keV 115 In ions for different doses [20]. A honeycomb type of structure is formed at sufficiently high dose (Fig. 5.15d), with craters and columnar fingers, which is able to adsorb from the air anomalous amounts of C and O, due to the large effective surface area. These oxygen and carbon distribution is responsible for the yield loss in Fig. 5.13. The inhibition of damage annealing is related to the O and C impurities that remain trapped. It was also observed that the surface preparation did not have an effect on the appearance of the cellular structure [18]. It has also been shown that the surface cratering and void formation is absent for low-temperature implantations up to a fluence of 1017 cm−2 [20]. This hints to the basic mechanism, namely, the ability of vacancies to move and cluster together. On the other hand, for high temperature implantations, the crater formation is enhanced, up to a temperature of ∼350◦ C, which is the a- to c-transition temperature in Ge. Above 325◦C, dynamic annealing of

5.4 Damage Annealing and Solid Phase Epitaxial Regrowth

257

the cascade damage occurs and the amorphous phase never forms; therefore, no craters are created. The fact that craters are formed for ions heavier than Ge+ indicates a critical defect production rate for crater formation, that is, to nucleate the instability of the a-Ge phase. Once craters are formed, the damaged layer (ions) can penetrate much deeper in the material, beyond the EOR. The loss of dopants occurring when craters are formed is 2–15 times higher than that calculated from sputtering from a normal Ge surface. It is believed to be related to dopant transport to the surface of the craters during irradiation, followed by evaporation from the surface [22]. It can also be noted in Fig. 5.15 that for a 120 keV 1015 In cm−2 implantation at room temperature the surface layer is amorphized with a rough a/c interface (undulations of 5 nm) containing a band of dislocations [20]. Later studies revealed that this damage regime is not only characterized by the formation of craters and voids but at the same time a swelling of the material occurs [24–27]. The sponge-like structure is characterized by a volume and surface, which has increased by at least two decades [24]. It was moreover demonstrated that by MeV heavy ion irradiation a buried void layer could be formed, with the largest voids at the bottom of the porous region [26]. It was concluded that a critical cascade density is necessary to initiate the morphological instability of amorphous Ge. The effect has so far only been observed for ions heavier than Ga [26]. While the cratering effect was originally found for Ge, it was later also demonstrated that porous structures could be formed in Si and GaAs by high dose ion implantation [28]. Another issue related to high-dose ion implantations in Ge is the increased surface roughness, represented in Fig. 5.16 [29], which becomes higher for heavier ions. This is of concern when adopting ion implantation to the fabrication of deep submicron CMOS technologies, where surface flatness is of extreme importance from a lithographic viewpoint. In the case of As implantation, it has been shown that the surface roughness is reduced by using a 10 nm deposited SiO2 cap layer [29].

5.4 Damage Annealing and Solid Phase Epitaxial Regrowth As discussed in the foregoing paragraphs, one can distinguish different damage regimes in Ge. For light ions and/or low doses, only point defects (PDs) or small PD clusters are formed, which can fairly easily be removed by annealing (see next paragraph). However, when the lattice has been completely amorphized, recrystallization has to occur. This happens in Ge typically in the 300–400◦ C range, with activation energy of 2.0 eV and a rate of 10 nm min−1 in Ge (350◦ C) [30–32]. This activation energy corresponds with the formation energy of a vacancy in Ge. As shown in Fig. 5.17, the regrowth rate is a

258

5 Process-Induced Defects in Germanium

Fig. 5.16. Root mean square surface roughness values determined with AFM after high dose implants in Ge and SiO2 capped Ge substrates (after [29])

Regrowth Rate (0.1 nm/min)

100 Epitaxial regrowth amorphous Ge on crystalline Ge

10

1 1.5

1.6 3

1.7

1.8

-1

10 / Temperature (K )

Fig. 5.17. Regrowth rate vs. reciprocal temperature for amorphous layers on , , and Ge (after [30])

strong function of the crystal orientation, with the fastest recovery for and a 17 times slower rate for [30]. The difference of regrowth rate in different orientations is related to the different number of sites for regrowth. The activation energy is, however, independent on the crystal orientation. Oxygen contamination in the annealing ambient influences strongly the crystallization temperature and kinetics. It was furthermore observed that the

5.4 Damage Annealing and Solid Phase Epitaxial Regrowth

259

Regrowth Thickness (nm)

400 Si implanted Ge

300

200 Ge implanted Ge

100 330oC 0 0

30

60

90

120

150

180

Time (min) Fig. 5.18. Regrowth vs. time for amorphous layers on Ge created by implantation of either 28 Si (solid line) or 74 Ge (dashed line) (after [30])

isothermal regrowth curves are nonlinear with time (Fig. 5.18) [30], whereby the deviations from the linear regrowth rate start at shorter times for the shallower amorphized layer (Ge ions have a shorter range than the lighter Si ions). It has been speculated that the origin of the nonlinearity is the inclusion of oxygen in the crystal [30]. To gain further insight in what governs the activation energy for solidphase epitaxial regrowth, namely, the formation and/or migration energy of a germanium vacancy, the activation energy has been studied as a function of the alloy composition in Si1−x Gex [32, 33]. Surprisingly, no monotonous reduction from the value for Si (2.68 eV) to the one for Ge (2.02 eV) was observed [32], but according to the data of Fig. 5.19, an increase is found for Ge concentrations less than 0.4. It was concluded that the SPE rate is limited by the migration of dangling bonds rather than by their formation rate [33]. It was also proposed that the activation energy in the Si-rich alloys is anomalous and does not represent the true activation energy for SPE [33]. Usually, there is a high dose of dopants (n- or p-type) in the amorphized layer. These enhance strongly the epitaxial regrowth rate. On the other hand, when equal amounts of compensating impurities are present no such enhancement is found [31]. For 1020 cm−3 of 11 B, the regrowth rate at 300–315◦C is enhanced by a factor 2.5 and even more for higher B-concentrations [31]. This is demonstrated in Fig. 5.20. The impact of 1020 cm−3 As is smaller (1.5x), as shown in Fig. 5.21, while a further enhancement of the As concentration stops the regrowth. Ultimately, the solubility limit of the dopant impurity will retard the regrowth rate – for As this is at 0.5 at% [31]. It is speculated that

260

5 Process-Induced Defects in Germanium

3.2

Activation Energy (eV)

Ref. 33 2.8

2.4

Ref. 32 2

0

0.2

0.4

0.6

0.8

1

Ge Concentration Fig. 5.19. Activation energy of solid-phase epitaxial regrowth vs. Ge concentration for amorphized Si1−x Gex epitaxial layers

Amorphous Layer Thickness (nm)

0 1.0 Concentration (1020 cm-3)

0.5 100

200

325ºC 300 Implanted

400

11

B

500

300ºC

600

original a/c interface 700

0

2

4

6

8

10

12

14

16

Anneal Time (hr)

Fig. 5.20. Amorphous layer thickness vs. annealing time at 300 and 325◦ C for Ge implanted with 11 B and preamorphized with 28 Si (filled diamonds, filled squares). The calculated impurity profile is superimposed on the data. The regrowth characteristics of preamorphized undoped samples are shown as a reference (dashed line) (after [31])

5.4 Damage Annealing and Solid Phase Epitaxial Regrowth 0 Amorphous Layer Thickness (nm)

0.5

1.0

20

Concentration (10

261

-3

cm )

100 325ºC

200 300

Implanted

75

As

400 300ºC

500 600 original a/c interface

700 0

2

4

6 8 10 Anneal Time (hr)

12

14

16

Fig. 5.21. Amorphous layer thickness vs. annealing time at 300 and 325◦ C for Ge implanted with 75 As and preamorphized with 28 Si (filled diamonds, filled squares). The calculated impurity profile is superimposed on the data. The regrowth characteristics of preamorphized undoped samples are shown as a reference (dashed line) (after [31])

the retardation of the regrowth is associated with the precipitation of impurity clusters. The impact of doping on the regrowth rate was explained by a Fermi level effect, which lowers the formation energy for vacancies [31]. The lowering is expected to amount to 0.045 eV for n-type dopants and 0.020 eV for p-type dopants in Ge. This corresponds to the position of the donor or acceptor type vacancies with respect to the valence or conduction band, respectively [31]. Recently, SPER of P-implanted preamorphized p-type Ge has been studied, since P is a good candidate dopant for the formation of highly-doped source/drain junctions in Ge CMOS. In addition, rapid thermal annealing (RTA) has been employed instead of standard furnace annealing. According to the RBS data of Fig. 5.22, the initial regrowth rate after 190 keV Ge preamorphization and RTA at 350◦ C is in the range of 20 nm min−1 and decreases with increase in annealing time. This is qualitatively similar to the results for intrinsic material of Fig. 5.18 for furnace annealing at 330◦C. At the same time, the initial regrowth velocity in P-doped material is 3 to 8 times faster, depending on the doping density. This is illustrated in Fig. 5.22 for the case of 100 keV 1.5 × 1015 P cm−2 , showing also the as-implanted chemical P profile, determined by SIMS. However, for very high P concentrations, SPER is retarded compared with intrinsic Ge, as indicated in Fig. 5.23. The basic regrowth is thought to happen in a layer-by-layer fashion, starting from the original a/c interface at a temperature well below the melting temperature [3]. Homogeneous nucleation should be suppressed as this gives

5.4 Damage Annealing and Solid Phase Epitaxial Regrowth

263

rise to polycrystallization. The unique activation energy of ∼2.1 eV [3] can be interpreted as the sum of the energy required for bond breaking at the a/c interface and the increased energy for bond distortion. The orientation dependence stems from the fact that the rearrangement of bonds occurs in directions [3]. More fundamental studies on the recrystallization of a-Ge can be found in [34–41]. One aspect that has only recently received some attention is the formation of regrowth related extended defects [42]. For the case of 1 MeV, Si+ implantation to a dose of 1015 at cm−2 , a buried amorphous layer forms in Ge between 0.1 and 1.2 μm from the surface. Obviously, it is easier to amorphize Ge than Si, which is consistent with the lower melting point. The corresponding threshold damage density is in the range 3.7–4.2 × 1020 keV cm−3 . The EOR damage following 10 min annealing between 350 and 850◦ C in N2 was studied by TEM [42] and is found beyond the original a/c interface. At 450◦C, the layer is completely recrystallized, exhibiting besides the EOR damage also regrowth related defects and clamshell defects in the implanted layer. The latter form when the two advancing crystalline growth planes meet and are approximately parallel to the surface [42]. In Ge, they appear to be a mixture of 60◦ and 90◦ dislocations. The EOR defects are dislocation loops, while no {311} defects are found. From this it was concluded that in Ge, the clustering of self-interstitial defects into dislocation loops skips the intermediate {311} step, which is well-known for silicon. At 550◦C, the density of the regrowth defects reduces, from which it is concluded that they are relatively unstable in Ge. At 650◦ C, the EOR and clamshell defects have disappeared, leaving only the regrowth related defects in the implanted layer. The latter are the result of imperfect SPER. These defects are known to nucleate at either a rough interface or when the solid phase epitaxial regrowth process proceeds around an embedded crystalline region [42]. They appear to be the most stable defects: some are still visible after a 750◦ C annealing, while their density is below 108 cm−2 at 850◦ C. They turn out to be perfect b = (a/2) dislocations. On the other hand, the EOR defects dissolve between 450 and 550◦ C, while the clamshell defects anneal between 550 and 650◦ C [42]. The decrease of the EOR defect density and stability in Ge as compared to Si may be the result of fewer interstitials in the EOR available to form extended defects. This is a consequence of the easier amorphization of Ge: more of the total damage profile is within the amorphous range for Ge, leaving less interstitials in the EOR region available for defect formation [42]. As already discussed in Chap. 4, the presence of a metal film, which forms an eutectic alloy with germanium can significantly lower the recrystallization temperature [43–47], as shown in Fig. 5.24 [43]. Heavy ion implantations in Ge not only cause phase transformations in a-Ge [48] and the creation of voids (see above), it can also induce an unusual recrystallization or “ordering” of amorphous material [27,49,50]. The original idea is that the displacement of a high density cascade is responsible for

264

5 Process-Induced Defects in Germanium

( ) denotes the group of the element

Sb(IV)

300 Germanium Film (oC)

Crystallization Temperature of

400

B

Ag(I)

Pb(IV)

200

A

Bi(V)

Cu(I)

Al(III) Cd(III)

100 Sn(IV)

Au(I)

Zn(II)

0 0

100

200 300 400 500 Eutectic Temperature of Ge-Metal

600

700

Combination (oC)

Fig. 5.24. The relationship between the crystallization temperatures and the eutectic temperatures. Curve A: for metal combinations of groups I–III. Curve B: for metal combinations of groups IV–V (after [43])

the recrystallization of a metastable form of a-Ge (up to ∼400◦C) [49]. This happens via the classical nucleation and growth processes. Crystallized regions in the amorphous matrix were, for example, observed after medium energy (40–120 keV) Xe− implantations [49]. The average size of the recrystallized regions increases with ion energy. There exist, however, both a high and a low energy cut-off for the formation of crystallized regions. The reason for the highenergy cut-off is that a larger part of the ion energy goes into ionizing damage. The low-energy threshold comes from insufficient agitation of the lattice. It was also shown that the most probable size of the crystalline regions agrees with the range of the Xe− ions in Ge. This means that the size is similar as the volume of the displacement spike region [49]. It should be noted that this is a highly nonequilibrium annealing taking place within a few picoseconds, compared with the few minutes at 400◦ C it takes for normal recrystallization of a-Ge. The mechanism of ion-beam induced recrystallization by MeV beams is believed to be different [27, 50]. It is considered that the large fraction of the heavy ion energy that goes into ionizing damage is eventually transformed into heat. This gives rise to a local melting of the matrix along the ion track followed by recrystallization. It was also suggested to use this potential (high electronic stopping power) to anneal the implantation damage of previous low-energy ion implantation by MeV beams [50].

5.5 Implantation Damage and Removal by Standard Dopant Ions

265

5.5 Implantation Damage and Removal by Standard Dopant Ions In this paragraph, a short overview of available literature regarding implantation damage and annealing for the standard Group III and V dopants will be given. Initial studies focused mainly on the lattice location and damage annealing, relying on RBS measurements. The investigation of the lattice site can be performed in Ge for heavier mass implanted ions (In, Sb, etc.), giving back-scattered ions with higher energy than the energy edge corresponding with the Ge surface. Next, the implantation damage for the most relevant dopants, like, B, P, and As, will be described and some conclusions drawn regarding the practical implementation for shallow junction formation. 5.5.1 Lattice Site and Damage of Implanted Species in Ge The late sixties and early seventies saw the dawning of ion implantation as a doping technique in microelectronics. At the same time, RBS was being developed as a surface analysis technique for the study of damage in implanted Ge [51–58]. In channeling studies of implantation damage, the 1–2 MeV He or H probe ions are sensitive to the damage clusters around the track of an ion. RBS can be utilized to calculate the number of displaced atoms per incoming ion. In combination with thermal annealing, the temperature to reorder both the isolated clusters or a completely amorphized region can be determined [52]. Usually, the channeled RBS spectrum after implantation is compared with the random-orientation channeling spectrum of an unimplanted references wafer, like in Fig. 5.25 [52]. The sharp edge in the random spectrum corresponds with backscattering of He ions by surface atoms, with the highest back-scattered energy. This is followed by a smooth increasing edge at lower energies, corresponding to scattering from atoms at greater depths in the crystal. On the other hand, when the incoming He beam is aligned with a crystallographic direction, the back-scattering yield drops significantly. The basic interaction mechanism is thought as follows: the incoming charged particle moving within a critical angle of an axis or plane is steered by a series of gentle collisions and does not approach closer to the lattice atoms than the Thomas– Fermi screening distance (0.01–0.02 nm) [52]. The peak in the channeled spectrum comes from back-scattering in the surface oxide layer [52]. In the aligned case, the RB-spectrum is sensitive to disorder (=number of displaced atoms, more than 0.02 nm from their regular lattice site). This number can be calculated from the area under the surface peak. Whenever the depth of the amorphized region exceeds the depth resolution of the back-scattered particle detector, the aligned spectrum will coincide with the random spectrum. Small concentrations of implanted atoms can also be detected provided that these atoms are significantly heavier than the lattice atoms. In this case, the energy of the back-scattered He atom will be higher than that from a lattice atom [55]. The area of an implantation peak relative to the random lattice

266

5 Process-Induced Defects in Germanium

Fig. 5.25. Aligned and random backscattering spectra for Ge implanted at room temperature with various doses of 40-keV In ions. All random spectra coincide within the statistical counting errors. The analyzing beam was 1 MeV He+ (after [52])

spectrum provides an accurate value of the implanted dose. The implantation peak in random, aligned direction gives an idea of the position of the implanted atoms: 100x(Nra −Nal )/Nra can be used as a measure for the fraction on lattice or nonlattice sites. By comparing different channeling directions enables one to identify the number of atoms in interstitial or substitutional positions. For example, does not contain the interstitial spaces, while does (Fig. 5.26). The cross section of the aligned spectra for the two directions contains the percentage of substitutional atoms [52]. As can be derived from Fig. 5.25, the aligned surface peak first increases proportionally with the 40 keV In dose and saturates at 3 × 1013 cm−2 , corresponding with complete amorphization. For this dose and beyond, the surface peak equals the random yield. It has been derived that about 3,000 Ge atoms per 40 keV In atom have been displaced [52]. Subsequent annealing studies reveal that the reordering of the amorphous region is initiated at the a/c interface [52]. It was found to anneal out at 380◦C (10 min) (Fig. 5.27) [52]. For implantations below the saturation dose (3×1013

5.5 Implantation Damage and Removal by Standard Dopant Ions

267



Fig. 5.26. Atomic positions in the {110} plane for a diamond type lattice: close circles, regular lattice sites; open circles, the interstitial holes along the lattice row, characteristic of the diamond-type lattice (after [52])

Fig. 5.27. Left-hand side: lattice disorder vs. annealing temperature for Sb, As, and Ga implantations in Si at room temperature. The doses were circles, 1.1 × 1013 Sb ions cm−2 ; open circles within filled squares, 3 × 1014 Sb ions cm−2 ; filled circles, 2.6 × 1015 ions cm−2 ; open squares, 4 × 1014 Ga ions cm−2 ; open triangles, 2.5 × 1015 As ions cm−2 . Right-hand side: lattice disorder vs. anneal temperature for In implantations into Ge at room temperature: filled squares, 6.3 × 1012 ions cm−2 (i.e., below “saturation”); filled inverted triangles, 2.3 × 1013 ions cm−2 ; and, filled triangles, 6.2 × 1014 ions cm−2 (above “saturation”) (after [52])

268

5 Process-Induced Defects in Germanium

40 keV In cm−2 ), it was observed that annealing of the crystalline damage (isolated clusters) occurs at much lower temperatures, that is, ∼180◦ C in Ge (Fig. 5.27). For intermediate dose ranges, annealing (as monitored by RBS) occurs at intermediate temperatures of 260◦ C. In that case, the anneal temperature will be dose dependent [52]. A correlation was also observed between the anneal behavior of high-dose implantations and the solid solubility of the dopants [56]. Regarding the as-implanted position of Group III and V dopants in Ge, it was observed that slow diffusors have a larger substitutional fraction [51]. For example, 40 keV In implanted at 400◦ C to a dose of 1014 –1015 ions cm−2 in Ge was found in equal concentrations in and channeling directions. In was, therefore, considered to reside on lattice sites after 400◦ C implantation. This is not the case for Sb in Ge, which was probably precipitated [51]. Precipitation of Sb seems to start at 450◦ C after room temperature or 350◦C implantation [54]. The presence of n-type dopants has an influence on the lattice position of as-implanted p-type elements [55]. The interstitial fraction of Group III dopants can be suppressed by mixed implantation with As or Sb [56]. 5.5.2 Boron Implantation in Ge As can be derived from Fig. 5.28, 56 keV B ion implantation in the dose range 1014 –1016 B cm−2 leads to approximately 10 times more disorder in Ge than in Si [58]. It was estimated that ∼10 displaced atoms were produced per kiloelectron volt energy by elastic nuclear collisions at room temperature and ∼100 at −90◦ C [58]. The damage build-up is extremely sensitive in the interval 25–40◦ C, whereby significant damage annealing takes place for room temperature B implantations in Ge [58]. It was found that the RBS peak reaches the random level for a B-dose of ∼8 × 1014 cm−2 . The lattice damage by B implantations in Ge anneals at low temperatures, in the range 150–170◦ C as demonstrated in Fig. 5.29 [59]. From that figure, one can also derive that the damage of similar C-implantations, having approximately the same mass, anneals in two stages, namely at 150 and 300◦ C. There appears to be substantially more disorder in C- than in Bimplanted material [59]. At the same time, it was found that a 1015 cm−2 B implant leads to a 20% activation (1019 holes cm−3 ), assuming a hole −1 mobility of 200 cm2 V s−1 . The measured fraction of displaced atoms is represented in Fig. 5.30 vs. the dose rate (ion current density) [59]. It is four orders of magnitude smaller than the calculated number of displaced atoms, derived from   0.8εap (x) f (x) = 1 − exp , (5.6) 2Edis na with na the atomic density per unit volume and εap (x) the energy per unit volume at a depth x, for an energy of 60 keV and a dose of 1015 ions cm−2 .

5.5 Implantation Damage and Removal by Standard Dopant Ions

269

100

Ge(B)

Disorder (a.u.)

Ge(In)

Si(B) 10

1 0.01

0.1

1

10

100

1000

Implantation Dose (1014 ions/cm2) Fig. 5.28. The dose dependence of the amount of disorder produced by 56 keV boron ion bombardment of germanium at room temperature (open circles). The corresponding behavior in silicon is also shown. For comparison, previous data for 40 keV indium implantations in germanium at room temperature are also given (filled triangles). The different curves have been plotted in such a way that the largest amount of disorder in each case has been normalized to 100 units (after [58])

It implies that during the implantation a significant damage annealing takes place, which according to Fig. 5.30 is higher for B than for C (less retained damage). It was concluded that the lower annealing stage centered at 150◦ C corresponds to the annealing of the point defect clusters [59] – probably the divacancies. The higher stage at approximately 0.5 Tm corresponds to the removal of amorphous zones. The lower retained damage in the B-implanted Ge compared with C suggests a doping effect on the dynamic damage annealing. A possible explanation is that during p-type implantation, the implanted zone becomes more p-type – the Fermi level shifts closer to the valence band and the vacancies become more negatively charged. This leads to less stable (more mobile) vacancies, which are more easily removed from the implanted layer, compared with n-type Ge [59]. It has also been observed for low-dose (5 × 1011 cm−2 ) 100 keV B implants in Ge that three times more as-implanted acceptors are detected than there were implanted B atoms [60]. This points to the acceptor nature of the implantation-induced point-defects and PD clusters. Annealing at 350◦C

270

5 Process-Induced Defects in Germanium

Atoms Displaced (1017cm-2)

4

3

2

1

0 0

200

400

Anneal Temperature (0C)

Fig. 5.29. The lattice disorder observed by proton channeling along the direction for n-germanium implanted at room temperature with boron and carbon −2 ions (60 keV, 1015 ions cm ), as a function of isochronal thermal annealing (30 min at each temperature), filled squares, 12 C+ at 0.13 μA cm−2 into 10 Ω cm; open diamonds, 11 B+ at 0.16 μA cm−2 into 2.5 Ω cm; closed triangles, 11 B+ at 0.044 μA cm−2 into 10 Ω cm (after [59])

Atoms Displaced (1017cm-2)

4

12

3

C+

2 11 +

B

1

0 0

0.1

0.2

0.3

Ion Current Density (μA/cm2)

Fig. 5.30. The effect of the boron and carbon implant dose rate on the lattice disorder concentration before heat treatment. (11 B+ and 12 C+ irradiations, −2 60 keV, 1015 ions cm into n-Ge at 20◦ C) (after [59])

5.5 Implantation Damage and Removal by Standard Dopant Ions

271

Net Acceptor Concentration (cm-3)

10

1

11

-2

100 keV implant 5x10 cm 30 minutes anneal

0.1

+ BF2 11 +

B C

12 +

0.01 4

3.5

3

2.5 3

2

1.5

1

0.5

-1

10 /Temperature (K )

Fig. 5.31. Net acceptor concentration as a function of inverse annealing temperature for 100 keV implants at 5 × 1011 cm−2 (after [60])

reduces this to 8 × 1011 cm−2 acceptors [60]. Moreover, comparing BF2 with B implants shows that the former are more damaging. This follows from the higher as-implanted net acceptor concentration, shown in Fig. 5.31 [60]. From that figure, one can also derive that annealing at 225◦ C gives rise to a peak in the net acceptor concentration – in other words, the active implantationinduced defects show a maximum concentration there. It was furthermore observed that annealing at >250◦ C for 30 min dissociates the defects and at 350◦ C a complete recovery results. This follows among others from the fact that C-implanted n-type Ge, converted to p-type as-implanted, becomes ntype again. Finally, after a 550◦ C anneal full activation of the implanted B is achieved, while for a BF2 implant to the same dose of 5 × 1011 cm−2 , one finds 8 × 1011 cm−2 acceptors. This could point to the existence of a F-related acceptor defect [60]. Figure 5.32 demonstrates that as the 100 keV B implantation dose increases, the number of acceptors per incoming ion drops, implying less damage retained per incoming ion [60]. At a dose of 1013 cm−2 , the number of asimplanted acceptors amounts to 1 per ion [60]. It was concluded in [60] that the B was “active” as-implanted for the whole dose range studied (5 × 1011 –1014 ions cm−2 ). A 100 keV BF2 implant to a dose of 1014 cm−2 , on the other hand, introduces only implantation-induced acceptors when no

272

5 Process-Induced Defects in Germanium

Stable Acceptor Levels per incoming ion

10

11

B Implant

1

12

C Implant

0.1 0.1

1

10 12 -2

100

Implant Dose (10 cm ) Fig. 5.32. Net acceptor concentration as a function of implant dose for 100 keV implants into Ge prior to annealing (after [60])

Stable Acceptor Levels per incoming ion

10 12

C Implant, unannealed

150 keV 100 keV 1 50 keV

25 keV 0.1 0.1

1

Implant Dose (10

10 12

100 -2

cm )

Fig. 5.33. Damage concentration as a function of implant dose for several implant energies (after [60])

anneal is applied, about 0.5 per ion. Activation at 350◦C for 30 min is necessary to activate the boron atoms and remove the damage. The number of stable acceptor levels has been deduced for the C-implanted samples, as a function of dose and energy, as represented in Fig. 5.33 [60]. It is obvious that this number goes up with energy and lowers with increasing dose.

5.5 Implantation Damage and Removal by Standard Dopant Ions

273

It was concluded from the studies that as-implanted, all B atoms are on active sites [60] for all energies and doses, while the contribution of the damage becomes important at higher energies and lower doses. To identify the electrically active implantation defects, a spectroscopic study of the ion-implantation-induced deep acceptor states was performed by DLTS on Cimplanted n+ p junctions (P implant at 25 keV to a dose of 1014 31 P cm−2 in a p-type Ge substrate). The evolution of the spectra as-implanted and following isochronal annealing at different temperatures is depicted in Fig. 5.34 [60]. The activation energy and hole capture cross section for the different deep levels are summarized in Table 5.1. None of these defects are B-related. Hole traps H1, H2, and H3 are typical for ion implantation in p-type Ge, that is, they were also found after BF2 + , 14 N+ , 16 O+ , and 20 Ne+ implants [60]. Comparing B and C implant spectra in Fig. 5.34, it is clear that B implants lead to lower peak heights, that is, lower trap concentrations. The annealing behavior of the trap concentrations is represented in Fig. 5.35. Figure 5.35 nicely explains the peak in the net acceptor concentration observed in Fig. 5.31 around 225◦C. It is probably the activity of the dominant Table 5.1. Energy levels and cross sections for various peaks Label H1 H2 H3

Temperature (K)

Energy Level (eV)

Cross section (10−12 cm2 )

110 165 78

EV + 0.23 EV + 0.37 EV + 0.17

2.24 5.23 3.55

Fig. 5.34. DLTS spectra of 190 keV boron and carbon implants in Ge (after [60])

274

5 Process-Induced Defects in Germanium 18 16 EV + 0.23 H1 EV + 0.37 H2

14

EV + 0.31 H3

DC/C x 10-3

12 10 8 6

H2

4

H3 H1

2 0 0

50

100

150

200

250

300

350

o

Anneal Temperature ( C) Fig. 5.35. Relative defect concentration as a function of 30 min annealing temperature (after [60])

H1 and H2 acceptors that produces the increase in hole density. The annealing of the damage at 300◦C coincides with the disappearance of the implantationinduced deep hole traps H1 to H3. It is believed that they correspond with V–O and V2 (H3?) levels in Ge. The latter anneals around 150–200◦ C in Ge [60]. To model as-implanted SIMS profiles for B and P in Ge, the moments for a Pearson distribution description have been accurately determined [61]. An additional tail function is needed to account for B+ channeling in crystalline Ge [62]. It is clear that boron is already activated after a 11 B+ implantation at room temperature without any additional anneal. According to some studies, this amounts to 100% for doses up to 1014 cm−2 (below the amorphization threshold) [60]. For even higher doses (6 × 1016 cm−2 30 keV B) acceptor densities two decades higher than the boron solid solubility were observed asimplanted [63], showing the strong impact of the radiation-induced acceptors. It should be reminded that the amorphization threshold dose Da at 30 keV is ∼9 × 1014 11 B cm−2 . It can be noted in Fig. 5.36 that the as-implanted sheet resistivity is constant for the dose range 6 × 1014 –6 × 1015 cm−2 , corresponding to a highly resistive amorphous layer [63]. The subsequent increase with increasing dose is due to the creation of a broad (∼120 nm) well-conducting

5.5 Implantation Damage and Removal by Standard Dopant Ions

275

Fig. 5.36. Dependence of effective values of surface acceptor concentration (filled circles), Hall mobility of holes (filled squares), and sheet conductivity (open circles) in the inversion layer of n-germanium vs. implantation dose (30 keV boron ions) (after [63])

layer in a damaged region between a high-resistive amorphous layer and the crystalline substrate. The conductivity of this layer is determined by two types of acceptors: active boron and radiation-induced acceptors. It was also observed that for Ga implantations only radiation-induced acceptors determine the conductivity of the implanted layer. So, the activation of B during 300 K implantation seems to be a unique feature for this p-type dopant in Ge [63]. Complete restoration of the implanted layer was only found after a 600◦ C 30 min vacuum anneal for a dose of 6 × 1016 cm−2 . For lower doses, complete recrystallization was observed at T = 400◦C [63]. Meanwhile, it has become clear that using RTA in combination with a high-dose B implantation and preamorphization, activation levels well above the equilibrium solid solubility can be achieved, as shown in Fig. 5.37 [64,65]. Maximum levels up to 4.7 × 1020 at cm−3 have been reported [66], which are quite stable against further annealing [64]. This makes B a suitable candidate dopant for the formation of highly p-type junctions in Ge CMOS. On the other hand, implantation of B in c-Ge leads to a significantly lower level of activation and the formation of small defects, which are already present asimplanted (Fig. 5.38) [64]. It is speculated that this is some form of B-related precipitates. Note that, on the other hand, no EOR damage is discerned in preamorphized Ge, after annealing at 400◦C (Fig. 5.38d) in agreement with the observations in [42]. The role of an implantation cap on the activation of the dopants has been highlighted in a recent study [66]. It was observed that the activation

276

5 Process-Induced Defects in Germanium

Fig. 5.37. Chemical profiles of 6 keV 3 × 1015 B cm−2 , as-implanted, and annealed at 400 and 600◦ C for 60 s, in crystalline Ge (a) and in Ge preamorphized by a Ge implant of 100 keV energy to a dose of 1 × 1015 at cm−2 (b). The EOR of the Ge amorphizing implant is located at 100 nm depth into the germanium (after [64])

Fig. 5.38. TEM cross-sectional micrographs of 6 keV 3 × 1015 B cm−2 boronimplanted crystalline germanium, as-implanted (a) and after annealing at 400◦ C, 60 s (b); as-implanted preamorphized Ge (c); and after annealing at 400◦ C for 60 s (d). The dashed line in (d) indicates the original a/c interface (after [64])

5.5 Implantation Damage and Removal by Standard Dopant Ions

277

percentage was always smaller in an uncapped implantation, both for B and As. This appears to be particularly true for shallow implantations [67]. There are a few examples reported in the literature where B implants are used for Ge sensor applications, yielding good performance [68, 69]. 5.5.3 Al Implantation in Ge At 10 keV, a dose of 1.5 × 1015 Al cm−2 is sufficient to amorphize Ge [70]. Lattice reordering occurs for anneals in the range 300–400◦C, while activation of Al occurs at 500–600◦ C. This can be derived from Fig. 5.39, showing a peak carrier concentration at 600◦ C. However, for a 20 min 600◦ C furnace anneal, only 10% of the implanted Al atoms become active. This could result in the formation of interstitial Ali donors. However, little effect is seen on the hole mobility so that it is more likely that the inactive Al precipitates [69]. It

Fig. 5.39. Temperature dependence of effective surface concentration and effective mobility. Anneal time at each temperature was 20 min (after [70])

278

5 Process-Induced Defects in Germanium

should be remarked in this respect that the maximum solid solubility of Al is quoted to be 4 × 1020 cm−3 [70]. 5.5.4 P and As Implantation in Ge The defect formation and annealing of 20 keV P and 30 keV As room temperature implantations have been studied in detail by Benourhazi and Ponpon [71], combining ellipsometry and RBS. The investigated dose range was 1013 –1015 cm−2 . Amorphization occurred at ∼1014 P cm−2 and at ∼5 × 1013 As cm−2 . This can be derived from Fig. 5.40, representing the density of displaced atoms vs. dose. Leveling off occurs at the amorphization threshold Da . For P doses below Da , defect annealing takes place in the range 200–300◦C (30 min, vacuum), as can be observed from the RBS spectra in Fig. 5.41 [71]. For 1014 P cm−2 , reordering occurs between 300–350◦ C. Below 300◦C, one only observes a reduction of the thickness of the amorphous layer. The sharp temperature threshold for complete defect removal is thus dose dependent and increases with dose, as represented in Fig. 5.42 [71]. From the sheet resistance data of Fig. 5.43, it can be derived that full implantation-defect removal happens above 450◦ C (removal of the compensating implantation-induced acceptors) and this for both As and P.

Density Displaced Ge Atoms (cm-3)

5 4.5 As 30 keV P 20 keV

4 3.5 3 2.5 2 1.5 1 0.5 0 1

10

Implanted Dose (10

100 12

1000 2

ions/cm )

Fig. 5.40. Evolution of the density of displaced germanium atoms as a function of phosphorous and arsenic implanted dose (after [71])

5.5 Implantation Damage and Removal by Standard Dopant Ions

279

Fig. 5.41. Channeling spectra recorded during annealing of a sample implanted with 1014 cm−2 20 keV P+ ions. As-implanted (1); annealing temperature: 300◦ C (2), 320◦ C (3), 350◦ C (4), 450◦ C is identical to the starting material (virgin). (after [71])

Temperature Threshold (oC)

500

400

300

200

Phospor 20 keV k = 1.1

100

0 1

10

100

1000

Implant Dose (1013 ions/cm2) Fig. 5.42. Evolution with the implanted dose of the annealing temperature threshold (after [71])

280

5 Process-Induced Defects in Germanium 400 360

5x10

13

Sheet Resistance (Ohm/square)

280

-2

-2

cm

5x10

14

cm

1x10

15

1x10 320

cm

14

-2

-2

cm

240 200 160 120 80 40 0 0

50

100

150

200

250

300

350

400

450

500

Annealing Temperature (oC)

Fig. 5.43. Change of the sheet resistance as a function of dose and annealing temperature for phosphorous implanted Ge (after [71])

The sheet resistivity of Fig. 5.43 is dominated by acceptors for T < 200◦C [71]. This number is higher than 1 per implanted ion, as a lower ρsh is observed after a low temperature anneal compared with the 400–500◦ C anneals. In the range 200–350◦C, a gradual activation of the P donors takes place, giving rise to an increase of the ρsh . For a higher implantation dose, the compensation by donor activation starts at lower temperature, leading to a lower ρshmax and peak temperature in Fig. 5.43 [71]. Typically, an anneal temperature of 400–450◦ C is needed to produce p- to n-type conversion. This temperature is a bit lower for low implantation dose but the final ρsh is higher in Fig. 5.43. This is different with the case of P implantation in silicon, where implantation changes the resistivity but no type reversal occurs. It appears also that the implantation damage in n-type Ge is more stable than in ptype Ge, that is, it is more difficult to remove. This is also in line with the observed activation behavior of B-implanted Ge discussed earlier [59, 60], although this is not typical for all p-type dopants. Moreover, Ge orientation appears to be preferred, with respect to implantation damage removal compared with . At annealing above 500◦ C, out-diffusion of P starts to become important, leading to an increase in sheet resistance [71].

5.5 Implantation Damage and Removal by Standard Dopant Ions

a-Ge c-Ge (a)

281

282

5 Process-Induced Defects in Germanium 1000 14

p-type, 457 - 5.5x10

16

p-type, 466 - 3.2x10

15

p-type, 457 - 5.0x10

100

Leakage Current (nA)

15

p-type, 194 - 5.0x10

15

n-type, 201 - 7.6x10 10

1

0.1

0.01 1

10

100

1000

10000

Reverse Bias (V)

Fig. 5.45. I/V characteristic of several representative detectors are shown. Note the dramatic improvement where 1% HF treatment was used prior to implantation (detectors p-type 194 and n-type 201). Leakage current is the total leakage for each full size device (∼3 cm dia., 0.5–1.0 cm thick). N-type detector 201 makes use of a guard ring on the Schottky barrier face to eliminate surface effects (after [83])

5.5.5 {311} Interstitial Clusters in Ge While most of the electrically active point defects and PD clusters appear to be vacancy-related acceptors, evidence has been provided that interstitial defects (clusters) may also be formed upon irradiation/implantation or during a subsequent anneal [84, 85]. These so-called {311} or rod-like defects are better known in silicon and notorious for being the root cause of transient enhanced diffusion. They have also been studied in germanium, from which the following characteristics have been derived [84]. As shown in Fig. 5.46, the habit plane of the interstitial clusters is {113} (or {311}) [86], explaining the name. They are more easily nucleated in material compared with , suggesting a higher nucleation threshold energy in the latter case. The dislocations surrounding the fault are of edge character with a Burgers vector b along [87]. Annealing for 1 h at 320◦ C does not produce any change. The character of the loops changes completely after 1 h at 550◦ C, with an increase in their mean size and a reduction in their number [84]. It is important to mention that these defects can also be caused by electron beam irradiation during the TEM study, so that one has to be careful with the interpretation of the origin of the {113} defects.

5.6 Oxygen Implantation in Ge and Ion Beam Mixing

a = 0.565mm

283

[113]

Fig. 5.46. A cross-section of a {113} defect in Ge observed by high resolution electron microscopy (after [88])

It should be remarked that such {311} defects have recently also been reported after hydrogen implantation, used for the fabrication of GeOI substrates [88, 89].

5.6 Oxygen Implantation in Ge and Ion Beam Mixing The implantation of oxygen in Ge has been studied on a few occasions [90– 94]. The main motivation is to create a buried insulating layer, either for application in GeOI or for optical fiber applications. It can also be useful to change the optical properties (reflection and transmission) of the material [94]. It has, for example, been suggested that high-dose oxygen-implanted layers are promising material for efficiently absorbing photons in photodetectors and solar cell applications [94]. The targeted near zero reflectivity of n-type Ge was obtained by using 1.5 × 1018 O+ cm−2 at 45 keV and an implantation temperature of 400◦ C [95]. The same can be achieved by high-dose heavy mass implantations (Sb, etc.), resulting in the blackening effect reported earlier (Sect. 5.2). For the fabrication of a buried GeO2 layer, 180 keV 16 O was implanted at 2 × 1018 cm−2 [92, 93]. Only for the 250◦ C as-implanted sample, appreciable amounts of GeO2 were observed. On the other hand, successful GeO2 + and Ge3 N4 buried layers have been fabricated using 200 keV O+ 2 and N2 17 −2 implantations at a dose of 3 × 10 cm [91]. Annealing was performed at ∼500◦ C. It is well-known that when a bilayer metal–metal or metal–semiconductor system is being bombarded by inert gas ions, the formation of a mixed phase may result [95, 96], whereby the optimum ion energy targets to place the projected range at the interface between the two layers (or the deposited metal layer on a semiconductor substrate). Ion beam mixing of Al and Au on Ge has also been studied and was found to result in different reaction behavior: while Au/Ge mixes efficiently at room temperature irradiation, this is not the case for Al/Ge [95, 96]. It was observed that the mixing is more efficient

284

5 Process-Induced Defects in Germanium 30 Single Crystal Ge

Mixed Thickness (nm)

Amorphous Ge 20

10

0 0

2

4

6

8

10

12

14

Implanted Dose (x1014 Ge/cm2) Fig. 5.47. Comparison of mixing rates of Au on single crystal and amorphous Ge substrates mixed by 300 keV Ge+ bombardment (after [97])

(higher) in metal–Ge than in metal–metal systems [96]. This was explained by considering ballistic and radiation-enhanced diffusion effects. The question is what the main driving force is for the reaction: is it chemical or rather driven by defect interactions? The mixing rate in the Au/Ge system was found to increase proportionally with ion beam dose, as shown in Fig. 5.47 [96] and occurs faster in crystalline compared with amorphous Ge. The fact that Al/Ge and Au/Ge behave differently points out the role of chemical driving forces. In the case of Au/Ge, the driving force is the phase formation to a metastable AuGe phase at a fixed 50–50 composition. The linear dose rate of Fig. 5.47 demonstrates that the rate limiting step for the mixing is the interaction at the interface and not the transport of the atoms across the mixed layer [96]. For the Al/Ge case, the chemical or metallurgical preference is for phase separation during the ion implantation. It was finally observed that the crater formation, discussed earlier (Sect. 5.2), has also an impact on the mixing: while craters were hard to observe in the Au/Ge system, this was not the case for Al/Ge [96]. Data on the ion beam sputtering of Ge can be found in [87, 97–101]. One report has studied the reactive ion etching of Ge and SiGe surfaces [102]. Early observations of laser-induced damage on germanium surfaces can be found in [103–109]. More recently, interest has shifted to laser-induced crystallization of amorphous germanium [110–115].

5.7 Hydrogen-Related Extended Defects in Germanium

285

5.7 Hydrogen-Related Extended Defects in Germanium As mentioned in Chap. 4 (Sect. 4.5), hydrogen ion implantation is instrumental in the so-called smart-cut technique for the fabrication of germanium-oninsulator (GeOI) substrates. In this technique, a high dose in the range of a + few 1016 at cm−2 of H+ 2 (or H ) is implanted in a germanium donor wafer, which is subsequently bonded to a handle substrate, usually an oxidized silicon wafer (Fig. 4.48). Layer splitting is achieved by a low-temperature heat treatment, where the hydrogen is accumulated by the ion implantation damage, consisting of platelets and voids (cavities). These defects develop into microcracks due to the build up of the H2 pressure and eventually lead to a splitting of the layer. In case of an uncapped germanium substrate, explosion of the cracks and voids leads to the formation of blisters or even a complete peeling-off of the top layer for sufficiently high H+ 2 dose. While the responsible mechanisms have been fairly well established for the case of silicon, only few studies have focused so far on Ge [88,89,116–118]. Initial investigations dealt with the blistering behavior and the activation energy that could be derived from the time to blistering as a function of the annealing temperature [116, 117]. It was observed that there exists a relationship between this activation energy and the bond energy of the Group IV semiconductor material. For Ge, a value in the range 1.0–1.75 eV has been observed, which, according to Fig. 5.48 is only weakly dependent on the implantation dose at 200 keV [117]. At the same time, the damage and lattice distortion was 4

Activation Energy (eV)

3.5

3 6H-SiC(0001) 2.5

Si(100) Ge(100)

2

1.5

1 4

6

8

10

12

14

Dose (1016 H/cm2)

Fig. 5.48. Summary of the measured blistering activation energies for Si, SiC, and Ge as a function of dose (after [117])

286

5 Process-Induced Defects in Germanium 100 SiC-P SiC-D

Percent Random

80

Si-P Si-D Ge-P Ge-D

60

40

20

0 0

2

4

6

Dose

8

(1016

10

12

14

H/cm2)

Fig. 5.49. Summary of the peak channeling damage and dechanneling level as a function of implanted H dose (P = peak, D = dechanneled) (after [117])

studied by RBS, showing that the peak damage in Fig. 5.49 occurs at twice −2 the dose as for Si (∼1 × 1017 H+ at 100 keV). It is also evident that the 2 cm peak does not reach complete amorphization, while it is rather small compared with the total lattice distortion found by RBS [117]. This is thought to be related to the elastic deformation of the rather soft Ge lattice in response to the presence of hydrogen. The extended defects formed by hydrogen implantation have been studied by cross-sectional TEM [88,118,119], demonstrating the presence of (100) and {111} platelets, the latter deeper in the implanted zone. Also vertical (100) platelets have been found, perpendicular to the implanted surface [88]. Interstitial cluster type defects have been observed as well: {311} rod-like [118] or {113} ribbon-like defects. In general, the extended defects exhibit a distribution, which is summarized in Fig. 5.50 [119], with a defect free zone near the surface, containing defects too small to be observed by TEM. It has been noted that the implantation temperature plays a strong role in the final defect distribution, whereby cavities, bubbles, and microcracks develop at 150◦ C H+ implantation. This is related with the reduced damage and stress at this temperature and the higher mobility of hydrogen and the species associated with the defect formation [119]. The higher tendency for void formation at 150◦ C is most likely associated with the higher stability of H2 with respect to atomic hydrogen (and the Ge–H bond) in Ge at higher temperature, which

5.8 Conclusions

287

Fig. 5.50. Microstructure of a Ge(001) sample implanted with H at 15 keV, 5 × 1016 cm−2 at RT, dark-field condition (a) and 150◦ C, bright-field condition (b). The contrast was adapted on the different zones (after [119])

favors the formation of nanocavities by the accumulation of vacancies and H2 molecules [88]. The formation of platelets has also been found for Ge substrates subjected to a hydrogen plasma [120,121]. The latter is considered a potential cheap and low-energy/low-cost alternative to ion implantation, which can be developed for ultra-thin layer transfer.

5.8 Conclusions There is a good understanding of the basic damage mechanisms during ion implantation in Ge, at least for low energy density collision cascades. The exact mechanism during high dose implantations is still a matter of research and of potential interest for the implantation conditions used in shallow junction formation. Less investigations has been carried out on high-energy (MeV) ion implantations in Ge, compared with silicon, which could be useful for certain defect engineering applications (gettering, point defect engineering, buried layer formation). While there is a reasonable understanding of the damage removal during classical furnace annealing, the field of rapid thermal, spike, laser, and flash

288

5 Process-Induced Defects in Germanium

annealing is relatively unexplored. Given the current interest in shallow junctions for CMOS, research activities are on-going in several labs. Compared with silicon, the defect evolution during annealing is far less documented and understood. One normally expects an evolution from simple point defects and point defect clusters to larger, more stable aggregates with increasing annealing temperature. Also the evolution of the microscopic, electrically active as-implanted damage by DLTS, for example, has not been studied fully, particularly at the high doses used for junction formation. A related question is the electrical activity of the implantation defects and the connection with the junction leakage. Another issue appears to be the apparent absence of dislocation loops and interstitial defects at the a/c interface after recrystallization. Is this really the case and if so, why is it different than in silicon? Regarding the activation and deactivation of dopants, the role of cluster formation needs to be investigated. It is clear that for low-energy implants in silicon, boron-interstitial complexes (BICs) can play an important role in immobilizing the chemical doping profile and at the same time as a source of transient enhanced diffusion. Likewise, the clustering of n As atoms around a silicon vacancy, according to the reaction: nAs + V → Asn V (n = 2–4) is the source of interstitial injection (and TED) in silicon. What about Ge? Can the anomalous diffusion of n-type dopants and, in particular, P be understood along the same lines? These exciting studies have to be performed before Ge process integration can be fully optimized for deep submicron technologies.

References 1. D.A. Thompson, R.S. Walker, J.A. Davies, Rad. Eff. 32, 135 (1977) 2. D.Y.C. Lie, A. Vantomme, F. Eisen, T. Vreeland Jr., M.-A. Nicolet, T.K. Carns, Arbet-V. Engels, K.L. Wang, J. Appl. Phys. 74, 6039 (1993) 3. D.Y.C. Lie, J. Electron. Mater. 27, 377 (1998) 4. G. Foti, G. Vitali, J.A. Davies, Rad. Eff. 32, 187 (1977) 5. J.R. Parsons, Phil. Mag. 12, 1159 (1965) 6. M.L. Swanson, J.R. Parsons, C.W. Hoelke, Rad. Eff. 9, 249 (1971) 7. T.E. Haynes, O.W. Holland, Appl. Phys. Lett. 59, 452 (1991) 8. T.E. Haynes, O.W. Holland, Appl. Phys. Lett. 61, 61 (1992) 9. M. Posselt, L. Bischoff, D. Grambole, F. Hermann, Appl. Phys. Lett. 89, 151918–1/3 (2006) 10. S. Koffel, A. Claverie, BenG. Assayag, P. Scheiblin, Mater. Sci. Semicond. Process 9, 664 (2006) 11. C. Ascheron, J.P. Biersack, D. Fink, P. Goppelt, A. Manuaba, F. Paszti, N.Q. Khanh, Nucl. Instrum. Methods Phys. Res. B 68, 443 (1992) 12. C. Ascheron, A. Schindler, R. Flagmeyer, G. Otto, Nucl. Instrum. Methods Phys. Res. B 36, 163 (1989) 13. S.U. Campisano, G. Foti, F. Grasso, E. Rimini, Appl. Phys. Lett. 21, 425 (1972) 14. V.S. Speriosu, B.M. Paine, M.-A. Nicolet, H.L. Glass, Appl. Phys. Lett. 40, 604 (1982)

References

289

15. T.P. Sjoreen, O.W. Holland, M.K. El-Ghor, C.W. White, Mater. Res. Symp. Proc. 128, 593 (1989) 16. K.-M. Wang, F. Lu, M.-Q. Meng, B.-R. Shi, X.-D. Liu, J.-T. Liu, T.-B. Xu, P.-R. Zhu, J. Vac. Sci. Technol. B 16, 1034 (1998) 17. S.T. Picraux, H.J. Stein, J. Appl. Phys. 45, 3784 (1974) 18. I.H. Wilson, J. Appl. Phys. 53, 1698 (1982) 19. B.R. Appleton, O.W. Holland, J. Narayan, O.E. Schow III, J.S. Williams, K.T. Short, E. Lawson, Appl. Phys. Lett. 41, 711 (1982) 20. O.W. Holland, B.R. Appleton, J. Narayan, J. Appl. Phys. 54, 2295 (1983) 21. E.M. Lawson, K.T. Short, J.S. Williams, B.R. Appleton, O.W. Holland, O.E. Schow III, Nucl. Instrum. Methods Phys. Res. 209/210, 303 (1983) 22. B.R. Appleton, Mater. Res. Soc. Symp. Proc. 27, 195 (1984) 23. I.B. Khaibullin, G.G. Zakirov, M.M. Zaripov, T. Lohner, L. Pog´ any, G. Mezey, M. Fried, E. K´ otai, F. P´ aszti, A. Manuaba, J. Gyulai, Phys. Stat. Sol. (A) 94, 371 (1986) 24. L.M. Wang, R.C. Birtcher, Appl. Phys. Lett. 55, 2494 (1989) 25. L.M. Wang, R.C. Birtcher, Phil. Mag. A 64, 1209 (1991) 26. H. Huber, W. Assmann, S.A. Karamian, A. M¨ ucklich, W. Prusseit, E. Gazis, R. Gr¨ otzschel, M. Kokkoris, E. Kossionidis, H.D. Mieskes, R. Vlastou, Nucl. Instrum. Methods Phys. Res. B 122, 542 (1997) 27. H. Huber, W. Assmann, S.A. Karamian, H.D. Mieskes, H. Nolte, E. Gazis, M. Kokkoris, S. Kossionides, R. Vlastou, R. Gr¨ otzschel, A. M¨ ucklich, W. Prusseit, Nucl. Instrum. Methods Phys. Res. B 146, 309 (1998) 28. J.S. Williams, D.J. Chivers, R.G. Elliman, S.T. Johnson, E.M. Lawson, I.V. Mitchell, K.G. Orrman-Rossiter, A.P. Pogany, K.T. Short, Mater. Res. Soc. Symp. Proc. 27, 205 (1984) 29. T. Janssens, C. Huyghebaert, D. Vanhaeren, G. Winderickx, A. Satta, M. Meuris, W. Vandervorst, J. Vac. Sci. Technol. B 24, 510 (2006) 30. L. Csepregi, R.P. C¨ ullen, J.W. Mayer, T.W. Sigmon, Solid State Commun. 21, 1019 (1977) 31. I. Suni, G. G¨ oltz, M.-A. Nicolet, S.S. Lau, Thin Solid Films 93, 171 (1982) 32. P. Kringhøj, R.G. Elliman, Phys. Rev. Lett. 73, 858 (1994) 33. T.E. Haynes, M.J. Antonell, C.A. Lee, K.S. Jones, Phys. Rev. B 51, 7762 (1995) ´ Barna, P.B. Barna, J.F. P´ 34. A. ocza, J. Non-Cryst. Solids 8–10, 36 (1972) 35. P. Germain, S. Squelard, J. Bourgoin, A. Gheorghiu, J. Appl. Phys. 48, 1909 (1977) 36. P. Germain, K. Zellama, S. Squelard, J.C. Bourgoin, A. Gheorghiu, J. Appl. Phys. 50, 6986 (1979) 37. K. Zellama, J.F. Morhange, P. Germain, J.C. Bourgoin, Phys. Stat. Sol. (A) 56, 717 (1979) 38. E.P. Donovan, F. Spaepen, D. Turnbull, J.M. Poate, D.C. Jacobson, Mater. Res. Soc. Symp. Proc. 27, 211 (1984) 39. E.P. Donovan, F. Spaepen, D. Turnbull, J.M. Poate, D.C. Jacobson, J. Appl. Phys. 57, 1795 (1985) 40. G.-Q. Lu, E. Nygren, M.J. Aziz, J. Appl. Phys. 70, 5323 (1991) 41. F. Edelman, Y. Komem, M. Bendayan, R. Beserman, J. Appl. Phys. 72, 5153 (1992) 42. D.P. Hickey, Z.L. Bryan, K.S. Jones, R.G. Elliman, E.E. Haller, Appl. Phys. Lett. 90, 132114–1/3 (2007)

290

5 Process-Induced Defects in Germanium

43. F. Oki, Y. Ogawa, Y. Fujiki, Jpn. J. Appl. Phys. 8, 1056 (1969) 44. G. Ottaviani, D. Sigurd, V. Marrello, J.W. Mayer, J.O. McCaldin, J. Appl. Phys. 45, 1730 (1974) 45. D. Sigurd, G. Ottaviani, H.J. Arnal, J.W. Mayer, J. Appl. Phys. 45, 1740 (1974) 46. Y. Ohmachi, T. Nishioka, Y. Shinoda, Appl. Phys. Lett. 43, 971 (1983) 47. A.R. Zanatta, I. Chambouleyron, J. Appl. Phys. 97, 094914 (2005) 48. H.J. Matzke, Rad. Eff. 3, 93 (1970) 49. J.R. Parsons, R.W. Balluffi, J. Phys. Chem. Solids 25, 263 (1964) 50. S.A. Karamyan, Y.T. Oganessian, V.N. Bugrov, Nucl. Instrum. Methods Phys. Res. B 43, 153 (1989) 51. J.W. Mayer, J.A. Davies, L. Eriksson, Appl. Phys. Lett. 11, 365 (1967) 52. J.W. Mayer, L. Eriksson, S.T. Picraux, J.A. Davies, Canad. J. Phys. 46, 663 (1968) 53. G.D. Alton, L.O. Love, Canad. J. Phys. 46, 695 (1968) 54. K. Bj¨ orkqvist, B. Domeij, L. Eriksson, G. Fladda, A. Fontell, J.W. Mayer, Appl. Phys. Lett. 13, 379 (1968) 55. L. Eriksson, G. Fladda, K. Bj¨ orkqvist, Appl. Phys. Lett. 14, 195 (1969) 56. K. Bj¨ orkqvist, D. Sigurd, G. Fladda, G. Bjarnholt, Rad. Eff. 6, 141 (1970) 57. H. Kr¨ autle, Rad. Eff. 24, 255 (1975) 58. D. Sigurd, G. Fladda, L. Eriksson, K. Bj¨ orkqvist, Rad. Eff. 3, 145 (1970) 59. P.J. MacDonald, D.W. Palmer, Inst. Phys. Conf. Ser. No. 23, 504 (1975) 60. K.S. Jones, E.E. Haller, J. Appl. Phys. 61, 2469 (1987) 61. Y.S. Suh, M.S. Carroll, R.A. Levy, M.A. Sahiner, G. Bisognin, C.A. King, IEEE Trans. Electron. Devices 52, 91 (2005) 62. S. Suzuki, K. Ikeda, Y. Yamashita, S. Takagi, Jpn. J. Appl. Phys. 46, 926 (2007) 63. V.M. Gusev, M.I. Guseva, E.S. Ionova, A.N. Mansurova, C.V. Starinin, Phys. Stat. Sol. (A) 21, 413 (1974) 64. A. Satta, E. Simoen, T. Clarysse, T. Janssens, A. Benedetti, B. De Jaeger, M. Meuris, W. Vandervorst, Appl. Phys. Lett. 87, 172109/1–3 (2005) 65. A. Satta, E. Simoen, T. Janssens, T. Clarysse, B. De Jaeger, A. Benedetti, I. Hoflijk, B. Brijs, M. Meuris, W. Vandervorst, J. Electrochem. Soc. 153, G229 (2006) 66. Y.-L. Chao, S. Prussin, J.C.S. Woo, R. Scholz, Appl. Phys. Lett. 87, 142102/1– 3 (2005) 67. C. Jasper, L. Rubin, C. Lindfors, K.S. Jones, J. Oh, in Proc. 14th Int. Conf. Ion Implantation Technology (IEEE, Piscataway, NJ, 2002) p 548 68. V. Hadek, D.M. Watson, C.A. Beichman, M.D. Jack, Phys. Rev. B 31, 3630 (1985) 69. I.C. Wu, J.W. Beeman, P.N. Luke, W.L. Hansen, E.E. Haller, Appl. Phys. Lett. 58, 1431 (1991) 70. T. Itoh, I. Ohdomari, Jpn J. Appl. Phys. 10, 1002 (1971) 71. K. Benourhazi, J.P. Ponpon, Nucl. Instrum. Methods Phys. Res. B 71, 406 (1992) 72. A. Satta, E. Simoen, R. Duffy, T. Janssens, T. Clarysse, A. Benedetti, M. Meuris, W. Vandervorst, Appl. Phys. Lett. 88, 162118/1–3 (2006) 73. A. Satta, T. Janssens, T. Clarysse, E. Simoen, M. Meuris, A. Benedetti, I. Hoflijk, B. De Jaeger, C. Demeurisse, W. Vandervorst, J. Vac. Sci. Technol. B 24, 494 (2006)

References

291

74. N.D. Zakharov, V.N. Rozhanskii, P.L. Korchazhkina, Sov. Phys. Solid State 16, 926 (1974) 75. D.J. Bottomley, M. Iwami, Y. Uehara, S. Ushioda, J. Vac. Sci. Technol. A 17, 698 (1999) 76. C.H. Poon, L.S. Tan, B.J. Cho, A.Y. Du, J. Electrochem. Soc. 152, G895 (2005) 77. E. Simoen, A. Satta, A. D’Amore, T. Janssens, T. Clarysse, K. Martens, B. De Jaeger, A. Benedetti, I. Hoflijk, B. Brijs, M. Meuris, W. Vandervorst, Mater. Sci. Semicond. Process 9, 634 (2006) 78. A. Satta, A. D’Amore, E. Simoen, T. Janssens, T. Clarysse, W. Anwand, W. Skorupa, Nucl. Instrum. Methods Phys. Res. B 257, 157 (2007) 79. M. Posselt, B. Schmidt, W. Anwand, R. Gr¨ otzschel, V. Heera, A. M¨ ucklich, C. W¨ undisch, W. Skorupa, H. Hortenbach, S. Gennaro, M. Bersani, D. Giubertoni, A. M¨ oller, H. Bracht, J. Vac. Sci. Technol. B 26, 430 (2008) 80. G. Contreras, L. Tapfer, A.K. Sood, M. Cardona, Phys. Stat. Sol. (B) 131, 475 (1985) 81. P. Tsouroutas, D. Tsoukalas, A. Florakis, I. Zergioti, A.A. Serafetinides, N. Cherkashin, B. Marty, A. Claverie, Mater. Sci. Semicond. Process. 9, 644 (2006) 82. R.N. Lovyagin, L.S. Smirnov, V.F. Stas’, Sov. Microelectron. 14, 115 (1985) 83. G.S. Hubbard, E.E. Haller, W.L. Hansen, IEEE Trans. Nucl. Sci. NS-24, 161 (1977) 84. S. Furuno, K. Izui, H. Otsu, Jpn. J. Appl. Phys. 15, 889 (1976) 85. C. Ferreira.A. Lima, A. Howie, Phil. Mag. 34, 1057 (1976) 86. S. Takeda, S. Muto, M. Hirata, Mater. Sci. Forum 83–87, 309 (1992) 87. G.S. Anderson, G.K. Wehner, J. Appl. Phys. 31, 2305 (1960) 88. T. Akatsu, K.K. Bourdelle, C. Richtarch, B. Faure, F. Letertre, Appl. Phys. Lett. 86, 181910/1–3 (2005) 89. K.K. Bourdelle, In, Proc. of the Int. Symp. on Silicon-on-Insulator Technology and Devices XII, ed. by G.K. Celler, Cristoloveanu S, J.G. Fossum, G´ amiz F, Izumi K, The Electrochem. Soc. Proc. 2005–03, p 167 (2005) 90. A. Bacchilega, P. Gondi, G.F. Missiroli, Il Nuovo Cimento 40B, 309 (1965) 91. H.J. Stein, J. Electrochem. Soc. 121, 1073 (1974) 92. T.P. Sjoreen, N.M. Ravindra, M.K. El-Ghor, D. Fathy, Mater. Res. Soc. Symp. Proc. 107, 137 (1988) 93. N.M. Ravindra, T. Fink, W. Savin, T.P. Sjoreen, R.L. Pfeffer, L.G. Yerke, R.T. Lareau, J.G. Gualtieri, R. Lux, C. Wrenn, Nucl. Instrum. Methods Phys. Res. B 46, 409 (1990) 94. Q.-C.Zhang, J.C. Kelly, M.J. Kenny, Nucl. Instrum. Methods Phys. Res. B 47, 257 (1990) 95. S.S. Lau, B.Y. Tsaur, M. von Allmen, J.W. Mayer, B. Stritzker, C.W. White, B. Appleton, Nucl. Instrum. Methods 182/183, 97 (1981) 96. B.R. Appleton, O.W. Holland, D.B. Poker, J. Narayan, D. Fathy, Nucl. Instrum. Methods Phys. Res. B 7/8, 639 (1985) 97. G.K. Wehner, J. Appl. Phys. 29, 217 (1958) 98. N. Laegreid, G. Wehner, B. Meckel, J. Appl. Phys. 30, 374 (1959) 99. R.L. Jacobson, G.K. Wehner, J. Appl. Phys. 36, 2674 (1965) 100. G.S. Anderson, J. Appl. Phys. 37, 2838 (1966) 101. G.S. Anderson, J. Appl. Phys. 38, 1607 (1967) 102. S.W. Robey, A.A. Bright, G.S. Oehrlein, S.S. Iyer, S.L. Delage, J. Vac. Sci. Technol. B 6, 1650 (1988)

292

5 Process-Induced Defects in Germanium

103. M. Birnbaum, J. Appl. Phys. 36, 3688 (1965) 104. M. Bertolotti, F. de Pasquale, P. Marietti, D. Sette, G. Vitali, J. Appl. Phys. 38, 4088 (1967) 105. M. Bertolotti, P. Marietti, D. Sette, L. Stagni, G. Vitali, Rad. Eff. 1, 161 (1969) 106. M. Bertolotti, D. Sette, L. Stagni, G. Vitali, J. Appl. Phys. 41, 818 (1970) 107. S.K. Gulati, W.W. Grannemann, J. Appl. Phys. 48, 3024 (1977) 108. R. Andrew, M. Lovato, J. Appl. Phys. 50, 1142 (1979) 109. G. Vitali, M. Marinelli, U. Zammit, F. Scuderi, Appl. Phys. A 35, 233 (1984) 110. M. Mulato, D. Toet, G. Aichmayr, P.V. Santos, I. Chambouleyron, Appl. Phys. Lett. 70, 3570 (1997) 111. J. Siegel, J. Solis, C.N. Afonso, J. Appl. Phys. 84, 5531 (1998) 112. A. Cavalleri, C.W. Siders, C. Rose-Petruck, R. Jiminez, C.S. T´oth, J.A. Squier, C.P.J. Barty, K.R. Wilson, K. Sokolowski-Tinten, M. Horn von Hoegen, D. von der Linde, Phys. Rev. B 63, 193306/1–4 (2001) 113. F. Vega, J. Solis, J. Siegel, C.N. Afonso, J. Appl. Phys. 88, 6321 (2000) 114. J. Siegel, J. Solis, C.N. Afonso, F. Vega, J. Bankmann, O. Mart´ınez Sacrist´ an, K. Sokolowski-Tinten, J. Appl. Phys. 89, 3642 (2001) 115. F. Vega, N. Chaoui, J. Solis, J. Armengol, C.N. Afonso, J. Appl. Phys. 97, 103519/1–6 (2005) 116. Q.-Y. Tong, K. Gutjahr, S. Hopfe, U. G¨ osele, T.-H. Lee, Appl. Phys. Lett. 70, 1390 (1997) 117. S.W. Bedell, W.A. Lanford, J. Appl. Phys. 90, 1138 (2001) 118. Y.-L. Chao, R. Scholz, M. Reiche, U. G¨ osele, J.C.S. Woo, Jpn J. Appl. Phys. 45, 8565 (2006) 119. M.L. David, F. Pailloux, D. Babonneau, M. Drouet, J.F. Barbot, E. Simoen, C. Claeys, J. Appl. Phys. 102, 096101/1–3 (2007) 120. M. Hiller, E.V. Lavrov, J. Weber, Phys. Rev. B 71, 0452081/5 (2005) 121. J. Lauwaert, M.L. David, M.F. Beaufort, E. Simoen, D. Depla, P. Clauws, Mater. Sci. Semicond. Process 9, 571 (2006)

Index

60◦ dislocations, 35 60◦ dislocations, 12, 110 90◦ dislocation, 12 Ge1−x Six alloys, 53 Si1−x Gex layers, 161, 162 Six Ge1−x , 164 (Cottrell) atmosphere, 50 (GeOI), 285 1D conductor, 78 3D growth, 185 Absorption coefficient, 110 Acceptor states, 69, 149 Acoustic–electric effect, 9 Adatom diffusion, 189 Amorphization, 248 Amorphization threshold, 274, 278 Amorphized region, 265 Amorphous germanium, 247 Amorphous growth, 185, 186 Amorphous layer, 261, 262 Amorphous phase, 257 Amorphous region, 266 Angle of misorientation, 140 Anharmonicity of crystals, 6 Aspect ratio, 212 Attractive glide force, 173 Back interface, 220 Band diagram, 147 Band of dislocations, 257 Band of states, 70 Barrier height, 149 Bi-crystals, 141, 145

Billig etch, 19 Blistering, 219, 285 Blisters, 285 BOX, 224 Brittle to ductile transition, 57 Bubbles, 286 Burgers vector, 12, 33, 122 Capture cross section, 94 Capture radius, 96 Carrier lifetime, 93, 103 Carrier mobility, 91 Carrier recombination, 98 Cascades, 244 CC theory, 244, 245 Charged dislocations, 68, 90 Chemical mechanical polishing, 209 CMOS, 153, 155, 206, 220, 221, 275 CMP, 218, 219 Collision cascade, 247, 252 Collision cascade (CC) theory, 242 Collision cascade cylinder, 249 Compositional graded growth, 166 Compositionally graded SiGe buffer, 206 Condensation, 224, 225 Condensation method, 226 Condensation technique, 221 Conductance, 149 Conductivity, 146 Core states, 76 Cottrell atmosphere, 17, 31, 41, 54, 66, 110

294

Index

Cottrell mechanism, 51 Creep curves, 43, 44 Creep tests, 42 Critical damage energy density, 250 Critical epitaxial thickness, 186, 191 Critical thickness, 160, 161, 163 Cross-hatch pattern, 177 Cross-hatch roughness, 207 Cross-hatch-free, 226 Current flow, 148 Cyclic annealing, 205, 210 Damage density, 247 Damage fraction, 243 Damage nucleation, 252 Dangling bonds, 65, 66, 141, 259 Dangling-bond band, 71 DB acceptors, 73 DB states, 72 DB-related band, 77 DC conductance, 81 DC conductivity, 122 DE, 127, 129–131 Deep level transient spectroscopy, 84 Defect formation, 153 Deformation potential, 123 Deformation potential constant, 6 Deformation-induced point defects, 22, 70 Deformed Ge, 113, 117 Deformed germanium, 131 Deformed n-Ge, 121 Deformed samples, 79 Density showing, 48 Dichroism, 108 Diffusivity, 56 Dislocation, 12, 112 Dislocation acceptor level, 68 Dislocation acceptor states, 37 Dislocation band, 71, 75, 108 Dislocation charge, 115 Dislocation core, 73 Dislocation damping, 10 Dislocation density, 119, 120 Dislocation glide, 163, 169 Dislocation glide velocity, 171 Dislocation luminescence, 128 Dislocation multiplication, 44 Dislocation nucleation, 173

Dislocation orientation, 89 Dislocation pile-ups, 209 Dislocation pinning, 21, 50 Dislocation states, 74, 76, 80, 124 Dislocation strain field, 123 Dislocation velocity, 32–38, 40, 47, 169, 170 Dislocation-related absorption, 108 Displaced atoms, 243 Displacement damage, 251 Displacement mechanism, 244 Dissociations of dislocations, 22 DLTS, 84–88, 273, 288 Dopant diffusion, 54–56 Dose rate, 248, 268 Double kink formation, 37 Double kink nucleation theory, 170 Double kink nucleations, 171 Drift velocity, 89 Dynamic annealing, 249, 250 Dynamic damage annealing, 269 Dynamic testing, 46 EBIC, 99 Edge dislocations, 18 Effective displacement energy, 246 Effective threshold energy, 245 Elastic relaxation, 175, 179 Electrical conductance, 142 Electromechanical effect, 25, 27 Electron “lifetime”, 116 Electron paramagnetic resonance, 76 Electron spin resonance, 131 Electron–hole generation rate, 114 Electronic states of dislocations, 66 End-of-range (EOR), 242 Energy dissipation, 8 Enhanced Dislocation Motion (REDM), 38 EOR, 254, 263 EOR defects, 281 EPD, 88, 214 Epitaxial breakdown, 184, 188, 190 Epitaxial deposition, 154, 193 Epitaxial lateral overgrowth, 214 Epitaxial-to-amorphous transition, 188 Esaki-diodes, 104 Etch pit density, 86 Etch pits, 20

Index Etching techniques, 19 Extended defects, 263, 285 Extrinsic PC, 118, 122 Faceting, 213 Fermi level effect, 36 First-Order Elastic Constants, 2, 6 Formation energy, 42 Frank–Read multiplication mechanism, 168 Frank–Read source, 172 Frank–van der Merwe growth, 158 Ga implantations, 275 GB acceptor levels, 141 GB barrier, 149 Ge condensation technique, 220 Ge islands, 159, 195 Ge wetting layer, 196 Ge/Si superlattice, 169 Generation-recombination noise, 100 GeOI, 157, 215, 217–219, 221, 223, 226, 283 Germanium–silicon alloy, 18 Germanium-on-Insulator, 216 Glide set, 11 Gr¨ uneisen parameter, 4, 5 Graded buffer, 210 Graded relaxed SiGe buffer layer, 175 Grading rate, 163, 175 Grain Boundaries, 137 Grown-in dislocations, 85, 127 Growth dynamics, 180 Growth steps, 181 H desorption, 181 Half-filled band model, 74, 90 Half-filled dislocation band, 110 Hall effect, 80, 84 Hall effect measurements, 83 Hall measurements, 69, 104 Hardness, 24 Heat capacity, 3, 4 High-dose implantations, 268 High-temperature deformation, 53 Hole lifetime, 151 Hole scattering, 91 Homoepitaxy, 183 Homogeneous nucleation, 167, 261

295

Hubbard transition, 78 Hut clusters, 195, 196 Hydrogen, 202 Hydrogen desorption, 182 Hydrogen enhanced dislocation glide (HEDG), 39 Hydrogen implantation, 286 Hydrostatic pressure, 124 Implantation damage, 252, 253, 265, 280 Implantation defects, 273, 288 Implantation peak, 265 Implantation-induced acceptors, 271 Implantation-induced point-defects, 269 Impurity atmospheres, 9, 44 Impurity decoration, 70 Indentation, 25, 26 Infrared absorption, 108 Infrared microscopy, 24 Interface, 224 Interfacial dislocation network, 200 Intermixing, 184 Internal Friction, 8 Internal friction loss, 10 Intrinsic absorption edge, 107 Intrinsic PC, 113, 114, 116, 117 Intrinsic stacking fault, 15 Inversion layer hole mobility, 92 Ion beam mixing, 283 Ion implantations, 245, 268 Ion scattering, 255 Irradiation temperature, 248, 249 Island, 198 Island growth, 197 Island nucleation, 198 Kinetic roughening, 180, 190 Knoop indenter, 24, 25 Lattice damage, 268 Lattice disorder, 270 Lattice misfit, 160 Lattice mismatch, 16 Lattice translation vector, 11 Layer splitting, 219 Layer-by-layer growth, 159, 199, 203 Leakage current, 102 Lifetime, 93, 94, 96, 97, 101

296

Index

Line charge, 72, 73 Lineage boundaries, 138 Local epitaxial deposition, 212 Local Epitaxial Growth, 178 Locking agent, 43 Low Frequency Noise, 93, 99 Low-temperature Ge buffer, 203 Low-temperature implantations, 256 Low-temperature Si buffer, 204 Lower (τl ), 31 Lower yield point, 32 Lower yield stress, 50 Magnetoresistance, 144 MBE, 194, 198 Mechanical properties, 7 Metal-induced crystallization, 155 Metal-induced epitaxy, 156 Metallic phase, 29 Metastable films, 164 Microcracks, 286 Microcreep, 50 Microcrucible technique, 156 Microhardness, 30, 251 Microwave conductance, 78, 79, 81 Microwave conduction, 131 Migration energies, 42 MILC, 156 Minority carrier lifetime, 93 Misfit angle, 144, 146, 148 Misfit dislocation length, 165 Misfit dislocations, 165, 168, 174, 176 Misfit segment, 173 Mismatched growth, 168 Mobile dislocation, 45, 46 MOSFET, 215 Multiple hydrogen annealing for heteroepitaxy, 205 Multiplication, 34 Multiplication of dislocations, 47 Multiplication processes, 172 Nanoindentation, 28 Negative differential resistance, 103 Nonlinear PC, 113 Nucleation mechanisms, 166 Nucleation sources, 167 One-dimensional conductor, 67

Optical fiber applications, 283 Optical microscopy, 137 Optical properties, 151 Optical recombination, 128 Oscillatory growth, 198 Oxygen Implantation, 283 P donors, 280 P implantations, 281 P-n junction, 102, 103, 147, 150 Partial dislocation, 15 Partials, 131 PC, 122, 124 Peierls barrier, 14 Peierls energy, 41 Peierls transition, 82 Perpendicular mobility, 90 Phase diagram, 29 Phase transformations, 28 Photo-Hall effect, 131 Photoconductance, 98 Photoconductivity, 113, 115, 117, 119, 125, 127, 150 Photon energy, 112, 120, 121 Photoresponse, 150 Pile-up density, 207 Pile-ups, 172 PL, 130, 226 Plastic deformation, 16 Plastic relaxation, 196 Point Defects, 83 Poisson’s ratio, 3, 138 Polycrystalline Ge, 144 Polycrystalline material, 137 Polygonized germanium, 45 Pop-ins, 30 Precipitation, 268 Radiation-enhanced diffusion, 284 Radiation-induced acceptors, 275 Rapid melt growth, 157 Rapid thermal annealing, 261 Recombination, 105, 122 Recombination activity, 93 Recombination centers, 82, 93, 110 Recombination coefficient, 114 Recombination current, 103 Recombination lifetime activity, 97 Recombination lifetimes, 82

Index Recombination process, 116 Recombination velocity, 150 Reconstruction, 77 Recrystallization temperature, 263 REDM, 39 Regrowth rate, 258, 259 Relaxation mechanism, 223 Rod-like defects, 282 RTA, 275 Scattering, 89 Scattering centers, 91 Schottky barrier, 100, 147 Screw, 12 Segregation, 55 Selective CVD growth, 210 Selective growth, 213 SGOI, 222, 225 Shear modulus, 14 Shear stress, 48 Sheet resistivity, 280 Shockley partials, 21, 200 Shockley–Read theory, 67 Shockley–Read–Hall, 93 Shuffle set, 11 Sidewall, 179 SIMS profiles, 274 Single kink motion, 11 SK growth, 195 Smart-cut process, 216 SME, 202 Solid solubility, 275, 278 Solid-phase epitaxial growth, 155 Solid-phase epitaxial regrowth, 154, 222, 257, 259, 260, 263 Space Charge Cylinders, 65, 87, 89 SPER, 202 Splitting of dislocations, 57 SRH, 96 SRH lifetime, 116 Stacking fault energy, 15 Steady-state capacitance, 88 Strain energy, 161 Strain field of dislocations, 75 Strain rate, 30

297

Strain relaxation, 165, 177, 222 Strain-induced islanding, 192 Strain-induced roughening, 191–193 Strain-relaxed buffer, 163 Stranski–Krastanow mode, 158 Superlattice, 184, 194, 216 Surface morphology, 183, 187, 254 Surface roughening, 189 Surface roughness, 176, 178, 187, 201, 209, 217, 258 Surfactant, 189, 199, 202 Surfactant-mediated epitaxy, 199, 201 TDD, 162, 201, 207, 218 TEM, 20, 21, 211, 276, 282 Temperature cycling, 194, 204 Tensile stress, 160 Thermal annealing, 265, 270 Thermal expansion, 7 Thermal expansion-coefficient, 5 Thermal stresses, 17 Thermionic emission current, 148 Threading dislocation, 208, 211 Tilt boundaries, 140 Transport of ions in matter, 250 Trapping centers, 114 TRIM, 253, 254 Twist GB, 142 Twist grain boundaries, 143 Unpinning, 51 Upper yield point, 31, 32, 47 Vacancy aggregates, 83 Vickers indentation hardness, 27 Void formation, 256 Volmer–Weber (island) growth, 158 VW growth, 195 Wet etching, 20 Work hardening, 43, 51 X-ray diffraction, 24 Yield points, 31 Young’s modulus, 2, 138

Springer Series in

materials science Editors: R. Hull

R. M. Osgood, Jr.

50 High-Resolution Imaging and Spectrometry of Materials Editors: F. Ernst and M. R¨uhle 51 Point Defects in Semiconductors and Insulators Determination of Atomic and Electronic Structure from Paramagnetic Hyperfine Interactions By J.-M. Spaeth and H. Overhof 52 Polymer Films with Embedded Metal Nanoparticles By A. Heilmann 53 Nanocrystalline Ceramics Synthesis and Structure By M. Winterer 54 Electronic Structure and Magnetism of Complex Materials Editors: D.J. Singh and D. A. Papaconstantopoulos 55 Quasicrystals An Introduction to Structure, Physical Properties and Applications Editors: J.-B. Suck, M. Schreiber, and P. H¨aussler 56 SiO2 in Si Microdevices By M. Itsumi 57 Radiation Effects in Advanced Semiconductor Materials and Devices By C. Claeys and E. Simoen 58 Functional Thin Films and Functional Materials New Concepts and Technologies Editor: D. Shi 59 Dielectric Properties of Porous Media By S.O. Gladkov 60 Organic Photovoltaics Concepts and Realization Editors: C. Brabec, V. Dyakonov, J. Parisi and N. Sariciftci 61 Fatigue in Ferroelectric Ceramics and Related Issues By D.C. Lupascu

J. Parisi

H. Warlimont

62 Epitaxy Physical Principles and Technical Implementation By M.A. Herman, W. Richter, and H. Sitter 63 Fundamentals of Ion-Irradiated Polymers By D. Fink 64 Morphology Control of Materials and Nanoparticles Advanced Materials Processing and Characterization Editors: Y. Waseda and A. Muramatsu 65 Transport Processes in Ion-Irradiated Polymers By D. Fink 66 Multiphased Ceramic Materials Processing and Potential Editors: W.-H. Tuan and J.-K. Guo 67 Nondestructive Materials Characterization With Applications to Aerospace Materials Editors: N.G.H. Meyendorf, P.B. Nagy, and S.I. Rokhlin 68 Diffraction Analysis of the Microstructure of Materials Editors: E.J. Mittemeijer and P. Scardi 69 Chemical–Mechanical Planarization of Semiconductor Materials Editor: M.R. Oliver 70 Applications of the Isotopic Effect in Solids By V.G. Plekhanov 71 Dissipative Phenomena in Condensed Matter Some Applications By S. Dattagupta and S. Puri 72 Predictive Simulation of Semiconductor Processing Status and Challenges Editors: J. Dabrowski and E.R. Weber 73 SiC Power Materials Devices and Applications Editor: Z.C. Feng

Springer Series in

materials science Editors: R. Hull

R. M. Osgood, Jr.

74 Plastic Deformation in Nanocrystalline Materials By M.Yu. Gutkin and I.A. Ovid’ko 75 Wafer Bonding Applications and Technology Editors: M. Alexe and U. G¨osele 76 Spirally Anisotropic Composites By G.E. Freger, V.N. Kestelman, and D.G. Freger 77 Impurities Confined in Quantum Structures By P.O. Holtz and Q.X. Zhao 78 Macromolecular Nanostructured Materials Editors: N. Ueyama and A. Harada 79 Magnetism and Structure in Functional Materials Editors: A. Planes, L. Ma˜nosa, and A. Saxena 80 Micro- and Macro-Properties of Solids Thermal, Mechanical and Dielectric Properties By D.B. Sirdeshmukh, L. Sirdeshmukh, and K.G. Subhadra 81 Metallopolymer Nanocomposites By A.D. Pomogailo and V.N. Kestelman 82 Plastics for Corrosion Inhibition By V.A. Goldade, L.S. Pinchuk, A.V. Makarevich and V.N. Kestelman 83 Spectroscopic Properties of Rare Earths in Optical Materials Editors: G. Liu and B. Jacquier 84 Hartree–Fock–Slater Method for Materials Science The DV–X Alpha Method for Design and Characterization of Materials Editors: H. Adachi, T. Mukoyama, and J. Kawai 85 Lifetime Spectroscopy A Method of Defect Characterization in Silicon for Photovoltaic Applications By S. Rein 86 Wide-Gap Chalcopyrites Editors: S. Siebentritt and U. Rau

J. Parisi

H. Warlimont

87 Micro- and Nanostructured Glasses By D. H¨ulsenberg and A. Harnisch 88 Introduction to Wave Scattering, Localization and Mesoscopic Phenomena By P. Sheng 89 Magneto-Science Magnetic Field Effects on Materials: Fundamentals and Applications Editors: M. Yamaguchi and Y. Tanimoto 90 Internal Friction in Metallic Materials A Handbook By M.S. Blanter, I.S. Golovin, H. Neuh¨auser, and H.-R. Sinning 91 Ferroelectric Crystals for Photonic Applications Including Nanoscale Fabrication and Characterization Techniques Editors: P. Ferraro, S. Grilli, and P. De Natale 92 Solder Joint Technology Materials, Properties, and Reliability By K.-N. Tu 93 Materials for Tomorrow Theory, Experiments and Modelling Editors: S. Gemming, M. Schreiber and J.-B. Suck 94 Magnetic Nanostructures Editors: B. Aktas, L. Tagirov, and F. Mikailov 95 Nanocrystals and Their Mesoscopic Organization By C.N.R. Rao, P.J. Thomas and G.U. Kulkarni 96 Gallium Nitride Electronics By R. Quay 97 Multifunctional Barriers for Flexible Structure Textile, Leather and Paper Editors: S. Duquesne, C. Magniez, and G. Camino 98 Physics of Negative Refraction and Negative Index Materials Optical and Electronic Aspects and Diversified Approaches Editors: C.M. Krowne and Y. Zhang