Fundamental Principles of Engineering Nanometrology (Micro and Nano Technologies)

  • 99 32 4
  • Like this paper and download? You can publish your own PDF file online for free in a few minutes! Sign Up

Fundamental Principles of Engineering Nanometrology (Micro and Nano Technologies)

Fundamental Principles of Engineering Nanometrology This page intentionally left blank Fundamental Principles of Eng

1,280 341 8MB

Pages 349 Page size 540 x 666.24 pts Year 2009

Report DMCA / Copyright

DOWNLOAD FILE

Recommend Papers

File loading please wait...
Citation preview

Fundamental Principles of Engineering Nanometrology

This page intentionally left blank

Fundamental Principles of Engineering Nanometrology Professor Richard K. Leach

AMSTERDAM  BOSTON  HEIDELBERG  LONDON  NEW YORK  OXFORD PARIS  SAN DIEGO  SAN FRANCISCO  SINGAPORE  SYDNEY  TOKYO William Andrew is an imprint of Elsevier

William Andrew is an imprint of Elsevier The Boulevard, Langford Lane, Kidlington, Oxford OX5 1GB, UK 30 Corporate Drive, Suite 400, Burlington, MA 01803, USA First edition 2010 Copyright Ó 2010, Richard K. Leach. Published by Elsevier Inc. All rights reserved The right of Richard K. Leach to be identified as the author of this work has been asserted in accordance with the Copyright, Designs and Patents Act 1988 No part of this publication may be reproduced, stored in a retrieval system or transmitted in any form or by any means electronic, mechanical, photocopying, recording or otherwise without the prior written permission of the publisher Permissions may be sought directly from Elsevier’s Science & Technology Rights Department in Oxford, UK: phone (+44) (0) 1865 843830; fax (+44) (0) 1865 853333; email: [email protected]. Alternatively you can submit your request online by visiting the Elsevier web site at http://elsevier.com/locate/permissions, and selecting Obtaining permission to use Elsevier material Notice No responsibility is assumed by the publisher for any injury and/or damage to persons or property as a matter of products liability, negligence or otherwise, or from any use or operation of any methods, products, instructions or ideas contained in the material herein. Because of rapid advances in the medical sciences, in particular, independent verification of diagnoses and drug dosages should be made British Library Cataloguing in Publication Data A catalogue record for this book is available from the British Library Library of Congress Cataloging-in-Publication Data A catalog record for this book is availabe from the Library of Congress ISBN–13: 978-0-08-096454-6 For information on all Elsevier publications visit our web site at books.elsevier.com

Printed and bound in the United States of America 10 10 9 8 7 6 5 4 3 2 1

Contents ACKNOWLEDGEMENTS .................................................................................xv FIGURES .......................................................................................................xvii TABLES ......................................................................................................... xxv CHAPTER 1 Introduction to metrology for micro- and nanotechnology .... 1 1.1 What is engineering nanometrology? ..................................... 2 1.2 The contents of this book..................................................... 3 1.3 References ......................................................................... 4

CHAPTER 2 Some basics of measurement ........................................... 5 2.1 2.2 2.3 2.4 2.5 2.6 2.7 2.8

Introduction to measurement ............................................. 5 Units of measurement and the SI ....................................... 6 Length ............................................................................. 7 Mass................................................................................ 10 Force ............................................................................... 12 Angle ............................................................................... 13 Traceability ...................................................................... 14 Accuracy, precision, resolution, error and uncertainty........... 15 2.8.1 Accuracy and precision ............................................ 16 2.8.2 Resolution and error................................................. 16 2.8.3 Uncertainty in measurement..................................... 17 2.8.3.1 The propagation of probability distributions ... 18 2.8.3.2 The GUM uncertainty framework................... 19 2.8.3.3 A Monte Carlo method ................................. 21 2.9 The laser .......................................................................... 23 2.9.1 Theory of the helium-neon laser ................................ 23 2.9.2 Single-mode laser wavelength stabilisation schemes ... 25 2.9.3 Laser frequency-stabilisation using saturated absorption............................................................... 25 2.9.3.1 Two-mode stabilisation ................................ 27 2.9.4 Zeeman-stabilised 633 nm lasers ............................. 28 2.9.5 Frequency calibration of a (stabilised) 633 nm laser .....30 2.9.6 Modern and future laser frequency standards ............. 31 2.10 References ....................................................................... 31

v

vi

Contents

CHAPTER 3 Precision measurement instrumentation – some design principles....................................................................... 35 3.1 Geometrical considerations ................................................ 36 3.2 Kinematic design .............................................................. 36 3.2.1 The Kelvin clamps ................................................... 37 3.2.2 A single degree of freedom motion device .................. 38 3.3 Dynamics ......................................................................... 38 3.4 The Abbe Principle............................................................ 40 3.5 Elastic compression .......................................................... 41 3.6 Force loops....................................................................... 43 3.6.1 The structural loop................................................... 43 3.6.2 The thermal loop ..................................................... 43 3.6.3 The metrology loop .................................................. 44 3.7 Materials.......................................................................... 44 3.7.1 Minimising thermal inputs........................................ 45 3.7.2 Minimising mechanical inputs .................................. 46 3.8 Symmetry......................................................................... 46 3.9 Vibration isolation ............................................................. 47 3.9.1 Sources of vibration ................................................. 47 3.9.2 Passive vibration isolation ........................................ 49 3.9.3 Damping ................................................................. 50 3.9.4 Internal resonances.................................................. 50 3.9.5 Active vibration isolation .......................................... 51 3.9.6 Acoustic noise ......................................................... 51 3.10 References ....................................................................... 52

CHAPTER 4 Length traceability using interferometry............................ 55 4.1 Traceability in length........................................................... 55 4.2 Gauge blocks – both a practical and traceable artefact ........... 56 4.3 Introduction to interferometry............................................... 58 4.3.1 Light as a wave.......................................................... 58 4.3.2 Beat measurement when u1 s u2 .............................. 61 4.3.3 Visibility and contrast ................................................ 61 4.3.4 White light interference and coherence length.............. 62 4.4 Interferometer designs......................................................... 64 4.4.1 The Michelson and Twyman-Green interferometer...........64 4.4.1.1 The Twyman-Green modification..................... 65 4.4.2 The Fizeau interferometer........................................... 66 4.4.3 The Jamin and Mach-Zehnder interferometers.............. 68 4.4.4 The Fabry-Pe´rot interferometer ................................... 70 4.5 Gauge block interferometry .................................................. 72 4.5.1 Gauge blocks and interferometry ................................. 72 4.5.2 Gauge block interferometry......................................... 72

Contents

4.5.3 Operation of a gauge block interferometer....................74 4.5.3.1 Fringe fraction measurement – phase stepping....................................................... 74 4.5.3.2 Multiple wavelength interferometry analysis........................................................ 75 4.5.3.3 Vacuum wavelength....................................... 76 4.5.3.4 Thermal effects.............................................76 4.5.3.5 Refractive index measurement ....................... 77 4.5.3.6 Aperture correction ....................................... 78 4.5.3.7 Surface and phase change effects .................. 79 4.5.4 Sources of error in gauge block interferometry.............. 80 4.5.4.1 Fringe fraction determination uncertainty..........80 4.5.4.2 Multi-wavelength interferometry uncertainty ................................................... 80 4.5.4.3 Vacuum wavelength uncertainty ..................... 80 4.5.4.4 Temperature uncertainty................................80 4.5.4.5 Refractive index uncertainty........................... 81 4.5.4.6 Aperture correction uncertainty ...................... 81 4.5.4.7 Phase change uncertainty .............................. 81 4.5.4.8 Cosine error .................................................. 82 4.6 References ......................................................................... 82

CHAPTER 5 Displacement measurement............................................. 85 5.1 Introduction to displacement measurement ........................... 85 5.2 Displacement interferometry ................................................ 86 5.2.1 Basics of displacement interferometry ......................... 86 5.2.2 Homodyne interferometry ........................................... 86 5.2.3 Heterodyne interferometry .......................................... 87 5.2.4 Fringe counting and sub-division................................. 89 5.2.5 Double-pass interferometry.........................................89 5.2.6 Differential interferometry .......................................... 90 5.2.7 Swept-frequency absolute distance interferometry ........ 91 5.2.8 Sources of error in displacement interferometry............ 92 5.2.8.1 Thermal expansion of the metrology frame ...... 92 5.2.8.2 Deadpath length ........................................... 93 5.2.8.3 Cosine error .................................................. 93 5.2.8.4 Non-linearity.................................................94 5.2.8.5 Heydemann correction................................... 95 5.2.8.6 Random error sources....................................97 5.2.8.7 Other source of error in displacement interferometers .............................................97 5.2.9 Angular interferometers.............................................. 98 5.3 Capacitive displacement sensors .......................................... 99

vii

viii

Contents

5.4 5.5 5.6 5.7

Inductive displacement sensors.......................................... 100 Optical encoders ............................................................... 102 Optical fibre sensors..........................................................104 Calibration of displacement sensors.................................... 106 5.7.1 Calibration using optical interferometry ..................... 107 5.7.1.1 Calibration using a Fabry-Pe´rot interferometer.............................................107 5.7.1.2 Calibration using a measuring laser .............. 107 5.7.2 Calibration using X-ray interferometry ........................108 5.8 References .......................................................................111

CHAPTER 6 Surface topography measurement instrumentation .......... 115 6.1 Introduction to surface topography measurement ............... 115 6.2 Spatial wavelength ranges................................................ 116 6.3 Historical background of classical surface texture measuring instrumentation .............................................. 117 6.4 Surface profile measurement............................................ 120 6.5 Areal surface texture measurement................................... 121 6.6 Surface topography measuring instrumentation.................. 122 6.6.1 Stylus instruments................................................. 123 6.7 Optical instruments......................................................... 126 6.7.1 Limitations of optical instruments ........................... 127 6.7.2 Scanning optical techniques................................... 132 6.7.2.1 Triangulation instruments .......................... 132 6.7.2.2 Confocal instruments................................. 134 6.7.2.2.1 Confocal chromatic probe instrument ................................ 138 6.7.2.3 Point autofocus profiling ............................139 6.7.3 Areal optical techniques .........................................142 6.7.3.1 Focus variation instruments ....................... 142 6.7.3.2 Phase-shifting interferometry ..................... 144 6.7.3.3 Digital holographic microscopy ................... 147 6.7.3.4 Coherence scanning interferometry ............. 149 6.7.4 Scattering instruments ........................................... 152 6.8 Capacitive instruments .................................................... 155 6.9 Pneumatic instruments.................................................... 156 6.10 Calibration of surface topography measuring instruments ......156 6.10.1 Traceability of surface topography measurements..................................................... 156 6.10.2 Calibration of profile measuring instruments .......... 157 6.10.3 Calibration of areal surface texture measuring instruments ........................................................ 159 6.11 Uncertainties in surface topography measurement ............. 162

Contents

6.12 Comparisons of surface topography measuring instruments ... 165 6.13 Software measurement standards .....................................167 6.14 References .....................................................................168

CHAPTER 7 Scanning probe and particle beam microscopy................ 177 7.1 Scanning probe microscopy................................................178 7.2 Scanning tunnelling microscopy .........................................180 7.3 Atomic force microscopy....................................................181 7.3.1 Noise sources in atomic force microscopy ..................182 7.3.1.1 Static noise determination ...........................183 7.3.1.2 Dynamic noise determination .......................183 7.3.1.3 Scanner xy noise determination....................183 7.3.2 Some common artefacts in AFM imaging ...................185 7.3.2.1 Tip size and shape ......................................185 7.3.2.2 Contaminated tips.......................................186 7.3.2.3 Other common artefacts ..............................186 7.3.3 Determining the coordinate system of an atomic force microscope .....................................................186 7.3.4 Traceability of atomic force microscopy .....................187 7.3.4.1 Calibration of AFMs.....................................188 7.3.5 Force measurement with AFMs .................................189 7.3.6 AFM cantilever calibration........................................191 7.3.7 Inter- and intra-molecular force measurement using AFM ..............................................................193 7.3.7.1 Tip functionalisation ...................................195 7.3.8 Tip sample distance measurement ............................196 7.3.9 Challenges and artefacts in AFM force measurements.................................................197 7.4 Scanning probe microscopy of nanoparticles .......................198 7.5 Electron microscopy ..........................................................199 7.5.1 Scanning electron microscopy ..................................199 7.5.1.1 Choice of calibration specimen for scanning electron microscopy ......................200 7.5.2 Transmission electron microscopy .............................201 7.5.3 Traceability and calibration of transmission electron microscopes ...............................................202 7.5.3.1 Choice of calibration specimen.....................203 7.5.3.2 Linear calibration ........................................203 7.5.3.3 Localised calibration ...................................203 7.5.3.4 Reference graticule .....................................204 7.5.4 Electron microscopy of nanoparticles ........................204 7.6 Other particle beam microscopy techniques.........................204 7.7 References .......................................................................207

ix

x

Contents

CHAPTER 8 Surface topography characterisation ............................... 211 8.1 Introduction to surface topography characterisation.............. 211 8.2 Surface profile characterisation .......................................... 212 8.2.1 Evaluation length .................................................. 213 8.2.2 Total traverse length .............................................. 213 8.2.3 Profile filtering ......................................................213 8.2.3.1 Primary profile .......................................... 215 8.2.3.2 Roughness profile...................................... 215 8.2.3.3 Waviness profile ........................................ 216 8.2.4 Default values for profile characterisation ................ 216 8.2.5 Profile characterisation and parameters ................... 216 8.2.5.1 Profile parameter symbols .......................... 217 8.2.5.2 Profile parameter ambiguities..................... 217 8.2.6 Amplitude profile parameters (peak to valley)...........218 8.2.6.1 Maximum profile peak height, Rp ............... 218 8.2.6.2 Maximum profile valley depth, Rv ............... 218 8.2.6.3 Maximum height of the profile, Rz .............. 218 8.2.6.4 Mean height of the profile elements, Rc ............................................ 219 8.2.6.5 Total height of the surface, Rt ....................219 8.2.7 Amplitude parameters (average of ordinates)............ 219 8.2.7.1 Arithmetical mean deviation of the assessed profile, Ra................................... 219 8.2.7.2 The root mean square deviation of the assessed profile, Rq .................................. 221 8.2.7.3 Skewness of the assessed profile, Rsk ......... 222 8.2.7.4 Kurtosis of the assessed profile, Rku...........223 8.2.8 Spacing parameters ............................................... 224 8.2.8.1 Mean width of the profile elements, RSm ........................................................ 224 8.2.9 Curves and related parameters................................ 224 8.2.9.1 Material ratio of the profile......................... 224 8.2.9.2 Material ratio curve ................................... 225 8.2.9.3 Profile section height difference, Rdc.......... 226 8.2.9.4 Relative material ratio, Rmr ....................... 226 8.2.9.5 Profile height amplitude curve....................226 8.2.10 Profile specification standards ................................ 227 8.3 Areal surface texture characterisation ................................. 229 8.3.1 Scale-limited surface ............................................... 229 8.3.2 Areal filtering ..........................................................230 8.3.3 Areal specification standards .................................... 232 8.3.4 Unified coordinate system for surface texture and form................................................................. 234 8.3.5 Areal parameters ..................................................... 235

Contents

8.3.6 Field parameters .....................................................235 8.3.6.1 Areal height parameters...............................236 8.3.6.1.1 The root mean square value of the ordinates, Sq .....................236 8.3.6.1.2 The arithmetic mean of the absolute height, Sa ......................236 8.3.6.1.3 Skewness of topography height distribution, Ssk ..........................236 8.3.6.1.4 Kurtosis of topography height distribution, Sku..........................236 8.3.6.1.5 The maximum surface peak height, Sp ...................................237 8.3.6.1.6 The maximum pit height of the surface, Sv..................................237 8.3.6.1.7 Maximum height of the surface, Sz..................................237 8.3.6.2 Areal spacing parameters.............................237 8.3.6.2.1 The auto-correlation length, Sal ....237 8.3.6.2.2 Texture aspect ratio of the surface, Str .................................238 8.3.6.3 Areal hybrid parameters...............................238 8.3.6.3.1 Root mean square gradient of the scale-limited surface, Sdq ............238 8.3.6.3.2 Developed interfacial area ratio of the scale-limited surface, Sdr ...239 8.3.6.4 Functions and related parameters.................239 8.3.6.4.1 Areal material ratio of the scale limited surface ............................239 8.3.6.4.2 Areal material ratio of the scale-limited surface, Smc(c) .......239 8.3.6.4.3 Inverse areal material ratio of the scale-limited surface, Sdc(mr) ......239 8.3.6.4.4 Areal parameters for stratified functional surfaces of scalelimited surfaces...........................240 8.3.6.4.5 Void volume, Vv(mr).....................241 8.3.6.4.6 Material volume, Vm(mr) ..............241 8.3.6.4.7 Peak extreme height, Sxp .............241 8.3.6.4.8 Gradient density function .............242 8.3.6.5 Miscellaneous parameters............................242 8.3.6.5.1 Texture direction of the scale-limited surface, Std.............242 8.3.7 Feature characterisation ...........................................243 8.3.7.1 Step 1 – Texture feature selection ................243

xi

xii

Contents

8.3.7.2 Step 2 – Segmentation ................................ 243 8.3.7.2.1 Change tree................................. 245 8.3.7.3 Step 3 – Significant features........................248 8.3.7.4 Step 4 – Selection of feature attributes......... 248 8.3.7.5 Step 5 – Quantification of feature attribute statistics ....................................... 249 8.3.7.6 Feature parameters .....................................249 8.3.7.6.1 Density of peaks, Spd .................. 250 8.3.7.6.2 Arithmetic mean peak curvature, Spc ............................................ 250 8.3.7.6.3 Ten point height of surface, S10z... 250 8.3.7.6.4 Five point peak height, S5p.......... 250 8.3.7.6.5 Five point pit height, S5v ............. 250 8.3.7.6.6 Closed dale area, Sda(c)............... 250 8.3.7.6.7 Closed hill area, Sha(c) ................ 251 8.3.7.6.8 Closed dale volume, Sdc(c) .......... 251 8.3.7.6.9 Closed hill volume, Shv(c) ............ 251 8.4 Fractal methods ................................................................ 251 8.4.1 Linear fractal methods .............................................252 8.4.2 Areal fractal analysis................................................ 255 8.4.2.1 Volume-scale analysis.................................. 255 8.4.2.2 Area-scale analysis...................................... 255 8.5 Comparison of profile and areal characterisation .................. 257 8.6 References .......................................................................258

CHAPTER 9 Coordinate metrology .................................................... 263 9.1 Introduction to CMMs........................................................ 263 9.1.1 CMM probing systems .............................................. 266 9.1.2 CMM software ......................................................... 266 9.1.3 CMM alignment....................................................... 267 9.1.4 CMMs and CAD ....................................................... 267 9.1.5 Prismatic against freeform........................................ 268 9.1.6 Other types of CMM ................................................. 268 9.2 Sources of error on CMMs .................................................. 268 9.3 Traceability, calibration and performance verification of CMMs .......................................................................... 269 9.3.1 Traceability of CMMs ............................................... 270 9.4 Miniature CMMs ............................................................... 272 9.4.1 Stand-alone miniature CMMs.................................... 273 9.4.1.1 A linescale-based miniature CMM ................ 273 9.4.1.2 A laser interferometer-based miniature CMM..........................................................274 9.5 Miniature CMM probes ......................................................275

Contents

9.6 Calibration of miniature CMMs ...........................................281 9.6.1 Calibration of laser interferometer-based miniature CMMs ......................................................283 9.6.2 Calibration of linescale-based miniature CMMs ..........283 9.7 References .......................................................................285

CHAPTER 10 Mass and force measurement ...................................... 289 10.1 Traceability of traditional mass measurement ..................289 10.1.1 Manufacture of the Kilogram weight and the original copies...................................................290 10.1.2 Surface texture of mass standards.......................291 10.1.3 Dissemination of the kilogram ............................291 10.1.4 Post nettoyage-lavage stability ............................292 10.1.5 Limitations of the current definition of the kilogram......................................................292 10.1.6 Investigations into an alternative definition of the kilogram ..................................................293 10.1.6.1 The Watt balance approach..................294 10.1.6.2 The Avogadro approach........................294 10.1.6.3 The ion accumulation approach............295 10.1.6.4 Levitated superconductor approach ......295 10.1.7 Mass comparator technology...............................295 10.1.7.1 The modern two-pan mechanical balance..............................................296 10.1.7.2 Electronic balances.............................296 10.2 Low-mass measurement ................................................297 10.2.1 Weighing by sub-division....................................297 10.3 Low-force measurement.................................................298 10.3.1 Relative magnitude of low forces.........................298 10.3.2 Traceability of low-force measurements ...............298 10.3.3 Primary low-force balances.................................299 10.3.4 Low-force transfer artefacts ................................301 10.3.4.1 Deadweight force production................301 10.3.4.2 Elastic element methods .....................301 10.3.4.3 Miniature electrostatic balance methods.............................................304 10.3.4.4 Resonant methods ..............................304 10.3.4.5 Further methods and summary .............306 10.4 References ...................................................................308

APPENDIX A ................................................................................................311 APPENDIX B ................................................................................................315 INDEX ..........................................................................................................317

xiii

This page intentionally left blank

Acknowledgements Many people have helped me to put this, my first book, together. The work has involved some re-arrangements in my personal life and I thank my loving partner, Nikki, for putting up with this (especially with me insisting on having the laptop in the living room on a permanent basis). Above all I would like to express thanks to Dr Han Haitjema (Mitutoyo Research Centre Europe, The Netherlands) for his critical comments on most of the chapter drafts and for his never-ending good humour and a sound basis in reality! Also, many external folk have contributed and for this they have my eternal gratitude and friendship. In no particular order, these include: John Hannaford (himself), Prof Derek Chetwynd (University of Warwick, UK), Dr Andreas Freise (University of Birmingham, UK), Prof Liam Blunt, Dr; Leigh Brown and Prof Xiangqian (Jane) Jiang (University of Huddersfield, UK), Dr Mike Conroy, Mr Daniel Mansfield, Mr Darian Mauger and Prof Paul Scott (Taylor Hobson, UK), Dr Roy Blunt (IQE, UK), Dr Jon Petzing (Loughborough University, UK), Dr Georg Wiora (Nanofocus, Germany), Dr Franz Helmli (Alicona, Austria), Dr Lars Lindstrand (Scantron, UK), Prof Chris Brown (Worcester Polytechnic Institute, USA), Prof Paul Shore (Cranfield University, UK), Dr James Johnstone (NanoKTN, UK), Dr Roland Roth (Zeiss, Germany), Prof Gert Ja¨ger (Ilmenau University of Technology, Germany), Dr Ted Vorburger (NIST, USA), Dr Ernst Treffers (Xpress Precision Engineering, Netherlands), Dr Marijn van Veghel (NMi-VSL, Netherlands), Dr Chris King (University College London, UK), Dr Tristan Colomb (Lynce´e Tec, Switzerland), and Dr Katsuhiro Miura and Mr Atsuko Nose (Mitaka Kohki Co, Japan). Many folk at NPL have supported me and contributed to the contents of the book. These include: Mr James Claverley, Dr Alex Cuenat, Dr Stuart Davidson, Mr David Flack, Prof Mark Gee, Mr Claudiu Giusca, Dr Peter Harris, Mr Chris Jones, Mr Andy Knott, Dr Andrew Lewis, Dr Simon Reilly and Dr Andrew Yacoot. Especial thanks are due to Mr Julian Game for all his magical work with the superb figures.

xv

xvi

Acknowledgements

I must also thank Dr Nigel Hollingsworth (Key Technologies Innovations International) for all his support during the writing of the book. This book is dedicated to the late Prof Albert Franks, who was my first manager at NPL and gave me a great deal of inspiration for this field of research. Thank you Albert. I wish to express thanks to my parents and sisters; they are, after all, the ones I wish to please most. Also I would like to mention my son Marcus, whom I love dearly.

Figures Figure 2.1 Figure 2.2 Figure 2.3 Figure 2.4 Figure 2.5 Figure 2.6 Figure 2.7 Figure 2.8 Figure 2.9 Figure 3.1 Figure 3.2 Figure 3.3 Figure 3.4 Figure 3.5 Figure 3.6 Figure 3.7 Figure 4.1 Figure 4.2 Figure 4.3 Figure 4.4 Figure 4.5 Figure 4.6 Figure 4.7

An ancient Egyptian cubit (a standard of mass is also shown) ....................................................................................... 6 Metal bar length standards (gauge blocks and length bars) ..... 8 An iodine-stabilised helium-neon laser based at NPL, UK ... 10 Kilogram 18 held at the NPL, UK .......................................... 11 Energy levels in the He-Ne gas laser for 632.8 nm radiation .................................................................................. 24 Schema of an iodine-stabilised He-Ne laser .......................... 27 Frequency and intensity profiles in a two-mode He-Ne laser ............................................................................. 27 Magnetic splitting of neon – g is the Lande´ g factor, m the Bohr magneton .............................................................. 29 Calibration scheme for Zeeman-stabilised laser.................... 30 (a) A Type I Kelvin clamp, (b) a Type II Kelvin clamp ............ 38 A single degree of freedom motion device.............................. 39 Effects of Abbe error on an optical length measurement ...... 40 Mutual compression of a sphere on a plane .......................... 42 Kevin Lindsey with the Tetraform grinding machine ............ 47 Measured vertical amplitude spectrum on a ‘noisy’ (continuous line) and a ‘quiet’ (dotted line) site [29] ............. 48 Damped transmissibility, T, as a function of frequency ratio (u/u0)............................................................................... 50 Definition of the length of a gauge block ............................... 57 A typical gauge block wrung to a platen ................................. 58 Amplitude division in a Michelson/Twyman-Green interferometer.......................................................................... 60 Intensity as a function of phase for different visibility .......... 61 Intensity distribution for a real light source .......................... 62 Illustration of the effect of a limited coherence length for different sources ................................................................ 63 Schema of the original Michelson interferometer ................. 64

xvii

xviii

Figures

Figure 4.8 Schema of a Twyman-Green interferometer .......................... 65 Figure 4.9 The Fizeau interferometer ...................................................... 66 Figure 4.10 Typical interference pattern of a flat surface in a Fizeau interferometer .............................................................. 67 Figure 4.11 Schema of a Jamin interferometer.......................................... 69 Figure 4.12 Schema of a Mach-Zehnder interferometer ........................... 69 Figure 4.13 Schematic of the Fabry-Pe´rot interferometer ......................... 70 Figure 4.14 Transmittance as a function of distance, L, for various reflectances .............................................................................. 71 Figure 4.15 Possible definition of a mechanical gauge block length ........ 72 Figure 4.16 Schema of a gauge block interferometer containing a gauge block ........................................................................... 73 Figure 4.17 Theoretical interference pattern of a gauge block on a platen ............................................................................... 74 Figure 4.18 Method for determining a surface and phase change correction................................................................................. 79 Figure 5.1 Homodyne interferometer configuration ............................... 87 Figure 5.2 Heterodyne interferometer configuration............................... 88 Figure 5.3 Optical arrangement to double pass a Michelson interferometer ....................................................... 90 Figure 5.4 Schema of a differential plane mirror interferometer ............ 91 Figure 5.5 Cosine error with an interferometer ...................................... 94 Figure 5.6 Schema of an angular interferometer ..................................... 98 Figure 5.7 A typical capacitance sensor set-up ........................................ 99 Figure 5.8 Schematic of an LVDT probe ............................................... 101 Figure 5.9 Error characteristic of an LVDT probe ................................. 102 Figure 5.10 Schema of an optical encoder ............................................... 103 Figure 5.11 Total internal reflectance in an optical fibre ........................ 104 Figure 5.12 End view of bifurcated optical fibre sensors, (a) hemispherical, (b) random and (c) fibre pair ................... 105 Figure 5.13 Bifurcated fibre optic sensor components ............................ 106 Figure 5.14 Bifurcated fibre optic sensor response curve ........................ 106 Figure 5.15 Schema of an X-ray interferometer ...................................... 109 Figure 5.16 Schema of a combined optical and X-ray interferometer .... 110 Figure 6.1 Amplitude-wavelength space depicting the operating regimes for common instruments ........................................ 117 Figure 6.2 The original Talysurf instrument (courtesy of Taylor Hobson) ...................................................................... 119 Figure 6.3 Example of the result of a profile measurement .................. 120 Figure 6.4 Profiles showing the same Ra with differing height distributions ............................................................... 122

Figures

Figure 6.5

Figure 6.6 Figure 6.7 Figure 6.8 Figure 6.9 Figure 6.10 Figure 6.11 Figure 6.12 Figure 6.13

Figure 6.14

Figure 6.15

Figure 6.16 Figure 6.17 Figure 6.18 Figure 6.19 Figure 6.20 Figure 6.21 Figure 6.22 Figure 6.23 Figure 6.24

Figure 6.25 Figure 6.26 Figure 6.27 Figure 6.28

A profile taken from a 3D measurement shows the possible ambiguity of 2D measurement and characterisation ..................................................................... 122 Schema of a typical stylus instrument ................................. 123 Damage to a brass surface due to a high stylus force .......... 124 Numerical aperture of a microscope objective lens ............. 128 Example of the batwing effect when measuring a step using a coherence scanning interferometer ............... 131 Over-estimation of surface roughness due to multiple scattering in vee-grooves ........................................ 132 Principle of a laser triangulation sensor ............................... 133 Confocal set-up with (a) object in focus and (b) object out of focus ............................................................................ 135 Demonstration of the confocal effect on a piece of paper: (a) microscopic bright field image (b) confocal image. The contrast of both images has been enhanced for a better visualisation ............................................................. 136 Schematic representation of a confocal curve. If the surface is in focus (position 0) the intensity has a maximum .................................................................... 136 Schema of a Nipkow disk. The pinholes rotate through the intermediate image and sample the whole area within one revolution ....................................................................... 137 Schema of a confocal microscope using a Nipkow disk ...... 137 Chromatic confocal depth discrimination ........................... 139 Schema of a point autofocus instrument ............................. 140 Principle of point autofocus operation ................................. 141 Schema of a focus variation instrument .............................. 142 Schema of a phase-shifting interferometer .......................... 144 Schematic diagram of a Mirau objective .............................. 145 Schematic diagram of a Linnik objective ............................. 146 Schematic diagram of DHM with beam-splitter (BS), mirrors (M), condenser (C), microscope objective (MO) and lens in the reference arm (RL) used to perform a reference wave curvature similar to the object wave curvature (some DHM use the same MO in the object wave) ........................ 148 Schema of a coherence scanning interferometer ................. 150 Schematic of how to build up an interferogram on a surface using CSI .......................................................... 151 Integrating sphere for measuring TIS .................................. 154 Analysis of a type A1 calibration artefact ............................ 158

xix

xx

Figures

Figure 6.29 Figure 6.30 Figure 6.31 Figure 6.32 Figure 6.33 Figure 6.34 Figure 6.35 Figure 6.36 Figure 7.1 Figure 7.2 Figure 7.3

Figure 7.4

Figure 7.5 Figure 7.6 Figure 7.7

Figure 7.8

Figure 7.9

Type ER1 – two parallel groove standard ............................. 160 Type ER2 – rectangular groove standard .............................. 160 Type ER3 – circular groove standard .................................... 161 Type ES – sphere/plane measurement standard ................... 162 Type CS – contour standard.................................................. 163 Type CG1 – X/Y crossed grating ........................................... 163 Type CG2 – X/Y/Z grating standard ..................................... 164 Results of a comparison of different instruments used to measure a sinusoidal sample ................................... 166 Schematic image of a typical scanning probe system, in this case an AFM .............................................................. 179 Block diagram of a typical SPM ............................................ 182 Noise results from an AFM. The upper image shows an example of a static noise investigation on a bare silicon wafer. The noise-equivalent roughness is Rq ¼ 0.013 nm. For comparison, the lower image shows the wafer surface: scan size 1 mm by 1 mm, Rq ¼ 0.081 nm ............................ 184 Schematic of the imaging mechanism of spherical particle imaging by AFM. The geometry of the AFM tip prevents ‘true’ imaging of the particle as the apex of the tip is not in contact with the particle all the time and the final image is a combination of the tip and particle shape. Accurate sizing of the nanoparticle can only be obtained from the height measurement ............................................................. 185 Definition of the pitch of lateral artefacts: (a) 1D and (b) 2D .................................................................. 187 Schematic of a force curve (a) and force-distance curve (b) ................................................................................. 190 Schematic illustration of the strong capillary force that tends to drive the tip and sample together during imaging in air ........................................................................ 194 (a) TEM image of nominal 30 nm diameter gold nanoparticles; (b) using threshold to identify the individual particles; (c) histogram of the measured diameters ............. 205 TEM image of 150-nm-diameter latex particles. This image highlights the drawback to TEM size measurement using TEM or SEM. The first is that a white ‘halo’ surrounds the particle. Should the halo area be included in the size measurement? If so there will be a difficulty in determining the threshold level. The second is the particles are aggregated, again making sizing difficult......... 206

Figures

Figure 8.1 Figure 8.2 Figure 8.3 Figure 8.4 Figure 8.5 Figure 8.6 Figure 8.7

Figure 8.8

Figure 8.9 Figure 8.10 Figure 8.11 Figure 8.12 Figure 8.13 Figure 8.14

Figure 8.15 Figure 8.16 Figure 8.17 Figure 8.18 Figure 8.19 Figure 8.20 Figure 8.21 Figure 8.22 Figure 8.23

Separation of surface texture into roughness, waviness and profile.............................................................................. 214 Primary (top), waviness (middle) and roughness (bottom) profiles ................................................................................... 215 Maximum profile peak height, example of roughness profile ............................................................... 218 Maximum profile valley depth, example of roughness profile ..................................................................................... 219 Height of profile elements, example of roughness profile ..................................................................................... 220 The derivation of Ra ............................................................. 221 Profiles with positive (top), zero (middle) and negative (bottom) values of Rsk (reprinted from ASME B46.11995, by permission of the American Society of Mechanical Engineers. All rights reserved) .......................... 222 Profiles with low (top) and high (bottom) values of Rku (reprinted from ASME B46.1-1995, by permission of the American Society of Mechanical Engineers. All rights reserved) ................................................................................ 223 Width of profile elements ..................................................... 224 Material ratio curve ............................................................... 225 Profile section level separation ............................................. 226 Profile height amplitude distribution curve ......................... 227 Amplitude distribution curve ............................................... 227 Epitaxial wafer surface topographies in different transmission bands: (a) the raw measured surface; (b) roughness surface (short scale SL-surface) S-filter ¼ 0.36 mm (sampling space), L-filter ¼ 8 mm); (c) wavy surface (middle scale SF-surface) S-filter ¼ 8 mm, F-operator; and (d) form error surface (long scale form surface), F-operator ............................................................... 231 Areal material ratio curve ..................................................... 240 Inverse areal material ratio curve ......................................... 240 Void volume and material volume parameters .................... 242 Example simulated surface ................................................... 245 Contour map of Figure 8.18 showing critical lines and points ..................................................................... 245 Full change tree for Figure 8.19 ............................................ 246 Dale change tree for Figure 8.19 .......................................... 247 Hill change tree for Figure 8.19 ............................................ 247 Line segment tiling on a profile ............................................ 253

xxi

xxii

Figures

Figure 8.24 Figure 8.25 Figure 9.1 Figure 9.2 Figure 9.3

Figure 9.4 Figure 9.5 Figure 9.6 Figure 9.7 Figure 9.8

Figure 9.9

Figure 9.10 Figure 9.11

Figure 9.12 Figure 10.1

Figure 10.2 Figure 10.3

Inclination on a profile ......................................................... 254 Tiling exercises for area-scale analysis ................................. 256 A typical moving bridge CMM ............................................. 264 CMM configurations............................................................. 265 Illustration of the effect of different measurement strategies on the diameter and location of a circle. The measurement points are indicated in red; the calculated circles from the three sets are in black and the centres are indicated in blue .................................................................... 271 Schema of the kinematic design of the Zeiss F25 CMM .... 273 Schema of the NMM ............................................................ 275 Schema of the NMM measurement coordinate measuring principle .............................................................. 276 Silicon micro-scale probe designed by [34], produced by chemical etching and vapour deposition .............................. 277 The fibre probe developed by PTB. Notice the second microsphere on the shaft of the fibre; this gives accurate measurement of variations in sample ‘height’ (z axis) [38] ............................................................................ 278 A vibrating fibre probe. The vibrating end forms a ‘virtual’ tip that will detect contact with the measurement surface while imparting very little force [41] .................................... 279 Vertical AFM probe for MEMS sidewall investigation [44].... 280 Miniature CMM performance verification artefacts. (a) METAS miniature ball bar, (b) PTB ball plate, (c) PTB calotte plate, (d) PTB calotte cube, (e) Zeiss halfsphere plate ....................................................................................... 282 Straightness (xTx) measurement of the F25 with the CAA correction enabled ................................................................. 284 Comparative plot of described surface interaction forces, based on the following values: R ¼ 2 mm; U ¼ 0.5 V; g ¼ 72 mJ$m2; H ¼ 1018 J; e ¼ r ¼ 100 nm. Physical constants take their standard values: e0 ¼ 8.854  1012 C2$N1$m2; h ¼ 1.055  1034 m2$kg$s1 and c ¼ 3  108 m$s1 ................................................................ 299 Schema of the NPL low-force balance .................................. 300 Experimental prototype reference cantilever array – plan view ............................................................................... 302

Figures

Figure 10.4 Images of the NPL C-MARS device, with detail of its fiducial markings; the 10 mm oxide squares form a binary numbering system along the axis of symmetry .......................................................................... 303 Figure 10.5 Computer model of the NPL Electrical Nanobalance device. The area shown is 980 mm  560 mm. Dimensions perpendicular to the plane have been expanded by a factor of twenty for clarity ............................ 305 Figure 10.6 Schema of a resonant force sensor – the nanoguitar ........... 306

xxiii

This page intentionally left blank

Tables

Table 3.1 Table 3.2 Table 4.1 Table 4.2 Table 4.3 Table 6.1 Table 7.1 Table 7.2 Table 7.3 Table 8.1 Table 8.2 Table Table Table Table Table

8.3 8.4 8.5 8.6 8.7

Sources of seismic vibration and corresponding frequencies [27] ......................................................................... 48 Possible sources of very-low-frequency vibration ..................... 49 Gauge block classes according to ISO 3650 [5] ....................... 58 The quality factor and coherence length of some light sources ....................................................................................... 63 Effect of parameters on refractive index: RH is relative humidity ............................................................ 78 Minimum distance between features for different objectives .................................................................. 129 Overview of guidance deviations, standards to be used and calibration measurements [12] ........................................ 189 Examples of surface forces commonly encountered in AFM measurement ................................................................. 193 Various substances that have been linked to AFM tips or cantilevers ........................................................................... 195 Relationship between cut-off wavelength, tip radius (rtip) and maximum sampling spacing [12] .................................... 216 Relationships between nesting index value, S-filter nesting index, sampling distance and ball radius ............................... 233 Types of scale-limited features ................................................ 244 Criteria of size for segmentation ............................................ 244 Methods for determining significant features ........................ 248 Feature attributes .................................................................... 249 Attribute statistics ................................................................... 249

xxv

xxvi

Tables

Table 10.1

Table 10.2

Summary of surface interaction force equations. In these equations F is a force component, U the work function difference between the materials, D the sphere-flat separation, g the free surface energies at state boundaries, H the Hamaker constant and q the contact angle of in-interface liquid on the opposing solid surfaces. In the capillary force the step function u(.) describes the breaking separation; e is the liquid layer thickness and r the radius of meniscus curvature in the gap ......................................... 298 Advantages and disadvantages of low-force production and measurement methods ......................................................... 307

CHAPTER 1

Introduction to metrology for micro- and nanotechnology There are many stories of wonderful new machines and changes in lifestyle that will be brought about by the commercial exploitation of microand nanotechnology (MNT) (see, for example, references 1-3). However, despite significant increases in funding for research into MNT across the globe, the commercial success to date has not been as high as has been predicted. At the smaller of the two scales, most work in nanotechnology is still very much at the research stage. However, in the more mature world of microsystems technology (MST) there is already a significant industry in its own right. In fact, the MST industry has now matured to such an extent that it is undergoing dramatic change and restructuring, along the lines followed previously by conventional engineering and macro-scale technology. Despite overall steady growth in the total market, particular sectors and individual companies are experiencing difficult times; acquisitions, mergers and even bankruptcies are becoming commonplace. It is asserted that what the MNT industry needs is a standards infrastructure that will allow fabrication plants to interchange parts, packaging and design rules; effectively the MNT equivalent of macro-scale nuts and bolts or house bricks. This will not stifle innovation; on the contrary, it will allow designers and inventors to have more time to consider the innovative aspects of their work, rather than having to waste time ‘re-inventing the wheel’. The results of recent government reviews [3] and surveys in Europe [4] and the USA [5] clearly indicate that standardization is the major issue that is hampering commercial success of the MST industry. This book considers a subset of the metrology that will be required in the near future to support a standards infrastructure for MNT. If interchangeability of parts is to become a reality, then fabrication plants need to move away from ‘in-house’ or ‘gold’ standards, and move towards measurement standards and Fundamental Principles of Engineering Nanometrology Copyright Ó 2010 by Elsevier Inc. All rights reserved.

CONTENTS What is engineering nanometrology? The contents of this book References

1

2

C H A P T ER 1 : Introduction to metrology for micro- and nanotechnology

techniques that are traceable to national or international realisations of the measurement units [6]. Progress in MNT is not just of interest at the academic level. There is a considerable advantage in being able to reach a sufficient number of markets with new devices and materials to be able to recover development costs. There is consequently much effort devoted not only to development of MNT devices and materials, but also to maximising market uptake and transfer of technology from the research stage, through production, out to the commercial marketplace. In many cases, examination of the barriers preventing successful uptake of new technology reveals some areas of metrology where there needs to be more research than is carried out at the moment. Also, metrology does not just allow control of production but can allow legal, ethical and safety issues [7] to be settled in a quantitative and informative manner. There is a major thrust in standardization for MNT activities in many national and regional committees. The International Organization for Standardization (ISO) has recently set up ISO technical committee (TC) 229. The IEC has also established TC 113 to complement electrical activities. Recognising that there is an intersection between matter and radiation at the MNT level, several of the working groups are collaborations between ISO and IEC. The Joint Working Groups (JWGs) are divided into terminology and nomenclature (JWG1), measurement and characterization (JWG2) and two sole ISO WGs on health, safety and environment (WG3) and product specifications and performance (WG4). The main work of the committees so far has been to define common definitions for nanotechnology and to issue reviews of handling engineered nanomaterials in the workplace. Measurement and characterization standards are currently being developed especially for carbon nanotube analysis. This work is also being complemented by activities in Europe that are coordinated by CEN TC 352. There are also many well-established and related ISO committees that are not exclusively MNT but cover aspects of engineering nanometrology; for example, ISO TC 213, which covers surface texture standards, and ISO TC 201, which covers many of the standardization issues for scanning probe microscopes, and ISO TC 209 (cleanroom technologies) is also forming a working group (WG10) on nanotechnology considerations.

1.1 What is engineering nanometrology? The field of engineering metrology relates to the measurement and standardization requirements for manufacturing. In the past, engineering metrology mainly covered dimensional metrology, i.e. the science and

The contents of this book

technology of length measurement (see [8,9]). Modern engineering metrology usually encompasses dimensional plus mass and related quantity metrology. Some authors have also incorporated materials metrology into the fold [10] and this is an important inclusion. However, this book will concentrate on the more traditional dimensional and mass areas. This choice is partly to keep the scope of the book at a manageable level and partly because those are the areas of research that the author has been active in. So, engineering nanometrology is traditional engineering metrology at the MNTscale. Note that whilst nanotechnology is the science and technology of structures varying in size from around 0.1 nm to 100 nm, nanometrology does not only cover this size range. Nanometrology relates to measurements with accuracies or uncertainties in this size range (and smaller!). For example, one may be measuring the form of a 1 m telescope mirror segment to an accuracy of 10 nm. It is important to realise that there are many areas of MNT measurement that are equally as important as dimensional and mass measurements. Other areas not included in this book are measurements of electrical, chemical and biological quantities, and the wealth of measurements for material properties, including the properties of particles. There are also areas of metrology that could well be considered engineering nanometrology but have not been covered by this book. These include the measurement of roundness [11], thin films (primarily thickness) [12,13], the dynamic measurement of vibrating structures [14] and tomography measurements (primarily x-ray computed tomography [15] and optical coherence tomography [16]). Once again, the choice of contents has been dubiously justified above!

1.2 The contents of this book This book is divided into ten chapters. Chapter 2 gives an introduction to measurement, including short histories of, and the current unit definitions for, length, angle, mass and force. Basic metrological terminology is introduced, including the highly important topic of measurement uncertainty. The laser is presented in chapter 2, as it is a very significant element of many of the instruments described in this book. Chapter 3 reviews the most important concepts needed when designing or analysing precision instruments. Chapter 4 covers the measurement of length using optical interferometry, and discusses the concepts behind interferometry, including many error sources. Chapter 5 reviews the area of displacement measurement and presents most modern forms of displacement sensor. The field of surface texture measurement is covered in the next

3

4

C H A P T ER 1 : Introduction to metrology for micro- and nanotechnology

three chapters, as it is a very large and significant topic. Chapter 6 covers stylus and optical surface measuring instruments, and chapter 7 covers scanning probe and particle beam instruments. Both chapters 6 and 7 include instrument descriptions, limitations and calibration methods. Chapter 8 presents methods for characterizing surfaces, including both profile and areal techniques. Chapter 9 introduces the area of coordinate metrology and reviews the latest developments with micro-coordinate measuring machines. Lastly, chapter 10 presents a review of the latest advances in low mass and force metrology.

1.3 References [1] Storrs Hall J 2005 Nanofuture: what’s next for nanotechnology (Promethius Books) [2] Mulhall D 2002 Our molecular future: how nanotechnology, robotics, genetics and artificial intelligence will transform our future (Promethius Books) [3] 2004 Nanoscience and nanotechnologies: opportunities and uncertainties (Royal Society and Royal Academy of Engineering) [4] Singleton L, Leach R K, Cui Z 2003 Analysis of the MEMSTAND survey on standardisation for microsystems technology Proc. Int. Seminar MEMSTAND, Barcelona, Spain, 24-26 Feb. 11–31 [5] MEMS Industry Group Report: ‘‘Focus on Fabrication,’’ Feb. 2003 [6] Postek M T, Lyons K 2007 Instrumentation, metrology and standards: key elements for the future of nanotechnology Proc. SPIE 6648 664802 [7] Hunt G, Mehta M 2008 Nanotechnology: risk, ethics and law (Earthscan Ltd) [8] Hume K J 1967 Engineering metrology (Macdonald & Co.) 2nd edition [9] Thomas G G 1974 Engineering metrology (Newnes-Butterworth: London) [10] Anthony D M 1986 Engineering metrology (materials engineering practice) (Pergamon) [11] Smith G T 2002 Industrial metrology: surfaces and roundness (Springer) [12] Tompkins H G, Eugene A I 2004 Handbook of ellipsometry (Springer) [13] Yacoot A, Leach R K 2007 Review of x-ray and optical thin film measurement methods and transfer artefacts NPL Report DEPC-EM 13 [14] Lobontiu N 2007 Dynamics of microelectromechanical systems (Springer) [15] Withers P J 2007 X-ray nanotomography Materials Today 10 26–34 [16] Brezinski M E 2006 Optical coherence tomography: principles and applications (Academic Press)

CHAPTER 2

Some basics of measurement 2.1 Introduction to measurement Over the last couple of thousand years significant advances in technology can be traced to improved measurements. Whether we are admiring the engineering feat represented by the Egyptian pyramids, or the fact that in the twentieth century humans walked on the moon, we should appreciate that this progress is due in no small part to the evolution of measurement. It is sobering to realise that tens of thousands of people were involved in both operations and that these people were working in many different places producing various components that had to be brought together – a large part of the technology that enabled this was the measurement techniques and standards that were used [1]. The Egyptians used a royal cubit as the standard of length measurement (it was the distance from Pharaoh’s elbow to his fingertips – see Figure 2.1), while the Apollo space programme ultimately relied on the definition of the metre in terms of the wavelength of krypton 86 radiation. In Egypt the standards were kept in temples and the priests were beheaded if they were not recalibrated on time. Nowadays there are worldwide systems of accrediting laboratories, and laboratories are threatened with losing their accreditation if the working standards are not recalibrated on time. Primary standards are kept in national measurement institutes that have a great deal of status and national pride. The Egyptians appreciated that, provided that all four sides of a square are the same length and the two diagonals are equal, then the interior angles will all be the same – 90 . They were able to compare the two diagonals and look for small differences between the two measurements to determine how square the base of the pyramid was. Humans have walked on the moon because a few brave people were prepared to sit on top of a collection of ten thousand manufactured parts all Fundamental Principles of Engineering Nanometrology Copyright Ó 2010 by Elsevier Inc. All rights reserved.

CONTENTS Introduction to measurement Units of measurement and the SI Length Mass Force Angle Traceability Accuracy, precision, resolution, error and uncertainty The laser References

5

6

C H A P T ER 2 : Some basics of measurement

FIGURE 2.1 An ancient Egyptian cubit (a standard of mass is also shown).

built and assembled by the lowest bidder, and finally filled with hundreds of tons of explosive hydrogen and oxygen propellant. A principal reason that it all operated as intended was that the individual components were manufactured to exacting tolerances that permitted final assembly and operation as intended. The phrase ‘mass production’ these days brings visions of hundreds of cars rolling off a production line every day. From Henry Ford in the 1920s through to the modern car plants such as BMW and Honda, the key to this approach is to have tiers of suppliers and sub-contractors all sending the right parts to the next higher tier and finally to the assembly line. The whole manufacture and assembly process is enabled by the vital traceable measurements that take place along the route. Modern manufacturing often involves the miniaturization of products and components. This ‘nanotechnology revolution’ has meant that not only have the parts shrunk to micrometres and nanometres, but tolerances have too. The dimensional and mass measurements that are required to ensure that these tiny parts fit together, or ensure that larger precision parts are fit for purpose, are the subject of this book.

2.2 Units of measurement and the SI The language of measurement that is universally used in science and engineering is the Syste`me International d’Unite´s (SI) [2]. The SI embodies the

Length

modern metric system of measurement and was established in 1960 by the 11th Confe´rence Ge´ne´rale des Poids et Mesures (CGPM). The CGPM is the international body that ensures wide dissemination of the SI and modifies the SI as necessary to reflect the latest advances in science and technology. There are a number of international organizations, treaties and laboratories that form the scientific and legal infrastructure of measurement (see [3] for details). Most technologically advanced nations have national measurement institutes (NMIs) that are responsible for ensuring that measurements comply with the SI and ensure traceability (see section 2.7). Examples of NMIs include the National Physical Laboratory (NPL, UK), PhysikalischTechnische Bundesanhalt (PTB, Germany), National Metrology Institute Japan (NMIJ, Japan) and the National Institute of Standards and Technology (NIST, USA). The web sites of the larger NMIs all have a wealth of information on measurement and related topics. The SI is principally based on a system of base quantities, each associated with a unit and a realization. A unit is defined as a particular physical quantity, defined and adopted by convention, with which other particular quantities of the same kind are compared to express their value. The realization of a unit is the physical embodiment of that unit, which is usually performed at an NMI. The seven base quantities (with their associated units in parentheses) are: time (second), length (metre), mass (kilogram), electric current (ampere), thermodynamic temperature (kelvin), amount of substance (mole) and luminous intensity (candela). Engineering metrology is mainly concerned with length and mass, and these two base quantities will be given some attention here. Force and angle are also important quantities in engineering metrology and will be discussed in this chapter. The other base quantities, and their associated units and realizations, are presented in Appendix 1. In addition to the seven base quantities there are a number of derived quantities that are essentially combinations of the base units. Some examples include acceleration (unit: metres per second), density (unit: kilogram per cubic metre) and magnetic field strength (unit: ampere per metre). There are also a number of derived quantities that have units with special names. Some examples include frequency (unit: hertz or cycles per second), energy (unit: joule or kilogram per square metre per second) and electric charge (unit: coulomb or the product of ampere and second). Further examples of derived units are presented in Appendix 2.

2.3 Length The definition and measurement of length has taken many forms throughout human history (see [4,5] for more thorough historical overviews).

7

8

C H A P T ER 2 : Some basics of measurement

The metre was first defined in 1791, as ‘one ten millionth of the polar quadrant of the earth passing through Paris’. The team of surveyors that measured the part of the polar quadrant between Dunkirk and Barcelona took six years to complete the task. This definition of the metre was realized practically with a bar (or end gauge) of platinum in 1899. This illustrates the trade-offs between physical stability and reproducibility, and the practical realizability of standards. Of course the earth’s quadrant is far more stable than a human’s arm length, but to realize this in a standard is much more tedious. Some years after the prototype metre was realized, some errors were found in the calculation of its length and it was found that the platinum metre was about 1 mm short. However, it was decided to keep the material artefact for practical reasons. Another struggle that has continued until today is the preference of material length; whether to use an end standard (see section 4.2 and Figure 2.2) with two flat faces that define a distance, or a line standard where two lines engraved in a material define a length. In 1889 the platinum metre was replaced by a platinum-iridium line standard, the socalled X-metre, that kept the same defined distance as well as possible. The X-metre was used until 1960 [6], when the metre was defined as: the metre is the length equal to 1 650 763.73 wavelengths in vacuum of the radiation corresponding to the transition between the levels 2p10 and 5d5 of the krypton 86 atom

FIGURE 2.2 Metal bar length standards (gauge blocks and length bars).

Length

This redefinition was possible because of the developments in interferometry and the sharp spectral line of the krypton atom that enabled interferometry up to 1 m – with gauge blocks. Around 1910, such a re-definition was proposed, but at that time the metre could not be reproduced with a lower uncertainty than with the material artefact. In 1983, advances in the development of the laser, where many stabilization methods resulted in lasers that were more stable than the krypton spectral line, led to the need for a new definition. In the meantime, it was found that the speed of light in a vacuum is constant within all experimental limits, independent of frequency, intensity, source movement and time. Also it became possible to link optical frequencies to the time standard. This enabled a redefinition of the metre as [7]: the length of the path travelled by light in a vacuum in a time interval of 1/c of a second, where c is the speed of light given by 299 792 458 m$s1 Together with this definition, a list of reference frequencies was given, with associated uncertainties [8]. These included spectral lamps, for example. The krypton spectral line was unchanged but it received an attributed uncertainty. More convenient and precise, however, are stabilized laser systems. Such a current realization of the metre can have an uncertainty in frequency of one part in 1011. Figure 2.3 shows an iodine-stabilized helium-neon laser held at NPL. This new definition was only possible because it could be realized with a chain of comparisons. As discussed, the speed of light in a vacuum is generally regarded as a universal constant of nature, therefore, making it ideal as the basis for a length standard. The speed of an electromagnetic wave is given by c ¼ nl

(2.1)

where n is the frequency and l is the wavelength of the radiation. Therefore, length can be disseminated by measuring frequency or wavelength, usually using either time of flight measurements or interferometry (see chapter 4). Note that length can be considered to be a base quantity that is realized in a manner that is based upon the principles of quantum mechanics. The emission of electromagnetic waves from an atom (as occurs in a laser – see section 2.9) is a quantized phenomenon and not subject to change provided certain conditions are kept constant. This is a highly desirable property of a base unit definition and realization [9]. Note that the modern definition of length has become dependent on the time definition. This was proposed earlier; in the seventeenth century Christiaan Huygens proposed to define the metre as the length of a bar with

9

10

C H A P T ER 2 : Some basics of measurement

FIGURE 2.3 An iodine-stabilised helium-neon laser based at NPL, UK.

a time of oscillation of one second. However, this failed because of the variation of local acceleration due to gravity with geographic location. Most of the measurements that are described in this book are length measurements. Displacement is a change in length, surface profile is made up of height and lateral displacement, and coordinate measuring machines (CMMs, see chapter 10) measure the three-dimensional geometry of an object.

2.4 Mass In 1790, Louis XVI of France commissioned scientists to recommend a consistent system for weights and measures. In 1791 a new system of units was recommended to the French Academy of Sciences, including a unit that was the mass of a declared volume of distilled water in vacuo at the freezing point. This unit was based on natural constants but was not reproducible enough to keep up with technological advances. Over the next hundred years this definition of a mass unit was refined and a number of weights were manufactured to have a mass equal to it. In 1879 Johnson Matthey and Co. of London successfully cast an ingot of an alloy of platinum and iridium, a highly stable material. The water definition was abandoned and the platinum-iridium weight became the standard kilogram (known as the

Mass

International Prototype of the Kilogram). In 1889 forty copies of the kilogram were commissioned and distributed to the major NMIs to be their primary standard. The UK received Kilogram 18, which is now held at NPL (see Figure 2.4). The International Prototype of the Kilogram is made of an alloy of 90% platinum and 10% iridium and is held at the Bureau International des Poids et Mesures (BIPM) in Paris, France. A thorough treatise on mass metrology is given in chapter 10. Whereas the definition of length is given in terms of fundamental physical constants, and its realization is in terms of quantum mechanical effects, mass does not have these desirable properties. All mass measurements are traced back to a macroscopic physical object. The main problem with a physical object as a base unit realization is that its mass could change due to loss of material or contamination from the surrounding environment. The International Prototype Kilogram’s mass could be slightly greater or less today than it was when it was made in 1884 but there is no way of proving this [10]. It is also possible that a physical object could be lost or damaged. For these reasons there is considerable effort worldwide to re-define mass in terms of fundamental physical constants [11,12]. The front-runners at the time of writing are the Watt balance (based on electrical measurements that

FIGURE 2.4 Kilogram 18 held at the NPL, UK.

11

12

C H A P T ER 2 : Some basics of measurement

can be realized in terms of Plank’s constant and the charge on an electron [13]) and the Avogadro method (based on counting the number of atoms in a sphere of pure silicon and determining the Avogadro constant [14]); more methods are described in section 10.1.6. As with the metre, it is easy to define a standard (for example, mass as a number of atoms) but as long as it cannot be reproduced better than the current method, a re-definition, even using well-defined physical constants, does not make sense. On the MNTscale, masses can become very small and difficult to handle. This makes them difficult to manipulate, clean, and ultimately calibrate. These difficulties are discussed in the following section, which considers masses as force production mechanisms.

2.5 Force The SI unit of force, a derived unit, is the newton – one newton is defined as the force required to accelerate a mass of one kilogram at a rate of one metre per second, per second. The accurate measurement of force is vital in many MNT areas, for example the force exerted by an atomic force microscope on a surface (see section 7.3.5), the thrust exerted by an ion thrust space propulsion system [15] or the surface forces that can hamper the operation of devices based on microelectromechanical systems (MEMS) [16]. Conventionally, force is measured using strain gauges, resonant structures and loadcells [17]. The calibration of such devices is carried out by comparison to a weight. If the local acceleration due to gravity is known, the downward force generated by a weight of known mass can be calculated. This is the principle behind deadweight force standard machines – the mass values of their internal weights are adjusted so that, at a specific location, they generate particular forces. At NPL, gravitational acceleration is 9.81182 m$s2, so a steel weight with a mass of 101.9332 kg will generate a downward force of approximately 1 kN when suspended in air. Forces in the meganewton range (the capacity of the largest deadweight machines) tend to be generated hydraulically – oil at a known pressure pushes on a piston of known size to generate a known force [18]. When measuring forces on the MNT scale, different measurement principles are applied compared to the measurement of macroscale forces. As the masses used for deadweight force standards decrease, their relative uncertainty of measurement increases. For example at NPL a 1 kg mass can be measured with a standard uncertainty of 1 mg, or 1 part in 109. However, a 1 mg mass can only be measured with a standard uncertainty of, once again, 1 mg, or 1 part in 103, a large difference in relative uncertainty. This undesired

Angle

scaling effect of mass measurements is due to the limitations of the instrumentation used and the small physical size of the masses. Such small masses are difficult to handle and attract contamination easily (typically dust particles have masses ranging from nanograms to milligrams). The limitation also arises because the dominant forces in the measurement are those other than gravitational forces. Figure 10.1 in chapter 10 shows the effects of the sort of forces that are dominant in interactions on the MNT scale. Therefore, when measuring force from around 1 mN or lower, alternative methods to mass comparison are used, for example, the deflection of a spring with a known spring constant. Chapter 10 details methods that are commonly used for measuring the forces encountered in MNT devices along with a description of endeavours around the world to ensure the traceability of such measurements.

2.6 Angle The SI regards angle as a dimensionless quantity (also called a quantity of dimension one). It is one of a few cases where a name is given to the unit one, in order to facilitate the identification of the quantity involved. The names given for the quantity angle are radian (plane angle) and steradian (solid angle). The radian is defined with respect to a circle and is the angle subtended by an arc of a circle equal to the radius (approximately 57.2958 ). For practical angle measurement, however, the sexagesimal (degrees, minutes, seconds) system of units, which date back to the Babylonian civilization, is used almost exclusively [19]. The centesimal system introduced by Lagrange towards the end of the eighteenth century is rarely used. Other units referred to in this section require either a material artefact (for example, mass) or a natural standard (for example, length). No ultimate standard is required for angle measurement since any angle can be established by appropriate sub-division of the circle. A circle can only have 360 . In practice basic standards for angle measurement either depend on the accurate division of a circle or the generation of an angle from two known lengths. Instruments that rely on the principle of sub-division include precision index tables, rotary tables, polygons and angular gratings [19]. Instruments that rely on the ratio of two lengths include angular interferometers (see section 5.2.9), sine bars, sine tables and small angle generators. Small changes in angle are detected by an autocollimator [20] used in conjunction with a flat mirror mounted on the item under test, for example a machine tool. Modern autocollimators give a direct digital readout of angular position. The combination of a precision polygon and two autocollimators

13

14

C H A P T ER 2 : Some basics of measurement

enables the transfer of high accuracy in small angle measurement to the same accuracy in large angles, using the closing principle that all angles add up to 360 . Sometimes angle measurement needs to be gravity-referenced and in this case use is made of levels. Levels can be based either on a liquid-filled vial or on a pendulum and ancillary sensing system.

2.7 Traceability The concept of traceability is one of the most fundamental in metrology and is the basis upon which all measurements can be claimed to be accurate. Traceability is defined as follows: Traceability is the property of the result of a measurement whereby it can be related to stated references, usually national or international standards, through a documented unbroken chain of comparisons all having stated uncertainties. [21] To take an example, consider the measurement of surface profile using a stylus instrument (see section 6.6.1). A basic stylus instrument measures the topography of a surface by measuring the displacement of a stylus as it traverses the surface. So, it is important to ensure that the displacement measurement is ‘correct’. To ensure this, the displacement-measuring system must be checked or calibrated against a more accurate displacementmeasuring system. This calibration is carried out by measuring a calibrated step height artefact (known as a transfer artefact). Let us suppose that the more accurate instrument measures the displacement of the step using an optical interferometer with a laser source. This laser source is calibrated against the iodine-stabilized laser that realises the definition of the metre, and an unbroken chain of comparisons has been ensured. As we move down the chain from the definition of the metre to the stylus instrument that we are calibrating, the accuracy of the measurements usually decreases. It is important to note the last part of the definition of traceability that states all having stated uncertainties. This is an essential part of traceability as it is impossible to usefully compare, and hence calibrate, instruments without a statement of uncertainty. This should become obvious once the concept of uncertainty has been explained in section 2.8.3. Uncertainty and traceability are inseparable. Note that in practice the calibration of a stylus instrument is more complex than a simple displacement measurement (see section 6.10).

Accuracy, precision, resolution, error and uncertainty

Traceability ensures that measurements are consistent and accurate. Any quality system in manufacturing will require that all measurements are traceable and that there is documented evidence of this traceability (for example ISO 17025 [22]). If component parts of a product are to be made by different companies (or different parts of an organisation) it is essential that measurements are traceable so that the components can be assembled and integrated into a product. In the case of dimensional nanometrology, there are many examples when it is not always possible to ensure traceability because there is a break in the chain, often at the top of the chain. There may not be national or international specification standards available and the necessary measurement infrastructure may not have been developed. This is the case for many complex three-dimensional MNT measurements. Also, sometimes an instrument may simply be too complex to ensure traceability of all measurements. An example of this is the CMM (see chapter 9). Whilst the scales on a CMM (macro- or micro-scale) can be calibrated traceably, the overall instrument performance, or volumetric accuracy, is difficult and timeconsuming to determine and will be task-specific. In these cases it is important to verify the performance of the instrument against its specification by measuring well-chosen artefacts that have been traceably calibrated in an independent way. Where there are no guidelines or where there is a new measurement instrument or technique to be used, the metrologist must apply good practice and should consult other experts in the field. Traceability does not only apply to displacement (or length) measurements – all measurements should be traceable to their respective SI unit. In some cases, for example in a research environment or where a machining process is stable and does not rely on any other process, it may only be necessary to have a reproducible measurement. In this case the results should not be used where others may rely upon them and should certainly not be published.

2.8 Accuracy, precision, resolution, error and uncertainty There are many terms used in metrology that one must be aware of and it is important to be consistent in their use. The ISO VIM [21] lays out formal definitions of the main terms used in metrology. Central to many metrology terms and definitions is the concept of the ‘true value’. The true value of a measurement is the hypothetical result that would be returned by an ideal measuring instrument if there were no errors in the measurement. In practice the perfect scenario can never be achieved; there will always be some

15

16

C H A P T ER 2 : Some basics of measurement

degree of error in the measurement and it may not always be possible to have a stable, single-valued measurand. Even if one had an ideal instrument and measurement set-up, all measurements are ultimately subject to Heisenberg’s Uncertainty Principle; a consequence of quantum mechanics that puts a limit on measurement accuracy [23]. Often the true value is estimated using information about the measurement scenario. In many cases, where repeated measurements are taken, the estimate of the true value is the mean of the measurements.

2.8.1 Accuracy and precision Accuracy and precision are the two terms in metrology that are most frequently mixed up or used indistinguishably. The accuracy of a measuring instrument indicates how close the result is to the true value. The precision of a measuring instrument refers to the dispersion of the results when making repeated measurements (sometimes referred to as repeatability). It is, therefore, possible to have a measurement that is highly precise (repeatable) but is not close to the true value, i.e. inaccurate. This highlights the fundamental difference between the two terms and one must be careful when using them. Accuracy is a term relating the mean of a set of repeat measurements to the true value, whilst precision is representative of the spread of the measurements. The VIM definition of accuracy is: closeness of agreement between a measured quantity value and a true quantity value of a measurand and the definition of precision is: closeness of agreement between indications or measured quantity values obtained by replicate measurements on the same or similar objects under specified conditions.

2.8.2 Resolution and error The resolution of a measuring instrument is a quantitative expression of the ability of an indicating device to distinguish meaningfully between closely adjacent values of the quantity indicated. For example, for a simple dial indicator read by eye, the resolution is commonly given as half the distance between smallest, distinguishable indicating marks. It is not always either easy or obvious how to determine the resolution of an instrument. Consider for example an optical instrument that is used to measure surface texture and focuses light onto the surface. The lateral resolution is sometimes quoted in

Accuracy, precision, resolution, error and uncertainty

terms of the Rayleigh or Abbe criteria [24] although, depending on the numerical aperture of the focusing optics, the lateral resolution may be determined by the detector pixel spacing (see section 6.7.1). The axial resolution will be a complex function of the detector electronics, the detection algorithm and the noise floor. This example highlights that resolution is not a simple parameter to determine for a given instrument. It is also important to note that one should always consider resolution hand in hand with other instrument performance indicators such as accuracy and precision. Again using the example of the optical surface measuring instrument, some surfaces can cause the instrument to produce errors that can be several hundred nanometres in magnitude despite the fact that the instrument has an axial resolution of perhaps less than a nanometre (see section 6.7.1). The error in a measuring instrument is the difference between the indicated value and the true value (or the calibrated value of a transfer artefact). Errors usually fall into two categories depending on their origin. Random errors give rise to random fluctuations in the measured value and are commonly caused by environmental conditions, for example seismic noise or electrical interference. Systematic errors give rise to a constant difference from the true value, for example due to alignment error or because an instrument has not been calibrated correctly. Most measurements contain elements of both types of error and there are different methods for either correcting errors or accounting for them in uncertainty analyses (see [25] for a more thorough discussion on errors). Also errors can appear as random or systematic dependent on how they are treated. The VIM definition of resolution is: smallest change in a quantity being measured that causes a perceptible change in the corresponding indication and the definition of error is: measured quantity value minus reference quantity value.

2.8.3 Uncertainty in measurement As discussed in the introductory text for section 2.8 all measurements are subject to some degree of imperfection. It follows that a measured value can be expected to differ from the true quantity value, and measured values obtained from repeated measurement to be dispersed about the true quantity value or some value offset from the true quantity value. A statement

17

18

C H A P T ER 2 : Some basics of measurement

of uncertainty describes quantitatively the degree of imperfection of a measurement. A basic introduction to uncertainty of measurement is given elsewhere [26] although some of the more important terms and definitions are described briefly here. The Guide to the Expression of Uncertainty in Measurement (GUM) [27] is the definitive text on most aspects of uncertainty evaluation and should be read before the reader attempts an uncertainty evaluation for a particular measurement problem. A working group of the Joint Committee for Guides in Metrology (JCGM), the body responsible for maintaining the GUM, is in the process of preparing a number of documents to support and extend the application of the GUM [28]. The first of these documents, Supplement 1 to the GUM on the propagation of distributions using a Monte Carlo method [29], has been published. The VIM definition of measurement uncertainty is: non-negative parameter characterizing the dispersion of the quantity values being attributed to a measurand, based on the information used When measurement uncertainty is evaluated and reported as a coverage interval corresponding to a specified coverage probability p, it indicates an interval that is expected to contain 100p % of the values that could be attributed to the measured quantity.

2.8.3.1 The propagation of probability distributions The basis for the evaluation of measurement uncertainty is the propagation of probability distributions. In order to apply the propagation of probability distributions, a measurement model of the generic form Y ¼ fðX1 ; .; XN Þ

(2.2)

relating input quantities X1, ., XN, about which information is available, and the measurand or output quantity Y, about which information is required, is formulated. The input quantities include all quantities that affect or influence the measurement, including effects associated with the measuring instrument (such as bias, wear, drift, etc.), those associated with the artefact being measured (such as its stability), those associated with the measurement process, and ‘imported’ effects (such as the calibration of the instrument, material properties, etc.). Information concerning the input quantities is encoded as probability distributions for those quantities, such as rectangular (uniform), Gaussian (normal), etc. The information can take a variety of forms, including a series of indications, data on a calibration certificate, and the expert knowledge of the metrologist. An implementation of the propagation of probability distributions

Accuracy, precision, resolution, error and uncertainty

provides a probability distribution for Y, from which can be obtained an estimate of Y, the standard uncertainty associated with the estimate, and a coverage interval for Y corresponding to a stipulated (coverage) probability. Particular implementations of the approach are the GUM uncertainty framework (section 2.8.3.2) and a Monte Carlo method (section 2.8.3.3). In a Type A evaluation of uncertainty, the information about an input quantity Xi takes the form of a series of indications xir, r ¼ 1, ., n, obtained independently. An estimate xi of Xi is given by the average of the indications, i.e., xi ¼ x ¼

n 1X xir ; n r¼1

(2.3)

with associated standard uncertainty u(xi) given by the standard deviation associated with the average, i.e., vffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffi u n X u 1 (2.4) uðxi Þ ¼ sðxÞ ¼ t ðxir  xi Þ2 ; nðn  1Þ r ¼ 1 and degrees of freedom ni ¼ n  1. In a Type B evaluation of uncertainty, the information about Xi takes some other form, and is used as the basis of establishing a probability distribution for Xi in terms of which an estimate xi and the associated standard uncertainty u(xi) are determined. An example is the case that the information about Xi takes values between the limits a and b (a  b). Then, Xi could be characterized by a rectangular distribution on the interval [a, b] from which it follows that xi and u(xi) are the expectation and standard deviation of Xi evaluated in terms of this distribution, i.e., xi ¼

bþa ; 2

uðxi Þ ¼

ðb  aÞ pffiffiffi : 2 3

(2.5)

Note that there are other types of distribution, for example triangular and U-shaped.

2.8.3.2 The GUM uncertainty framework The primary guide in metrology on uncertainty evaluation is the GUM [27]. It presents a framework for uncertainty evaluation based on the use of the law of propagation of uncertainty and the central limit theorem. The law of propagation of uncertainty provides a means for propagating uncertainties through the measurement model, i.e., for evaluating the standard uncertainty u(y) associated with an estimate y of Y given the standard uncertainties u(xi)

19

20

C H A P T ER 2 : Some basics of measurement

associated with the estimates xi of Xi (and, when they are non-zero, the covariances u(xi, xj) associated with pairs of estimates xi and xj). The central limit theorem is applied to characterize Y by a Gaussian distribution (or, in the case of finite effective degrees of freedom, by a scaled and shifted t-distribution), which is used as the basis of providing a coverage interval for Y. In the GUM uncertainty framework, the information about an input quantity Xi takes the form of an estimate xi, a standard uncertainty u(xi) associated with the estimate, and the degrees of freedom ni associated with the standard uncertainty. The estimate y of the output quantity is determined by evaluating the model for the estimates of the input quantity, i.e. y ¼ fðx1 ; .; xN Þ:

(2.6)

The standard uncertainty u(y) associated with y is determined by propagating the standard uncertainties u(xi) associated with the xi through a linear approximation to the model. Writing the first-order Taylor series approximation to the model as N X

Y y ¼

ci ðXi  xi Þ

(2.7)

i¼1

where ci is the derivative of first order of f with respect to Xi evaluated at the estimates of the input quantities, and assuming the Xi are uncorrelated, u(y) is determined from u2 ðyÞ ¼

N X

c2i u2 ðxi Þ:

(2.8)

i¼1

In the equation (2.8), which constitutes the law of propagation of uncertainty for uncorrelated quantities, the ci are called (first-order) sensitivity coefficients. A generalization of the formula applies when the model input quantities are correlated. An effective degrees of freedom neff associated with the standard uncertainty u(y) is determined using the Welch-Satterthwaite formula, i.e. N X c4i u4 ðxi Þ u4 ðyÞ ¼ : ni neff i¼1

(2.9)

The basis for evaluating a coverage interval for Y is to use the central limit theorem to characterize the random variable Y y uðyÞ

(2.10)

Accuracy, precision, resolution, error and uncertainty

by the standard Gaussian distribution in the case that neff is infinite or a t-distribution otherwise. A coverage interval for Y corresponding to the coverage probability p takes the form y  U:

(2.11)

U is called the expanded uncertainty given by U ¼ kuðyÞ

(2.12)

where k is called a coverage factor, and is such that ProbðjZj  kÞ ¼ p

(2.13)

where Z is characterized by the standard Gaussian distribution in the case that neff is infinite or a t-distribution otherwise. There are some practical issues that arise in the application of the GUM uncertainty framework. Firstly, although the GUM uncertainty framework can be expected to work well in many circumstances, it is generally difficult to quantify the effects of the approximations involved, which include linearization of the model in the application of the law of propagation of uncertainty, the evaluation of effective degrees of freedom using the WelchSatterthwaite formula, and the assumption that the output quantity is characterized by a Gaussian or (scaled and shifted) t-distribution. Secondly, the procedure relies on the calculation of the model sensitivity coefficients ci as the basis of the linearization of the model. Calculation of the ci can be difficult when (a) the model is (algebraically) complicated, or (b) the model is specified as a numerical procedure for calculating a value of Y, for example, as the solution to a differential equation.

2.8.3.3 A Monte Carlo method A Monte Carlo method for uncertainty evaluation is based on the following consideration. The estimate y of Y is conventionally obtained, as in the previous section, by evaluating the model for the estimates xi of Xi. However, since each Xi is described by a probability distribution, a value as legitimate as xi can be obtained by drawing a value at random from the distribution. The method operates, therefore, in the following manner. A random draw is made from the probability distribution for each Xi and the corresponding value of Y is formed by evaluating the model for these values. Many Monte Carlo trials are performed, i.e., the process is repeated many times, to obtain M, say, values yr, r ¼ 1, ., M, of Y. Finally, the values yr are used to provide an approximation to the probability distribution for Y.

21

22

C H A P T ER 2 : Some basics of measurement

An estimate y of Y is determined as the average of the values yr of Y, i.e., y ¼

M 1X yr : M r¼1

(2.14)

The standard uncertainty u(y) associated with y is determined as the standard deviation of the values yr of Y, i.e., u2 ðyÞ ¼

M 1 X ðyr  yÞ2 : M  1 r¼1

(2.15)

A coverage interval corresponding to coverage probability p is an interval [ylow, yhigh] that contains 100p % of the values yr of Y. Such an interval is not uniquely defined. However, two particular intervals are of interest. The first is the probabilistically symmetric coverage interval for which 100(1  p)/2 % of the values are less than ylow and the same number are greater than yhigh. The second is the shortest coverage interval, which is the shortest of all intervals containing 100p % of the values. The method has a number of features, including (a) that it is applicable regardless of the nature of the model, i.e., whether it is linear, mildly nonlinear or highly non-linear, (b) that there is no requirement to evaluate effective degrees of freedom, and (c) that no assumption is made about the distribution for Y, for example, that it is Gaussian. In consequence, the method provides results that are free of the approximations involved in applying the GUM uncertainty framework, and it can be expected, therefore, to provide an uncertainty evaluation that is reliable for a wide range of measurement problems. Additionally, the method does not require the calculation of model sensitivity coefficients since the only interaction with the model is to evaluate the model for values of the input quantities. However, there are also some practical issues that arise in the application of a Monte Carlo method. The degree of numerical approximation obtained for the distribution for Y is controlled by the number M of trials, and a large value of M (perhaps 105 or 106 or even greater) may sometimes be required. One issue, therefore, is that the calculation for large values of M may not be practicable, particularly when a (single) model evaluation takes an appreciable amount of time. Another issue is that the ability to make random draws from the distributions for the Xi is central, and the use of high-quality algorithms for random-number generation gives confidence that reliable results are provided by an implementation of the method. In this regard, the ability to draw pseudo-random numbers from a rectangular distribution is fundamental in its own right, and also as the basis for making random draws from other distributions using appropriate algorithms or formulae.

The laser

2.9 The laser The invention of the laser in 1960 has had a significant impact on metrology. The realization of the definition of the metre (see section 2.3) involves the use of a frequency-stabilized laser and many commercial interferometer systems use a laser source. The most common form of laser in the metrology area is the helium-neon laser, although solid-state lasers are becoming more widespread.

2.9.1 Theory of the helium-neon laser The tube of a continuous-wave helium-neon (He-Ne) gas laser contains a mixture of approximately eight parts of helium to one part of neon at a total pressure of a few millibars. The laser consists of an optical cavity, similar to that of a Fabry-Pe´rot etalon (see section 4.4.4), formed by a plasma tube with optical-quality mirrors (one of which is semi-transparent) at both ends. The gas in the tube is excited by a high-voltage discharge of approximately 1.5 kV to 2.5 kV, at a current of approximately 5 mA to 6 mA. The discharge creates a plasma in the tube that emits radiation at various wavelengths corresponding to the multitude of allowed transitions in the helium and neon atoms. The coherent radiation emitted by the He-Ne laser at approximately 632.8 nm wavelength corresponds to the 3s2 – 2p4 atomic transition in neon [30]. The excited 3s2 level is pumped by energetic 2s0 helium atoms colliding with the neon atoms; the 2s0 helium energy level is similar in energy to the 3s2 level of neon and the lighter helium atoms are easily excited into the 2s0 level by the plasma discharge (see Figure 2.5). The excess energy of the collision is approximately thermal, i.e., it is easily removed by the atoms in the plasma as kinetic energy. The collisional pumping of the 3s2 level in neon produces the selective excitation or population inversion that is required for lasing action. The 2p neon state decays in 108 seconds to the 1s state, maintaining the population inversion. This state relaxes to the ground state by collision with the walls of the plasma tube. The laser gain is relatively small and so losses at the end of the mirrors must be minimised by using a high-reflectance coating, typically 99.9%. The output power is limited by the fact that the upper lasing state reaches saturation at quite low discharge powers, whereas the lower state increases its population more slowly. After a certain discharge power is reached, further increase in the power leads to a decrease in the population inversion, and hence lower light power output.

23

24

C H A P T ER 2 : Some basics of measurement

FIGURE 2.5 Energy levels in the He-Ne gas laser for 632.8 nm radiation.

The 632.8 nm operating wavelength is selected by the spacing of the end mirrors, i.e. by the total length of the optical cavity, lc. The length of the cavity must be such that the waves reflected by the two end mirrors are in phase for stimulated emission to occur. The wavelengths of successive axial modes are then given by 2lc ¼ ml:

(2.16)

These modes are separated in wavenumber by Ds ¼

1 2lc

(2.17)

Dn ¼

c 2lc

(2.18)

or in terms of frequency

where c is the speed of light in a vacuum. This would lead to a series of narrow lines of similar intensity in the spectrum, if it were not for the effects of Doppler broadening and the Gaussian distribution of atoms available for stimulated emission. When a particular mode is oscillating, there is a selective depopulation of atoms with specific velocities (laser cooling) that leads to a dip in the gain profile. For modes oscillating away from the centre of the gain curve the atomic populations for the two opposite directions of propagation are different due to the equal but opposite velocities. For modes oscillating at the

The laser

centre of the gain curve, the two populations become a single population of effectively stationary atoms. Thus a dip in the gain profile occurs at the centre of the gain curve – the so-called Lamb dip. The position of the Lamb dip is dependent on other parameters of the laser such as the position of the gain curve and can be unstable. For early lasers with typical cavity lengths of 1 m the mode spacing was 0.5 m1, with a gain profile width of approximately 5.5 m1. Thus several axial modes were present in the gain profile with gains sufficient for laser action, and so two or more modes would operate simultaneously, making the laser unsuitable for coherent interferometry. By using a shorter tube and then carefully lowering the power of the discharge and hence lowering the gain curve, it is possible to achieve single-mode operation.

2.9.2 Single-mode laser wavelength stabilization schemes To allow a laser to be used in interferometry with coherence lengths above a few millimetres (see section 4.3.4) it must operate in a single mode and there have been many proposed schemes for laser stabilization. The Lamb dip, mentioned above, was used in an early stabilization scheme. Here the intensity of the output beam was monitored as the length of the cavity was modulated, for example by piezoelectric actuators (PZTs). Alternatively, mirrors external to the laser cavity are used that could be modulated – the output intensity being monitored and the laser locked to the centre of the Lamb dip. The reproducibility of lasers locked to the Lamb dip is limited by shift of the Lamb dip centre as the pressure of the gas inside the laser tube varies and also by a discharge current dependent shift. The large width of the Lamb dip itself (about 5  107 of the laser frequency) also limits the frequency stability obtainable from this technique. Use has also been made of tuneable Fabry-Pe´rot etalons in a similar system. Other groups have locked the output of one laser to the frequency of a second stabilized laser. Others have used neon discharge absorption cells where the laser was locked to the absorption spectrum of neon in an external tube, the theory being that the unexcited neon would have a narrower linewidth than the neon in the laser discharge.

2.9.3 Laser frequency-stabilization using saturated absorption The technique with the greatest stability is used in the Primary Reference lasers which realize the NMI’s Primary Standard of Length and involves controlling the length of the laser cavity to alter the wavelength, and locking the wavelength to an absorption line in saturated iodine vapour [30]. This is

25

26

C H A P T ER 2 : Some basics of measurement

a very stable technique since the absorption takes place from a thermally populated energy level that is free from the perturbing effects of the electric discharge in the laser tube. If the output beam from a laser is passed straight through an absorption cell, then absorption takes place over a Doppler broadened transition. However, if the cell is placed in a standing-wave optical field the highintensity laser field saturates the absorption and a narrow dip appears at the centre of the absorption line corresponding to molecules that are stationary or moving perpendicular to the direction of beam propagation. This dip produces an increase in the laser power in the region of the absorption line. The absorption line is reproducible and insensitive to perturbations. The linewidth is dependent on the absorber pressure, laser power and energy level lifetime. Saturated absorption linewidths are typically less than 1  108 of the laser wavelength. In a practical application an evacuated quartz cell containing a small iodine crystal is placed in the laser cavity and temperature controlled to 15 C. As the iodine partly solidifies at this temperature, this guarantees a constant iodine gas pressure. The laser mirrors are mounted on PZTs and the end plates are separated by low thermal expansion bars to ensure a thermally stable cavity. A small frequency modulation is then applied to one of the PZTs. This leads to an amplitude modulation in the output power that is detected using a phase-sensitive detector and fed back to the other PZT as a correction signal. The frequency control system employs a photodiode, low noise amplifier, coherent filter and phase-sensitive detector followed by an integrating filter. Figure 2.6 is a schema of the iodine-stabilized He-Ne instrumentation. Detection of the absorption signal at the laser modulation frequency results in a first derivative scan that shows the hyperfine components superimposed on the sloping background of the neon gain curve. The laser may be servo-locked to any of these lines, the frequency of which has been fixed (together with their uncertainties) internationally at the time of the re-definition of the metre in 1983 in terms of the speed of light, and which has been fine-tuned a few times since then. Iodine-stabilized He-Ne lasers can achieve frequency stability of a few parts in 1013 over a period of a few minutes with long-term reproducibility of a few parts in 1011. The reproducibility of iodine-stabilized He-Ne lasers, when being operated under certain conditions, enables the independent manufacture of a primary length standard without a need to refer or compare to some other standard. Contrary to this concept, NMIs compare their reference standards with each other to ensure that no unforeseen errors are being introduced. Until recently these comparisons were

The laser

FIGURE 2.6 Schema of an iodine-stabilized He-Ne laser.

commonly made at the BIPM, similar to when the metre bars were in use [31].

2.9.3.1 Two-mode stabilization Instead of emitting one frequency, a laser can be designed in such a way that it radiates in two limited frequency regions. Figure 2.7 shows this schematically. If two (longitudinal) modes exist, then both should be orthogonally linearly polarized. As the laser cavity length changes, the modes move through the gain curve, changing in both frequency and amplitude. The two modes are separated into two beams by polarization components, and their amplitudes

FIGURE 2.7 Frequency and intensity profiles in a two-mode He-Ne laser.

27

28

C H A P T ER 2 : Some basics of measurement

are compared electronically. The cavity length is then adjusted, usually by heating a coil around the laser tube that is kept at approximately 40 C, to maintain the proper relationship between the modes. By using a polarizer, only one beam is allowed to exit the system. Such lasers are commonly used in homodyne interferometry (see section 5.2.2). In the comparison method of stabilization, the ratio of the intensities of the two orthogonal beams is measured and is kept constant. This ratio is independent of output power and accurately determines the output frequency of the beam. In the long term, the frequency may shift due to variations in the He-Ne gas pressure and ratio. By adjusting the intensity ratio, the output frequency can be swept by approximately 300 MHz, while maintaining a 1 MHz linewidth. In the slope method of stabilization, only the intensity of the output beam is monitored, and a feedback loop adjusts the cavity length to maintain constant power. Because of the steep slope of the laser gain curve, variations in frequency cause an immediate and significant change in output power. The comparison method is somewhat more stable than the slope method, since it measures the amplitude of the two modes and centres them accurately around the peak of the gain curve, which is essentially an invariant, at least in the short term, and the frequency is unaffected by long-term power drift caused by aging or other factors. On the other hand, the slope method of frequency control significantly simplifies the control electronics. Another stabilizing method is stabilizing the frequency difference, as the frequency difference appears to have a minimum when the intensities are equal.

2.9.4 Zeeman-stabilized 633 nm lasers An alternative technique to saturated absorption is used in many commercial laser interferometers. The method of stabilization is based on the Zeeman effect [32,33]. A longitudinal magnetic field is applied to a single-mode He-Ne laser tube, splitting the normally linearly polarized mode into two counter-rotating circular polarizations. A field strength of 0.2 T is sufficient to split the modes, which remain locked together at low magnetic field, to produce the linear polarization. These two modes differ in frequency by typically 3 MHz, around a mean frequency corresponding to the original linear mode [34]. The wavelength difference between the two modes is due to each of the two modes experiencing a different refractive index and, therefore, different optical path length, in the He-Ne mixture. This arises due to magnetic splitting of an atomic state of neon, shown in Figure 2.8.

The laser

FIGURE 2.8 Magnetic splitting of neon – g is the Lande´ g factor, m the Bohr magneton.

The Dm ¼ þ1 mode couples with the left polarized mode and the Dm ¼ 1 mode couples with the right polarized mode. The relative frequencies of the polarization modes are given by u ¼

cN 2Ln

(2.19)

where L is the cavity length, n is the refractive index for the mode and N the axial quantum number [35]. The important feature of the Zeeman split gain curve is that the position of u0 does not vary with magnetic field strength – it remains locked at the original (un-split) line centre, and thus a very stable lock point. If one combines the two oppositely polarized components, one observes a heterodyne beat frequency between them given by   cN 1 1 (2.20) Du ¼ uþ  u ¼  2L nþ n which is proportional to u0 ½cþ ðnÞ  c ðnÞ, where cþ(n) and c(n) are dispersion functions for the left and right polarized modes respectively. For a more complete derivation see [36]. As the laser is tuned by altering the cavity length, L, the beat frequency will pass through a peak that corresponds to the laser frequency being tuned to u0. This tuning curve can be used as an error signal for controlling the laser frequency. The particular method used to modulate the laser cavity is usually thermal expansion. A thin foil heater is attached to the laser tube and connected to a square-root power amplifier. Two magnets are fixed onto the tube to provide the axial magnetic field. A polarizing beam-splitter is used, together with a photodetector and amplifier to detect the beat frequency. This error signal is fed to various stages of counters and amplifiers and then to the heater. The laser tube requires a period of approximately ten minutes to reach the correct temperature corresponding to the required tube length for operation at frequency, u0. A phase-locked loop circuit then fine-tunes the temperature and consequently the length of the cavity to stabilize the laser at the correct frequency. This last process takes only a few seconds to achieve lock. The frequency stability of the laser is 5  1010 for 1 s averages and is white-noise limited for averaging times between 100 ms and 10 minutes. The day-to-day

29

30

C H A P T ER 2 : Some basics of measurement

reproducibility of the laser frequency is typically  5  1010. There is also a linear drift of frequency with the total amount of time for which the laser has been in operation. This is due to clean-up of the helium-neon mixture whilst undergoing discharge. The rate of drift is unique to each laser, but is stable with respect to time, and can be ascertained after a few calibrations of the laser frequency. As an example, Tomlinson and Fork [37] showed drift rates of 0.3 MHz to 5.7 MHz  0.5 MHz per calendar year, although these were for frequency against date, rather than against operational time. Reference [36] reported a drift rate of – 1  1011 per hour of operation. An attractive feature of the Zeeman-stabilized laser is that the difference in amplitude can be used for stabilization, and the difference in frequency can be taken as the reference signal when it is used in heterodyne displacement interferometry (see section 5.2.3).

2.9.5 Frequency calibration of a (stabilized) 633 nm laser The calibration of a laser’s frequency is achieved by combining the light from the stabilized laser with a primary (reference) laser via a beam-splitter. The beat signal between the two frequencies is measured with a photodetector (see Figure 2.9). If the beams are carefully aligned, the beams interfere and the interference intensity varies in time with the frequency difference (see section 4.3.2, equation (4.5)). If the laser frequencies are close enough, this beat frequency can be detected electronically, and monitored over a number of hours. Typical values of the beat signal range between 50 MHz and 500 MHz, with the iodine standard stabilized on one of its dips. As the reference laser, if it is an iodine-stabilized laser, is continuously swept over some 6 MHz, it is common to integrate the frequency difference over 10 s. As a beat frequency is an absolute value, the reference laser needs to be stabilized on different frequencies in order to determine whether the frequency of the calibrated laser is higher or lower than the reference frequency. A Zeeman-stabilized laser emits two polarizations that are

FIGURE 2.9 Calibration scheme for Zeeman-stabilized laser.

References

separated, typically by 3 MHz. During laser calibrations, beats between each of these frequencies and the iodine frequency are measured. The mean of these can be considered to be the calibrated wavelength of the Zeemanstabilized laser under test if the difference is within the uncertainty limits. Also, it is common to measure just one frequency and to take the other into account in the uncertainty; 3 MHz corresponds to a relative uncertainty of about 6  109 in frequency and so in a measured length. If the two modes of a two-mode laser are both used in the same manner, as in a common Zeeman-based laser interferometer system, then the two polarizations may differ by up to 1 GHz, which corresponds to 2  106. However, it is more common that one of the beams is blocked by a polarizer and the system is used as a homodyne interferometer (see section 5.2.2). In this case a single frequency should be measured.

2.9.6 Modern and future laser frequency standards As mentioned is section 2.3, the current definition of length is based on a fixed speed of light, and there are a number of recipes to make an optical wavelength/frequency standard. These optical standards are linked to the time standard (which is a microwave standard) via a series of complicated comparisons to determine an absolute frequency and an uncertainty. Recently a so-called ‘frequency comb’ [38] has been developed that generates a series of equally spaced (the ‘comb’) frequencies by linking a nanosecond pulsed laser to an atomic clock. This makes a direct comparison possible of optical frequencies to the time standard without the need for an intermediate (still primary) standard such as the iodine-stabilized laser. The development of frequency combs is more important as, along with the He-Ne-based gas lasers, ranges of solid-state lasers and diode lasers have become available as frequency-stabilized light sources. These can have wavelengths that are very different from the common He-Ne wavelengths (for example, the red 633 nm wavelength), and cannot be measured using a beat measurement with a He-Ne laser, because the beat frequency is too high to be measured directly. Frequency combs will also enable the development of other stabilized laser systems, such as stabilized diode lasers. Diode lasers can have a far wider wavelength range than He-Ne gas lasers and can, for example, be used in the swept-frequency absolute distance interferometry as described in section 5.2.7.

2.10 References [1] Flack D R, Hannaford J 2005 Fundamental good practice in dimensional metrology NPL Good practice guide No. 80 (National Physical Laboratory)

31

32

C H A P T ER 2 : Some basics of measurement

[2] 2006 Le Syste`me International d’Unite´s (Bureau International des Poids et Mesures: Paris) 8th edition [3] Howarth P, Redgrave F 2004 Metrology in short (EUROMET) 2nd edition, www.euromet.org/docs/pubs/docs/Metrology_in_short_2nd_edition_ may_2004.pdf [4] Hume K J 1980 A history of engineering metrology (Mechanical Engineering Publications Ltd) [5] Stout K J 1998 From Cubit to nanometre: a history of precision measurement (Prenton Press: London) [6] Barrell H 1962 The metre Contemp. Phys. 3 415–435 [7] Petley B W 1983 The new definition of the metre Nature 303 373–376 [8] Felder R 2005 Practical realization of the definition of the metre, including recommended radiations of other optical frequency standards (2003) Metrologia 42 323–325 [9] Petley B W 1985 The fundamental physical constants and the frontiers of measurement (Adam Hilger Ltd: Bristol) [10] Davis R S 1989 The stability of the SI unit of mass as determined from electrical measurements Metrologia 26 75–76 [11] Kibble B P, Robinson I A 2003 Replacing the kilogram Meas. Sci. Technol. 14 1243–1248 [12] Mills I M, Mohr P J, Quinn T J, Taylor B M, Williams E R 2005 Redefinition of the kilogram: a decision whose time has come Metrologia 42 71–80 [13] Eisenberger A, Jeckelmann B, Richard P 2003 Tracing Plank’s constant to the kilogram by electromechanical methods Metrologia 40 356–365 [14] Becker P 2001 History and progress in the determination of the Avogadro constant Rep. Prog. Phys. 64 1945–2008 [15] Sutherland O, Appolloni M, O’Neil S, Gonzalez del Amo J, Hughes B 2008 Advances with the ESA propulsion laboratory mN thrust balance 5th Int. Space Propulsion Conf., Crete, Greece, May [16] Zhoa Y -P, Wang L S, Yu T X 2003 Mechanics of adhesion in MEMS a review J. Adhesion Sci. Technol. 17 519–546 [17] 1998 The guide to the measurement of force (The Institute of Measurement and Control: London) [18] Weiler W 1984 Realization of forces at the national institutes of metrology (Physikalisch-Technische Bundesanhalt) [19] Evans J C, Taylerson C O 1986 Measurement of angle in engineering (National Physical Laboratory) 3rd edition [20] Slocum A H 1992 Precision machine design (Society of Manufacturing Engineers: USA) [21] ISO VIM: 2004 International vocabulary of basic and general terms in metrology (International Organization for Standardization)

References

[22] ISO 17025: 2005 Competence of testing and calibration laboratories (International Organization for Standardization) [23] Rae A I M 2007 Quantum mechanics (Chapman & Hall) 5th edition [24] Hecht E 2003 Optics (Pearson Education) 4th edition [25] Dotson C 2006 Fundamentals of dimensional metrology (Delmar Learning) 5th edition [26] Bell S A 2001 A beginner’s guide to uncertainty in measurement NPL good practice guide No. 11. (National Physical Laboratory) [27] BIPM, IEC, IFCC, ISO, IUPAP, OIML 1995 Guide to the expression of uncertainty in measurement 2nd edition [28] Bich W, Cox M G, Harris P M 2006 Evolution of the ‘‘Guide to the expression of uncertainty in measurement’’ Metrologia 43 S161–S166 [29] BIPM, IEC, IFCC, ISO, IUPAP, OIML 2008 Evaluation of measurement data Supplement 1 to the ‘‘Guide to the expression of uncertainty in measurement’’ Propagation of distributions using Monte Carlo methods JCGM 101 [30] Svelto O 2005 The principles of lasers (Springer) 4th edition [31] Brillett A, Ce´rez P 1981 Laser frequency stabilisation by saturated absorption J. de Phys. (France) 42(C-8) 73–82 [32] Darnedde H, Rowley W R C, Bertinetto F, Millerioux Y, Haitjema H, Wetzels S, Pire´e H, Prieto E, Mar Pe´rez M, Vaucher B, Chartier A, Chartier J-M 1999 International comparisons of He-Ne lasers stabilized with 127I2 at l ¼ 633 nm (July 1993 to September 1995). Part IV: Comparison of Western European lasers at l ¼ 633 nm Metrologia 36 199–206 [33] Umeda N, Tsujiki M, Takasaki H 1980 Stabilised Zeeman laser Appl. Opt. 19 442–450

3

He-20Ne transverse

[34] Fellman T, Junger P, Stahlberg B 1987 Stabilisation of a green He-Ne laser Appl. Opt. 26 2705–2706 [35] Baer T, Kowalski F V, Hall J L 1980 Frequency stabilisation of a 0.633 mm He-Ne longitudinal Zeeman laser Appl. Opt. 19 3173–3177 [36] Rowley W R C 1990 The performance of a longitudinal Zeeman-stabilised He-Ne laser (633 nm) with thermal modulation and control Meas. Sci. Technol. 1 348–351 [37] Tomlinson W J, Fork R L 1968 Properties of gaseous optical masers in weak axial magnetic fields Phys. Rev. 164 480–483 [38] Jones D, Diddams S, Ranka J, Stentz A, Windeler R, Hall J L, Cundiff S T 2000 Carrier envelope phase control of femtosecond mode-locked lasers and direct optical frequency synthesis Science 288 635–639

33

This page intentionally left blank

CHAPTER 3

Precision measurement instrumentation – some design principles The design, development and use of precision measurement instrumentation1 is a highly specialized field that combines precision engineering with metrology. Although precision instrumentation has been around for many decades (see [1] for a historical overview), the measurements that are required to support MNT have forced designers and metrologists to learn a number of new skills. One major difference between conventional scale instrumentation and that used to measure MNT structures and devices is the effect that the measuring instrument has on the measurement process. For example, when measuring surface topography with a stylus instrument (see section 6.6.1), one should be aware of the possible distortion of the topography caused by the finite shape of the stylus. In essence, the business end of the instrument can have a size that is comparable to the structure being measured. This ‘probe–measurand’ interaction will be discussed throughout this book where necessary for each type of instrument. This chapter will present the basic principles of precision instrumentation so that, as the reader is presented with the various instruments in the following chapters, he or she will be armed with the appropriate knowledge to understand the basic operating principles. Precision instrument design involves scientific disciplines such as mechanics, materials, optics, electronics, control, thermo-mechanics, dynamics and software engineering. Introductions to many of the precision design and metrology concepts discussed in this chapter are given elsewhere [2–4]. The rest of the chapter follows the design considerations of [5] and is by no means exhaustive.

CONTENTS Geometrical considerations Kinematic design Dynamics The Abbe Principle Elastic compression Force loops Materials Symmetry Vibration isolation References

1

In chapter 2 we discussed the difference between precision and accuracy. When referring to measurement instrumentation the term precision is most often used, but the correct expression should probably be accurate and precision measurement instrumentation. Fundamental Principles of Engineering Nanometrology Copyright Ó 2010 by Elsevier Inc. All rights reserved.

35

36

C H A P T ER 3 : Precision measurement instrumentation – some design principles

3.1 Geometrical considerations Most precision measuring instrument designs involve parts that are formed from simple geometrical elements such as cubes, cylinders, tubes, beams, spheres and boxes to support loads in the system. Surfaces that are used for moving elements are often formed from flats and cylinders. In practice, however, deviations from these ideal shapes and structures occur due to form and surface texture error caused by the machining processes used to manufacture the parts. The environment in which an instrument is housed also affects geometry, for example, vibration, temperature gradients and ageing can cause undesirable dimensional changes. Other factors that can affect the geometry of an instrument include: the effects of the connections between different parts, loading of the structure by the weight of the parts, stiffness and other material properties. The above deviations from ideal geometry cause the various parts that make up an instrument to interact in a way that is very difficult to predict in practice. Also, to reiterate the point made in the previous section, of great importance on the MNT scale is the effect of the measuring probe on the part being measured and the measuring result.

3.2 Kinematic design James Clark Maxwell (1890) was one of the first scientists to rigorously consider kinematic design. He stated that: The pieces of our instruments are solid, but not rigid. If a solid piece is constrained in more than six ways it will be subject to internal stress, and will become strained or distorted, and this in a manner which, without the most micromechanical measurements, it would be impossible to specify. These sentences capture, essentially, the main concepts of kinematic design. Kinematics is a branch of mechanics that deals with relationships between the position, velocity and acceleration of a body. Kinematic design aims to impart the required movements on a body by means of constraints [6]. A rigid body possesses six degrees of freedom in motion - three linear and three rotational. In Cartesian coordinates the degrees of freedom are in the x, y and z directions plus rotations about each of the axes. A constraint is that which prevents minimally motion in just one of the degrees of freedom. There are two lemmas of kinematic design [3]:

Kinematic design

-

any unconstrained rigid body has six degrees of freedom;

-

the number of contact points between any two perfectly rigid bodies is equal to the number of constraints.

This means that Number of constraints þ remaining number of degrees of freedom ¼ 6: There are often many assumptions applied when carrying out kinematic design. Real bodies are not perfectly rigid and will experience both elastic and possibly plastic deformations under a load. Such deformations will exclude perfect point contacts and cause unwanted motions. For this reason it is often important to choose with care the materials, shapes and surface texture of a given part. Despite this, kinematic design is an extremely important concept that the designer must master. Two examples of kinematic design will be considered here – the Kelvin clamp and a single degree of freedom motion system. These are, essentially, the only two kinematic designs used on the majority of MNT measuring instruments.

3.2.1 The Kelvin clamps The Type I and Type II Kelvin clamps are examples of fully constrained systems, i.e. ones with six constraints. When designed properly these clamps are very effective where accurate re-positioning is required and are stable to within nanometres [7]. Both clamps have a top-plate (on which, for example, the object to be measured is placed) that has three rigid spheres spaced on a diameter. The three spheres then contact on a flat and in a vee and a trihedral hole, as in Figure 3.1a, or in three vee-grooves, as in Figure 3.1b. In the Type II clamp it is easy to see where the six points of contact, i.e. constraints are – two in each vee-groove. In the Type I clamp one contact point is on the flat, two more are in the vee-groove and the final three are in the trihedral hole. The Type I clamp has the advantage of a well-defined translational location based on the position of the trihedral hole, but it is more difficult to manufacture. A trihedral hole is produced by pressing three spheres together in a flatbottomed hole (the contacting sphere will then touch at a common tangent) or by complex angled machining techniques. For miniature structures an anisotropic etchant can be used on a single crystalline material [8]. The Type II clamp is more symmetrical and less influenced by thermal variations. Note that the symmetrical groove pattern confers its own advantages but is not a kinematic requirement; any set of grooves will do provided that they are not all parallel.

37

38

C H A P T ER 3 : Precision measurement instrumentation – some design principles

FIGURE 3.1 (a) A Type I Kelvin clamp, (b) a Type II Kelvin clamp.

3.2.2 A single degree of freedom motion device There are many methods for producing single degree of freedom motion (see for example [9]). One method that directly uses the idea of single point contacts is the prismatic slideway [3]. The contact points are distributed on two non-parallel flat surfaces as shown in Figure 3.2. In practice the spheres would be attached to the carriage. The degrees of freedom in the system can be deduced by considering the loading necessary to keep all five spheres in contact. Firstly, the three-point support could be positioned onto the horizontal plane, resulting in a linear constraint in the z axis and rotary constraints about the x and y axes. A carriage placed on this plane is free to slide in the x direction until either of the two remaining spheres contacts the vertical face. The x axis linear degree of freedom is then constrained. Further horizontal force would cause the carriage to rotate until the fifth sphere comes into contact, removing the rotary degree of freedom about the z axis. This gives a single degree of freedom linear motion along the y axis.

3.3 Dynamics Most precision instruments used for MNT metrology involve some form of moving part. This is especially true of surface texture measuring instruments and CMMs. Motion usually requires some form of guideway, this being two or more elements that move relative to each other with fixed degrees of freedom. For accurate positioning, the play and the friction between the parts in the guideway must be reduced (unless the friction characteristics are being used to impart damping on the guideway). To avoid sticking and slipping of

Dynamics

FIGURE 3.2 A single degree of freedom motion device.

the guideway the friction should normally be minimised and kept at a constant value even when there are velocity or acceleration changes. It is also important that a guideway has a smooth motion profile to avoid high accelerations and forces. The symmetry of a dynamic system plays an important role. With a rotating part the unbalance and mass moment of inertia must be reduced. A linear guideway should be driven through an axis that minimizes any angular motion in its travel (its axis of reaction). Stiffness is another important factor; there must be a trade-off between minimizing the forces on a guideway and maximizing its stiffness. As with the metrology frame the environment in which the instrument is housed affects its dynamic characteristics. Guideways can be produced using many techniques, but the most popular three are: -

flexures – usually used only over a small range owing to the elastic limit and parasitic motion [3,10,11];

39

40

C H A P T ER 3 : Precision measurement instrumentation – some design principles

-

dry or roller-bearing linear slideways – as used on surface profile measuring instruments, for example [12];

-

hydrostatic bearings (air bearings) [4].

Many of the most advanced guideways use active feedback control systems [13,14].

3.4 The Abbe Principle The Abbe Principle was first described by Ernst Abbe (1890) of Zeiss and states: If errors of parallax are to be avoided, the measuring system must be placed co-axially (in line with) the line in which displacement (giving length) is to be measured on the work-piece. Abbe error occurs when the measuring point of interest is displaced laterally from the actual measuring scale location (reference line or axis of measurement), and when angular errors exist in the positioning system. Abbe error causes the measured displacement to appear longer or shorter than the true position, depending on the angular offset. The spatial separation between the measured point and reference line is known as the Abbe offset. Figure 3.3 shows the effect of Abbe error on an interferometric measurement of length. To ensure zero Abbe error, the reflector axis of movement should be co-linear with the axis of measurement. To account for the Abbe error in an uncertainty analysis relies on knowing the magnitude of the Abbe offset and the magnitude of the errors in motion of the positioning system (for example, straightness).

FIGURE 3.3 Effects of Abbe error on an optical length measurement.

Elastic compression

The Abbe Principle is, perhaps, the most important principle in precision instrument design and is also one that is commonly misunderstood – Bryan [14] described it as ‘the first principle of machine design and dimensional metrology’. Abbe’s original paper concentrated on one-dimensional measuring instruments. Bryan re-stated the Abbe Principle for multi-dimensional systems as: The displacement measuring system should be in line with the functional point whose displacement is to be measured. If this is not possible, either the slideways that transfer the displacement must be free of angular motion or angular motion data must be used to calculate the consequences of the offset. Many three-axis instruments, especially coordinate measuring machines (CMMs), attempt to minimize the Abbe error through good design principles (see chapter 8). Two good examples of this are the Zeiss F25 CMM [16] and an elastically guided CMM developed at the Eindhoven University of Technology [17].

3.5 Elastic compression When any instrument uses mechanical contact, or when different parts of an instrument are in mechanical contact, there will be some form of compression due to any applied forces. With good design such compression will be minimal and can be considered negligible, but when micrometre or nanometre tolerances or measurement uncertainties are required, elastic compression must be accounted for, either by making appropriate corrections or taking account of the compression in an uncertainty analysis. In some cases where the applied load is relatively high, irreversible, or plastic, deformation may occur. This is especially probable when using either high forces or small contact areas, for example when using stylus instruments (see section 6.6.1) or atomic force microscopes (see section 7.3). The theory behind elastic and plastic deformation can be found in detail elsewhere [18]. The amount that a body compresses under applied load depends on: -

the measurement force or applied load;

-

the geometry of the bodies in contact;

-

the material characteristics of the bodies in contact;

-

the type of contact (point, line, etc.);

-

the length of contact.

41

42

C H A P T ER 3 : Precision measurement instrumentation – some design principles

The formulae for calculating the amount of compression for most situations can be found in [18] and there are a number of calculators available on the Internet (see for example emtoolbox.nist.gov/Main/Main.asp). The most common cases will be included here. More examples of simple compression calculations are given elsewhere [2]. For a sphere in contact with a single plane (see Figure 3.4), the mutual compression (i.e. the combined compression of the sphere and the plane) is given by a ¼

 1=3 ð3pÞ2=3 2=3 1 P ðV1 þ V2 Þ2=3 2 D

(3.1)

where D is the diameter of the sphere, P is the total applied force and V is defined as V ¼

ð1  s2 Þ pE

(3.2)

where E is the Young’s modulus of the material and s is Poisson’s ratio. Note that the assignment of the subscript for the two materials is arbitrary due to the symmetry of the interaction. For a sphere between two parallel planes of similar material, equation (3.1) is modified by removing the factor of two in the denominator. For a cylinder in contact with a plane, the compression is given by    8a2 (3.3) a ¼ PðV1 þ V2 Þ 1 þ ln ðV1 þ V2 ÞPD

FIGURE 3.4 Mutual compression of a sphere on a plane.

Force loops

where 2a is the length of the cylinder and the force per unit length is given by P ¼

P : 2a

(3.4)

Plastic compression is much more complicated than elastic compression and will be highly dependent upon the types of materials and surfaces considered. Many examples of both elastic and plastic compression are considered in [19].

3.6 Force loops There are three types of loop structures found on precision measuring instruments: structural loops, thermal loops and metrology loops. These three structures are often interrelated and can sometimes be totally indistinguishable from each other.

3.6.1 The structural loop A structural loop is an assembly of mechanical components that maintain relative position between specified objects. Using a stylus surface texture measuring instrument as an example (see section 6.6.1) we see the structural loop runs along the base-plate and up the bridge, through the probe, through the object being measured, down through the x slideway and back into the base-plate to close the loop. It is important that the separate components in the structural loop have high stiffness to avoid deformations under loading conditions – deformation in one component will lead to uncompensated dimensional change at the functional or measurement point.

3.6.2 The thermal loop The thermal loop is described as: ‘a path across an assembly of mechanical components, which determines the relative position between specified objects under changing temperatures’ [5]. Much akin to mechanical deformations in the structural loop, temperature gradients across an instrument can cause thermal expansion and resulting dimensional changes. It is possible to compensate for thermal expansion by choosing appropriate component lengths and materials. If well designed, and if there are no temperature gradients present, it may just be necessary to make the separate components of an instrument from the same material. Thermal expansion can also be compensated by measuring thermal expansion coefficients and temperatures, and applying appropriate corrections to measured lengths.

43

44

C H A P T ER 3 : Precision measurement instrumentation – some design principles

This practice is common in gauge block metrology where the geometry of the blocks being measured is well known [20]. Obviously, the effect of a thermal loop can be minimized by controlling the temperature stability of the room in which the instrument is housed.

3.6.3 The metrology loop A metrology loop is a reference frame for displacement measurements, independent of the instrument base. In the case of many surface texture measuring instruments or CMMs, it is very similar to the structural loop. The metrology loop should be made as small as possible to avoid environmental effects. In the case of an optical instrument, relying on the wavelength of its source for length traceability, much of the metrology loop may be the air paths through which the beam travels. Fluctuations in the air temperature, barometric pressure, humidity and chemical composition of these air paths cause changes in the refractive index and corresponding changes to the wavelength of the light [21,22]. This can cause substantial dimensional errors. The last example demonstrates that the metrology and structural loops can be quite different.

3.7 Materials Nearly all precision measuring instrument designs involve minimizing the influence of mechanical and thermal inputs which vary with time and which cause distortion of the metrology frame. Exceptions to this statement are, of course, sensors and transducers designed to measure mechanical or thermal properties. There are three ways (or combinations of these ways) to minimize the effects of disturbing inputs: -

isolate the instrument from the input, for example using thermal enclosures and anti-vibration tables;

-

use design principles and choose materials that minimize the effect of disturbing inputs, for example, thermal compensation design methods, materials with low coefficients of expansion and stiff structures with high natural frequencies;

-

measure the effect of the disturbing influences and correct for them.

The choice of materials for precision measuring instruments is closely linked to the design of the force loops that make up the metrology frame.

Materials

3.7.1 Minimizing thermal inputs Thermal distortions will usually be a source of inaccuracy. To find a performance index for thermal distortion consider a horizontal beam supported at both ends of length L and thickness h [23]. One face of the beam is exposed to a heat flux of intensity Q in the y direction that sets up a temperature, T, gradient, dT/dy, across the beam. Assuming the period of the heat flux is greater than the thermal response time of the beam, then a steady state is reached with a temperature gradient given by Q ¼ l

dT dy

(3.5)

where l is the thermal conductivity of the beam. The thermal strain is given by 3 ¼ aðT0  TÞ

(3.6)

where a is the thermal expansion coefficient and T0 is the ambient temperature. If the beam is unconstrained, any temperature gradient will create a strain gradient, d3/dy in the beam causing it to take up a constant curvature given by d3 dT a ¼ a ¼ Q: (3.7) K ¼ dy dy l Integrating along the beam gives the central deflection of a d ¼ C1 L2 Q l

(3.8)

where C1 is a constant that depends on the thermal loads and the boundary conditions. Thus for a given geometry and thermal input, the distortion is minimized by selecting materials with large values of the performance index MQ ¼

l : a

(3.9)

References [24] and [3] arrive at the same index by considering other types of thermal load. If the assumption that the period of the heat flux is greater than the thermal response time of the beam is not valid then the thermal mass of the beam has to be taken into account [24]. In this case thermal conductivity is given by D l ¼ (3.10) rCp where D is the thermal diffusivity of the beam material, r is its density and Cp is its specific heat capacity. In the case of a room with stable temperature and very slow heat cycling equation (3.9) is normally valid.

45

46

C H A P T ER 3 : Precision measurement instrumentation – some design principles

3.7.2 Minimizing mechanical inputs There are many types of mechanical input that will cause unwanted deflections of a metrology frame. These include elastic deflections due to self weight, loading due to the object being measured and external vibration sources. To minimize elastic deflections a high stiffness is desirable. The elastic self-deflection of a beam is described by Wx3 EI

y ¼ C2

(3.11)

where W is the weight of the beam, E is the Young’s modulus of the beam material, I is the second moment of area of the cross-section and C2 is a constant that depends on the geometry of the beam and the boundary conditions. It can be seen from equation (3.11) that, for a fixed design of instrument, the self-loading is proportional to r/E – minimizing this ratio minimizes the deflection. The natural frequency of a beam structure is given by un ¼ C3

rffiffiffiffiffiffiffiffiffi EI ml3

(3.12)

where n is the harmonic number, m is the mass per unit length of the beam, l its length and C3 is a constant that depends on the boundary conditions. pffiffiffiffiffiffiffiffi Again, for a fixed design of instrument, un is directly proportional to E=r. For a high natural frequency and, hence, insensitivity to external vibrations it is, once again, desirable to have high stiffness. As with the thermal performance index, a mechanical performance index can be given by Mm ¼

E : r

(3.13)

Insensitivity to vibration will be discussed in more detail in section 3.9.

3.8 Symmetry Symmetry is a very important concept when designing a precision measuring instrument. Any asymmetry in a system normally has to be compensated for. In dynamics it is always better to push or pull a slideway about its axis of reaction otherwise parasitic motions will result due to asymmetry. If a load-bearing structure does not have a suitably designed centre of mass, there will be differential distortion upon loading. It would seem that

Vibration isolation

FIGURE 3.5 Kevin Lindsey with the Tetraform grinding machine.

symmetry should be incorporated into a precision measuring instrument design to the maximum extent. An excellent example of a symmetrical structure (plus many other precision instrument design concepts) is the Tetraform grinding machine developed by Kevin Lindsey at NPL [25,26]. The symmetrical tetrahedral structure of Tetraform can be seen in Figure 3.5. Calculations and experimental results showed that the Tetraform is extremely well compensated for thermal and mechanical fluctuations.

3.9 Vibration isolation Most precision measuring instruments require some form of isolation from external and internal mechanical excitations. Where sub-nanometre accuracy is required it is essential that seismic and sonic vibration is suppressed. This section will discuss some of the issues that need to be considered when trying to isolate a measuring instrument from vibration. The measurement of vibration is discussed in [27] and vibration spectrum analysis is reviewed in [28].

3.9.1 Sources of vibration Different physical influences contribute to different frequency bands in the seismic vibration spectrum, a summary of which is shown in Table 3.1 and discussed in [27].

47

48

C H A P T ER 3 : Precision measurement instrumentation – some design principles

Table 3.1

Sources of seismic vibration and corresponding frequencies [27]

Frequency/mHz

Cause of vibration

< 50 50 to 500

Atmospheric pressure fluctuations Ocean waves (60 mHz to 90 mHz fundamental ocean wave frequency) Wind-blown vegetation and human activity

> 100

Figure 3.6 shows measured vertical amplitude spectral densities for a vibrationally ‘noisy’ and a vibrationally ‘quiet’ area [29]. Note that the spectrum below 0.1 Hz is limited by the seismometer’s internal noise. The solid curve represents the vibration spectrum on the campus of the University of Colorado, Boulder. The dashed curve is that from the NIST site. The ‘quiet’ NIST laboratory is small, remote and separated from the main complex. In addition, all fans and machinery were turned off during the measurements at the NISTsite. Most of the increased vibration in the solid line above 10 Hz in Figure 3.6 can be attributed to human activity and machinery. The low-frequency peak in the dashed line can be attributed to naturally occurring environmental effects such as high winds. For determining the low-frequency vibrations a gravitational wave detector, in the form of a Michelson interferometer with 20 m arms, has been used to measure vibrations 1 km below sea level [30]. A summary of the results is given in Table 3.2.

FIGURE 3.6 Measured vertical amplitude spectrum on a ‘noisy’ (continuous line) and a ‘quiet’ (dotted line) site [29].

Vibration isolation

Table 3.2

Possible sources of very-low-frequency vibration

Source

Period

Acceleration/m$s1

Earth’s free seismic oscillation Core modes Core undertone Earth tides Post-seismic movements Crustal movements

102 – 103 s 103 s 103 – 104 s 104 – 105 s 1 – 103 days 102 days

106 – 108 1011 1011 106 106 – 108 107 – 109

3.9.2 Passive vibration isolation Simple springs and pendulums can provide vibration isolation in both vertical and horizontal directions. The transmissibility of an isolator is the proportion of a vibration as a function of frequency that is transmitted from the environment to the structure of the isolator. For a single degree of freedom vibration isolation system the transmissibility, T, is given by [30] u0 2 T ¼ qffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffi ðu02  u2 Þ2 þ 4g2 u02 u2

(3.14)

where u0 is the resonant frequency of the isolator and g is the viscous damping factor. Figure 3.7 shows the transmissibility as a function of frequency ratio for various damping factors. pffiffiffi Vibration isolation is provided only above 2 times the natural frequency of the system, that is for f p and L ¼ p when U1d < p. The strong and weak points of a Heydemann-corrected system are that it appears correct in itself and refers to its own result to predict residual deviations (for example, deviations from the ellipse). However, there are uncertainty sources that still give deviations even when the Heydemann correction is applied perfectly, for example, so-called ghost-reflections.

5.2.8.6 Random error sources There are many sources of random error that can affect an interferometer. Anything that can change the optical path or mechanical part of the metrology loop can give rise to errors in the measured displacement. Examples include seismic and acoustic vibration (see section 3.9), air turbulence (causing random fluctuations of the air refractive index) and electronic noise in the detectors and amplifier electronics. Random errors are usually non-cumulative and can be quantified using repeated measurements. Homodyne systems measure phase by comparing the intensities of two sinusoidal signals (sine and cosine). By contrast, modern heterodyne systems measure phase by timing the arrival of zero crossings on a sinusoidal signal. Because the signal slope at the zero crossings is nominally 45 , phase noise is approximately equal to intensity noise. Therefore, the influence of noise on both systems is effectively the same.

5.2.8.7 Other sources of error in displacement interferometers There are many sources of error that only have a significant effect when trying to measure to accuracies of nanometres or less using interferometry. Due to the very high spatial and temporal coherence of the laser source, stray light can interfere with beams reflected from the surfaces present in the reference and measurement arms of the interferometer. The dominant effects are usually due to unwanted reflections and isolated strong point scatterers, both leading to random and non-random spatial variations in the scattered phase and amplitude [13]. These effects can be of the order of a nanometre (see for example [22]). To minimize the effects of stray reflections all the optical components should be thoroughly cleaned, the retroreflectors (or mirrors) should be mounted at a non-orthogonal angle to the beam propagation direction (to avoid reflections off the front surfaces) and all

97

98

C H A P T ER 5 : Displacement measurement

the non-critical optical surfaces should be anti-reflection coated. It is extremely difficult, if not impossible, to measure the amplitude of the stray light, simply because it propagates in the same direction as the main beams. Also due to the laser source, the shift of the phase and changes in the curvature of the wavefronts lead to systematic errors and diffraction effects [34]. There will also be quantum effects [35] and even photon bounce [36]. These effects are very difficult to quantify or measure but are usually significantly less than a nanometre.

5.2.9 Angular interferometers In the discussion on angle in section 2.6 the possibility of determining an angle by the ratio of two lengths was discussed. This method is applicable in interferometry. Figure 5.6 shows a typical optical arrangement of an interferometer set up for angular measurements. The angular optics are used to create two parallel beam paths between the angular interferometer and the angular reflector. The distance between the two beam paths is found by measuring the separation of the retro-reflectors in the angular reflector. This measurement is made either directly or by calibrating a scale factor against a known angular standard. The beam that illuminates the angular optics contains two frequencies, f1 and f2 (heterodyne). A polarizing beam-splitter in the angular interferometer splits the frequencies, f1 and f2, that travel along separate paths. At the start position the angular reflector is assumed to be approximately at a zero position (i.e. the angular measurements are relative). At this position the two paths have a small difference in length. As the angular reflector is

FIGURE 5.6 Schema of an angular interferometer.

Capacitive displacement sensors

rotated relative to the angular interferometer the relative lengths of the two paths will change. This rotation will cause a Doppler shifted frequency change in the beam returned from the angular interferometer to the photodetector. The photodetector measures a fringe difference given by (f1  Df1)  (f2  D f2). The returned difference is compared with the reference signal, (f1  f2). This difference is related to velocity and then to distance. The distance is then converted to an angle using the known separation of the reflectors in the angular interferometer. Other arrangements of angular interferometer are possible using plain mirrors but the basic principle is the same. Angular interferometers are generally used for measuring small angles (less than 10 ) and are commonly used for measuring guideway errors in machine tools and measuring instruments.

5.3 Capacitive displacement sensors Capacitive sensors are widely used for non-contact displacement measurement. Capacitive sensors can have very high dynamic responses (up to 50 kHz), sub-nanometre resolution, ranges up to 10 mm, good thermal stability and very low hysteresis (mainly due to their non-contact nature). Capacitive sensors measure the change in capacitance as a conducting target is displaced with respect to the sensor. Figure 5.7 shows a capacitive sensor and measurement target. In this parallel plate capacitor arrangement, the capacitance, C, is given by C ¼

3A d

(5.20)

where 3 is the permittivity of the medium between the sensor and target, A is the effective surface area of the sensor and d is the distance between the sensor and the target surface. This relationship is not highly dependent on the target conductivity and hence capacitance sensors can be used with

FIGURE 5.7 A typical capacitance sensor set-up.

99

100

C H A P T ER 5 : Displacement measurement

a range of materials. Note that capacitance sensors can also be used to measure dielectric thickness and density by varying 3 and keeping d constant. Due to the effect of stray capacitance and the need to measure very low values of capacitance (typically from 0.01 pF to 1 pF), capacitance sensors usually require the use of a guard electrode to minimise stray capacitance. Capacitance sensors are used in the semiconductor, disk drive and precision manufacturing industries, often to control the motion of a rotating shaft. Modern MEMS devices also employ thin membranes and comb-like structures to act as capacitance sensors (and actuators) for pressure, acceleration and angular rate (gyroscopic) measurement [37,38]. High-accuracy capacitance sensors are used for control of MNT motion devices [39] and form the basis for a type of near-field microscope (the scanning capacitance microscope) [40]. The non-linear dependence of capacitance with displacement can be overcome by using a cylindrical capacitor or by moving a flat dielectric plate laterally between the plates of a parallel plate capacitor [41]. These configurations give a linear change of capacitance with displacement. The environment in which it operates will affect the performance of a capacitance sensor. As well as thermal expansion effects, the permittivity of the dielectric material (including air) will change with temperature and humidity [42]. Misalignment of the sensor and measurement surface will also give rise to a cosine effect. Capacitance sensors are very similar to some inductive or eddy current sensors (i.e. sensors that use the electromagnetic as opposed to the electrostatic field). Many of the points raised above relate to both types of sensor. See [42] for a fuller account of the theory and practice behind capacitive sensors.

5.4 Inductive displacement sensors As discussed above, inductive sensors are very similar to capacitive sensors. However, inductive sensors are not dependent upon the material in the sensor/target gap so they are well adapted to hostile environments where fluids may be present in the gap. They are sensitive to the target material and must be calibrated for each material that they are used with. They also require a certain thickness of target material to operate (usually fractions of a millimetre, dependent on the operating frequency). Whilst they may have nanometre resolutions, their range of operation is usually some millimetres. Their operating frequencies can be 100 kHz and above. Another form of contacting sensor, based on inductive transduction, is the linear variable differential transformer (LVDT). An LVDT probe consists

Inductive displacement sensors

of three coils wound on a tubular former. A centre-tapped primary coil is excited by an oscillating signal of between 50 Hz and 30 kHz and a nonmagnetic rod, usually with an iron core, moves in and out of the tube. Figure 5.8 illustrates this design. As the rod moves the mutual inductance between the primary and two other, secondary, coils changes. A voltage opposition circuit gives an output potential difference that is directly proportional to the difference in mutual inductance of the two secondary coils that is in turn proportional to the displacement of the rod within the tube. When the core is central between the two secondary coils, the LVDT probe is at its null position and the output potential difference is zero. LVDTs have a wide variety of ranges, typically  100 mm to  500 mm and linearities of 0.5 % or better. LVDTs have a number of attractive features. First, there is no physical contact between the movable core and the coil structure, which results in frictionless measurement. The zero output at its null position means that the signal can be amplified by an unlimited amount, and this essentially gives an LVDT probe infinite resolution, the only limitation being caused by the external signal-conditioning electronics. There is complete isolation between the input and output, which eliminates the need for buffering when interfacing to signal-conditioning electronics. The repeatability of the null position is inherently very stable, making an LVDT

FIGURE 5.8 Schematic of an LVDT probe.

101

102

C H A P T ER 5 : Displacement measurement

FIGURE 5.9 Error characteristic of an LVDT probe.

probe a good null-position indicator. Insensitivity to radial core motion allows an LVDT probe to be used in applications where the core does not move in an exactly straight line. Lastly, an LVDT probe is extremely rugged and can be used in relatively harsh industrial environments (although they are sensitive to magnetic fields). Figure 5.9 shows the ‘bow-tie’ error characteristic of a typical LVDT probe over its linear or measuring range. Probes are usually operated around the null position, for obvious reasons, although, depending on the displacement accuracy required, a much larger region of the probe’s range can be used. LVDTs find uses in advanced machine tools, robotics, construction, avionics and computerised manufacturing. Air-bearing LVDTs are now available with improved linearities and less damping. Modern LVDTs can have multiple axes [43] and use digital signal processing [44] to correct for non-linearities and to compensate for environmental conditions and fluctuations in the control electronics [45].

5.5 Optical encoders Optical encoders operate by counting scale lines with the use of a light source and a photodetector. They usually transform the light distribution into two sinusoidal electrical signals that are used to determine the relative position between a scanning head and a linear scale. The grating pitch (resolution) of the scales varies from less than 1 mm to several hundred micrometres. As with interferometers, electronic interpolation of the signals can be used to

Optical encoders

produce sub-nanometre resolution [5] and some of the more advanced optical encoders can have accuracies at this level [46–48]. The most common configuration of an optical encoder is based upon a double grating system; one grating acts as the scale and the other is placed in the reading head. The grating pair produces a fringe pattern at a certain distance from the second grating (usually a Lau or moire´ pattern). The reading head has a photodetector that transforms the optical signal into an electrical signal. When a relative displacement between the reading head and the scale is produced, the total light intensity at the photodetector varies periodically. The electronic signals from the photodetector are analysed in the same manner as the quadrature signals from an interferometer (see section 5.2.4). Figure 5.10 is a schema of a commercial optical encoder system capable of sub-nanometre resolution. The period of the grating is 512 nm. The reading head contains a laser diode, collimating optics and an index grating with a period of 1024 nm (i.e. twice the period of the scale). The signals collected by the detectors are transformed into quadrature signals with a period of 128 nm (i.e. a quarter of the scale period). There are a number of errors that can affect the performance of an optical encoder, which can be mechanical, electrical or optical [49]. Mechanical

FIGURE 5.10 Schema of an optical encoder.

103

104

C H A P T ER 5 : Displacement measurement

errors arise from deformation of the parts, thermal expansion and vibration. There may also be errors in the production of the gratings or dust particles on the gratings. Variations in the light intensity, mechanical rotations between the two gratings or variations in the amplification of the optical signals may also occur. Correct design of the scanning head so that the encoder is robust to variations in the distances between the parts, rotations, variations in illumination conditions, etc. can minimize many of the error sources. Optical encoders can be linear or rotary in nature. The rotary version simply has the moving grating encoded along a circumference. The linear and angular versions often have integral bearings due to the difficulty of aligning the parts and the necessity for a constant light intensity. Optical encoders are often used for machine tools, CMMs, robotics, assembly devices and precision slideways. A high-accuracy CMM that uses optical encoders is discussed in section 9.4.1.1. Some optical encoders can operate in more than one axis by using patterned gratings [50].

5.6 Optical fibre sensors Optical fibre displacement sensors are non-contact, relatively cheap and can have sub-nanometre resolution, millimetre ranges at very high operating frequencies (up to 500 kHz). Optical fibres transmit light using the property of total internal reflectance; light that is incident on a media’s interface will be totally reflected if the incident angle is greater than a critical angle (known as Brewster’s angle [51]). This condition is satisfied when the ratio of the refractive index of the fibre and its cladding is in proper proportion (see Figure 5.11). The numerical aperture, NA, of an optical fibre is given by NA ¼ sin1 ðn21  n22 Þ

FIGURE 5.11 Total internal reflectance in an optical fibre.

(5.21)

Optical fibre sensors

where n1 and n2 are the refractive indexes of the fibre core and cladding respectively. This refractive index ratio also governs the efficiency at which light from the source will be captured by the fibre; the more collimated the light from the source, the more light that will be transmitted by the fibre. A multimode optical fibre cable (i.e. one that transmits a number of electromagnetic modes) has a multilayered structure including the fibre, the cladding, a buffer layer, a hard braid and a plastic outer jacket. There are three types of reflective optical fibre sensors, known as bifurcated sensors: hemispherical, fibre pair and random [52]. These three configurations refer to fibre bundles at one end of the sensor (see Figure 5.12). The bundles have one common end (for sensing) and the other end is split evenly into two (for the source and detector) (see Figure 5.13). As the target is moved towards the sensing end the intensity of the reflected light follows the curve shown in Figure 5.14. Close to the fibre end the response is linear, but follows a 1/d2 curve as the distance from the fibre end increases (d is the distance from the fibre end to the target). The performance of a bifurcated fibre optic sensor is a function of the cross-sectional geometry of the bundle, the illumination exit angle and the distance to target surface. Tilt of the target surface with respect to the fibre end significantly degrades the performance of a sensor. Optical fibre sensors are immune to electromagnetic interference, very tolerant of temperature changes and bending or vibration of the fibre does not significantly affect their performance. As a consequence optical fibre sensors are often used in difficult or hazardous environments. Note that only bifurcated fibre optic displacement sensors have been considered here. However, fibre optic sensors can be used to measure a wide range of measurands [53] and can be the basis of very environment-tolerant displacement measuring interferometers [54], often used where there is not sufficient space for bulk

FIGURE 5.12 End view of bifurcated optical fibre sensors, (a) hemispherical, (b) random and (c) fibre pair.

105

106

C H A P T ER 5 : Displacement measurement

FIGURE 5.13 Bifurcated fibre optic sensor components.

FIGURE 5.14 Bifurcated fibre optic sensor response curve.

optics. Fibre sensing and delivery has been used by some surface topography measuring instruments [55], and fibre sensors are used to measure the displacement of atomic force microscope cantilevers [56].

5.7 Calibration of displacement sensors There are many more forms of displacement sensors other than those described in this chapter (see [1,2]). Examples include sensors that use the Hall effect, the piezoelectric effect, ultrasonics, electrical resistance, magnetism and the simple use of a knife-edge in a laser beam [57]. Also, some MNT devices, including MEMS and NEMS sensors, use quantum mechanical effects such as tunnelling and quantum interference [58]. It is often claimed that a sensor has a resolution below a nanometre but it is far

Calibration of displacement sensors

from trivial to prove such a statement. Accuracies of nanometres are even more difficult to prove and often there are non-linear effects or sensor/target interactions that make the measurement result very difficult to predict or interpret. For these reasons, traceable calibration of displacement sensors is essential, especially in the MNT regime.

5.7.1 Calibration using optical interferometry In order to characterise the performance of a displacement sensor a number of interferometers can be used (provided the laser source has been traceably calibrated; see section 2.9.5). A homodyne or heterodyne set-up (see sections 5.2.2 and 5.2.3 respectively) can be used by rigidly attaching or kinematically mounting an appropriate reflector so that it moves collinearly with the displacement sensor. One must be careful to minimize the effects of Abbe offset (see section 3.4) and cosine error (see section 5.2.8.3), and to reduce any external disturbances. A differential interferometer (see section 5.2.6) can also be used but over a reduced range. As displacement sensor characteristics are very sensitive over short distances, the limits and limiting factors of interferometric systems for very small displacement become critical. For the most common interferometers it is the non-linearity within one wavelength that becomes critical. Even with the Heydemann correction applied this can be the major error source.

5.7.1.1 Calibration using a Fabry-Pe´rot interferometer The Fabry-Pe´rot interferometer, as described in section 4.4.4, can be used for an accurate calibration at discrete positions. If one mirror in the cavity is displaced, parallel interference extrema appear in steps of half a wavelength. If the sensor to be calibrated at the same time measures the mirror displacement, a calibration can be carried out. Such a system was described by [59], where it was used to calibrate a displacement generator with a capacitive feedback system with 0.2 nm uncertainty. As a capacitive system can be assumed to have a smoothly varying non-linear behaviour, discrete steps can be feasibly used. However, fringe periodic deviations as they may appear in interferometric systems cannot be detected. A continuous calibration system is possible if the wavelength can be tuned and accurately measured simultaneously (see section 2.9.5).

5.7.1.2 Calibration using a measuring laser The stability of an iodine-stabilized He-Ne laser is considered to be one part in 1011 (see section 2.9.3). Relating this stability to the typical length of

107

108

C H A P T ER 5 : Displacement measurement

a laser cavity (a Fabry-Pe´rot cavity) of, say, 15 cm one could conclude that the cavity length is fixed with an uncertainty of 1.5 pm. Of course there are many disturbing factors, such as temperature effects in the air, that make such a small uncertainty in a true displacement measurement hard to achieve. In the set-up described in [60], the iodine-standard is stabilized on its successive iodine peaks, and a sensor can be calibrated at a number of discrete points. Thermal drift effects mainly determine the uncertainty; the frequency stability itself contributes only 1.5 pm to the uncertainty. This is probably one of the most obvious traceable displacement measurements possible, although difficult to realize in practice. Separate measuring lasers can be used to give a continuous measurement [61,62]. Here the laser frequency can be tuned by displacing one of its mirrors, while the laser frequency is continuously monitored by a beat measurement. Mounting the laser outside the cavity removes the major thermal (error) source, but further complicates the set-up. In [63] a piezoelectric controller accounts for a displacement that is applied to a mirror and is measured by both a sensor and a Fabry-Pe´rot system. The slave laser is stabilized to the Fabry-Pe´rot cavity, i.e. its frequency is tuned such that it gives a maximum when transmitted through the cavity. At the same time the slave laser frequency is calibrated by a beat measurement against the iodinestabilized laser. Also here the uncertainties from the frequency measurement are in the picometre range, and still thermal and drift effects dominate [63]. Design considerations are in the cavity length, the tuning range of the slave laser, the demand that the slave laser has a single-mode operation and the range that the frequency counter can measure. Typical values are 100 mm cavity length and 1 GHz for both the tuning range of the slave laser and the detection range of the photodiode and frequency counter. For a larger frequency range the cavity length can be reduced, but this increases the demands on the ability to measure a larger frequency range. With tuneable diode lasers the cavity length can be reduced to the millimetre level, but this requires different wavelength measurement methods [59].

5.7.2 Calibration using X-ray interferometry The fringe spacing for a single pass two-beam optical interferometer is equal to half the wavelength of the source radiation and this is its basic resolution before fringe sub-division is necessary. The fringe spacing in an X-ray interferometer is independent of the wavelength of the source; it is determined by the spacing of diffraction planes in the crystal from which X-rays are diffracted [64]. Due to its ready availability and purity, silicon is the most common material used for X-ray interferometers. The atomic lattice

Calibration of displacement sensors

FIGURE 5.15 Schema of an X-ray interferometer.

parameter of silicon can be accurately measured (by diffraction) and is regarded as a traceable standard of length. Therefore, X-ray interferometry allows a traceable measurement of displacement with a basic resolution of approximately 0.2 nm (0.192 nm for the (220) planes in silicon). Figure 5.15 shows a schema of a monolithically manufactured X-ray interferometer made from a single crystal of silicon. Three, thin, vertical and equally spaced lamella are machined with a flexure stage around the third lamella (A). The flexure stage has a range of a few micrometres and is driven by a piezoelectric actuator (PZT). X-rays are incident at the Bragg angle [10] on lamella B and two diffracted beams are transmitted. Lamella A is analogous to a beam-splitter in an optical interferometer. The transmitted beams are incident on lamella M that is analogous to the mirrors in a Michelson interferometer. Two more pairs of diffracted beams are transmitted and one beam from each pair is incident on lamella A, giving rise to a fringe pattern. This fringe pattern is too small to resolve individual fringes, but when lamella A is translated parallel to B and M, a moire´ fringe pattern between the coincident beams and lamella A is produced. Consequently the intensity of the beams transmitted through lamella A varies sinusoidally as lamella A is translated. The displacements measured by an X-ray interferometer are free from the non-linearity in an optical interferometer (see section 5.2.8.4). To calibrate an optical interferometer (and, therefore, measure its non-linearity), the X-ray interferometer is used to make a known displacement that is compared against the optical interferometer under calibration. By servo-controlling the PZT it is possible to hold lamella A in a fixed position or move it in discrete

109

110

C H A P T ER 5 : Displacement measurement

steps equal to one fringe period [65]. Examples of the calibration of a differential plane mirror interferometer and an optical encoder can be found in [19] and [46] respectively. In both cases periodic errors with amplitudes of less than 0.1 nm were measured once a Heydemann correction (see section 5.2.8.5) had been applied. X-ray interferometry can also be used to calibrate the characteristics of translation stages in two orthogonal axes [66] and to measure nanoradian angles [67]. One limitation of X-ray interferometry is its short range. To overcome this limitation, NPL, PTB and Instituto di Metrologia ‘G. Colonetti’ (now known as Instituto Nazionale di Recerca Metrologica – the Italian NMI) collaborated on a project to develop the Combined Optical and X-ray Interferometer (COXI) [68] as a facility for the calibration of displacement sensors and actuators up to 1 mm. The X-ray interferometer has an optical mirror on the side of its moving mirror that is used in the optical interferometer (see Figure 5.16). The optical interferometer is a double-path differential system with one path measuring displacement of the moving mirror on the X-ray interferometer with respect to the two fixed mirrors above the translation stage. The other path measures the displacement of the mirror (M)

FIGURE 5.16 Schema of a combined optical and X-ray interferometer.

References

moved by the translation stage with respect to the two fixed mirrors either side of the moving mirror in the X-ray interferometer. Both the optical and X-ray interferometers are servo-controlled. The X-ray interferometer moves in discrete X-ray fringes, the servo system for the optical interferometer registers this displacement and compensates by initiating a movement of the translation stage. The displacement sensor being calibrated is referenced to the translation stage and its measured displacement is compared with the known displacements of the optical and X-ray interferometers.

5.8 References [1] Wilson J S 2005 Sensor technology handbook (Elsevier: Oxford) [2] Fraden J 2003 Handbook of modern sensors: physics, designs and applications (Springer) 3rd edition [3] Bell D J, Lu T J, Fleck N A, Spearing S M 2005 MEMS actuators and sensors: observations of their performance and selection for purpose J. Micromech. Microeng. 15 S153–S154 [4] de Silva C W 2007 Sensors and actuators: control system instrumentation (CRC Press) [5] Birch K P 1990 Optical fringe sub-division with nanometric accuracy Precision Engineering 12 195–198 [6] Peggs G N, Yacoot A 2002 A review of recent work in sub-nanometre displacement measurement using optical and x-ray interferometry Phil. Trans. R. Soc. Lond. A 260 953–968 [7] Winkler W, Danzmann K, Grote H, Hewitson M, Hild S, Hough J, Lu ¨ ck H, Malec M, Freise A, Mossavi K, Rowan S, Ru ¨ diger A, Schilling R, Smith J R, Strain K A, Ward H, Willke B 2007 The GEO 600 core optics Opt. Comms. 280 492–499 [8] Downs M J, Birch K P, Cox M G, Nunn J W 1995 Verification of a polarizationinsensitive optical interferometer system with subnanometric capability Precision Engineering 17 1–6 [9] Raine K W, Downs M J 1978 Beam-splitter coatings for producing phase quadrature interferometer outputs Optica Acta 25 549–558 [10] Hecht E 2003 Optics (Pearson Education) 4th edition [11] Knarren B A W H, Cosijns S J A G, Haitjema H, Schellekens P H J 2005 Validation of a single fibre-fed heterodyne laser interferometer with nanometre uncertainty Precision Engineering 29 229–236 [12] Williams D C 1992 Optical methods in engineering metrology (Kluwer Academic Publishers) [13] Hariharan P 2006 Basics of interferometry (Academic Press) 2nd edition [14] Leach R K, Flack D R, Hughes E B, Jones C W 2008 Development of a new traceable areal surface texture measuring instrument Wear 266 552–554

111

112

C H A P T ER 5 : Displacement measurement

[15] Chen B, Luo J, Li D 2005 Code counting of optical fringes: methodology and realisation Appl. Opt. 44 217–223 [16] Su S, Lu H, Zhou W, Wang G 2000 A software solution to counting and subdivision of moire´ fringes with wide dynamic range Proc. SPIE 4222 308–312 [17] Bennett S J 1972 A double-passed Michelson interferometer Opt. Commun. 4 428–430 [18] Downs M J, Nunn J W 1998 Verification of the sub-nanometric capability of an NPL differential plane mirror interferometer with a capacitance probe Meas. Sci. Technol. 9 1437–1440 [19] Yacoot A, Downs M J 2000 The use of x-ray interferometry to investigate the linearity of the NPL Plane Mirror Differential Interferometer Meas. Sci. Technol. 11 1126–1130 [20] Barwood G P, Gill P, Rowley W R C 1998 High-accuracy length metrology using multiple-stage swept-frequency interferometry with laser diodes Meas. Sci. Technol. 9 1036–1041 [21] Bechstein K-H, Fuchs W 1998 Absolute interferometric distance measurements applying a variable synthetic wavelength J. Opt. 29 179–182 [22] Leach R K 1999 Calibration, traceability and uncertainty issues in surface texture metrology NPL Report CLM7 [23] Rosenbluth A E, Bobroff N 1990 Optical sources of non-linearity in heterodyne interferometers Precision Engineering 12 7–11 [24] Bobroff N 1993 Recent advances in displacement measuring interferometry Meas. Sci. Technol. 4 907–926 [25] Cosijns S J A G, Haitjema H, Schellekens P H J 2002 Modelling and verifying non-linearities in heterodyne displacement interferometry Precision Engineering 26 448–455 [26] Augustyn W, Davis P 1990 An analysis of polarization mixing in distance measuring interferometers J. Vac. Sci. Technol. B8 2032–2036 [27] Xie Y, Wu Y 1992 Zeeman laser interferometer errors for high precision measurements Appl. Opt. 31 881–884 [28] Eom T, Kim J, Joeng K 2001 The dynamic compensation of nonlinearity in a homodyne laser interferometer Meas. Sci. Technol. 12 1734–1738 [29] Kim H S, Schmitz T L, Beckwith J F, Rueff M C 2008 A new heterodyne interferometer with zero periodic error and tuneable beat frequency Proc. ASPE, Portland, Oregon, USA, Oct. 136–139 [30] Heydemann P L M 1981 Determination and correction of quadrature fringe measurement errors in interferometers Appl. Opt. 20 3382–3384 [31] Link A, von Martens H-J 1998 Amplitude and phase measurement of the sinusoidal vibration in the nanometer range using laser interferometry Measurement 24 55–67 [32] Usada T, Dobonsz M, Kurosawa T 1998 Evaluation method for frequency characteristics of linear actuators in the sub-mm stroke range using a modified Michelson-type interferometer Nanotechnology 9 77–84

References

[33] Forbes A B 1987 Fitting an ellipse to data NPL Report DITC 95/87 [34] Mana G 1989 Diffraction effects in optical interferometers illuminated by laser sources Metrologia 26 87–93 [35] Meers B J, Strain K A 1991 Modulation, signal and quantum noise in optical interferometers Phys. Rev. A44 4693–4703 [36] Fujimoto H, Mana G, Nakayama K 2000 Light bounces in two-beam scanning laser interferometers Jpn. J. Appl. Phys. 39 2870–2875 [37] Rai-Choudhury P 2001 MEMS and MOEMS technology and applications (The International Society of Optical Engineering: Washington) [38] Reilly S P, Leach R K, Cuenat A, Awan S A, Lowe M 2006 Overview of MEMS sensors and the metrology requirements for their manufacture NPL Report DEPC-EM 008 [39] Hicks T R, Atherton P D 1997 The nanopositioning book: moving and measuring to better than a nanometre (Queensgate Instruments) [40] Williams C C 1999 Two-dimensional dopant profiling by scanning capacitance microscopy Annual Review of Material Science 29 471–504 [41] Leach R K, Oldfield S, Awan S A, Blackburn J, Williams J M 2004 Design of a bi-directional electrostatic actuator for realising nanonewton to micronewton forces NPL Report DEPC-EM 001 [42] Baxter L K 1996 Capacitance sensors: design and applications (Wiley IEEE Press) [43] Kano Y, Hasebe S, Huang C, Yamada T 1989 New type of linear variable differential transformer position transducer IEEE Trans. Instrum. Meas. 38 407–409 [44] Ford R M, Weissbach R S, Loker D R 2001 A novel DSP-based LVDT signal conditioner IEEE Trans. Instrum. Meas. 50 768–773 [45] Saxena S C, Seksena S B 1989 A self-compensated smart LVDT transducer IEEE Trans. Instrum. Meas. 38 748–753 [46] Yacoot A, Cross N 2003 Measurement of picometre non-linearities in an optical grating encoder using x-ray interferometry Meas. Sci. Technol. 14 148–152 [47] Holzapfel W 2008 Advances in displacement metrology based on encoder systems Proc. ASPE, Portland, Oregon, USA, Oct. 71–74 [48] Heilmann T K, Chen C G, Konkola P T, Schattenburg M L 2004 Dimensional metrology for nanometre scale science and engineering: towards subnanometre accurate encoders Nanotechnology 15 S504–S511 [49] Sanchez-Brea L M, Morlanes T 2008 Metrological errors in optical encoders Meas. Sci. Technol. 19 115104 [50] Sandoz P 2005 Nanometric position and displacement measurement of six degrees of freedom by means of a patterned surface element Appl. Opt. 44 1449–1453 [51] Long H, Hecht J 2005 Understanding fiber optics (Pearson Higher Education)

113

114

C H A P T ER 5 : Displacement measurement

[52] Slocum A H 1992 Precision machine design (Society of Manufacturing Engineers: USA) [53] Udd E 2006 Fiber optic sensors: an introduction for engineers and scientists (Wiley Blackwell) [54] Domanski A W, Wolinski T R, Bock W J 1995 Polarimetric fibre optic sensors: state of the art and future Proc. SPIE 2341 21–26 [55] Jiang X, Lin D, Blunt L, Zhang W, Zhang L 2006 Investigation of some critical aspects of on-line surface measurement by a wavelength-divisionmultiplexing technique Meas. Sci. Technol. 17 483–487 [56] Yacoot A, Koenders L, Wolff H 2007 An atomic force microscope for the study of the effects of tip-sample interactions on dimensional metrology Meas. Sci. Technol. 18 350–359 [57] Puppin E 2005 Displacement measurements with resolution in the 15 pm range Rev. Sci. Instrum. 76 105107 [58] Kalantar-zadeh K, Fry B 2007 Nanotechnology-enabled sensors (Springer) [59] Haitjema H, Rosielle N, Kotte G, Steijaert H 1998 Design and calibration of a parallel-moving displacement generator for nano-metrology Meas. Sci. Technol. 9 1098–1104 [60] Ottmann S, Sommer M 1989 Absolute length calibration of microindicators in the nanometre range VDU Berichte 761 371–376 [61] Wetzels S F C L, Schellekens P H J 1996 Calibration of displacement sensors with nanometer accuracy using a measuring laser Proc. IMEKO, Lyngby, Denmark, Oct. 91–100 [62] Brand U, Herrmann K 1996 A laser measurement system for the high-precision calibration of displacement transducers Meas. Sci. Technol. 7 911–917 [63] Cosijns S 2004 Displacement laser interferometry with sub-nanometer uncertainty (PhD Thesis: Eindhoven University of Technology) [64] Wilkening G, Koenders L 2005 Nanoscale calibration standards and methods: dimensional and related measurements in the micro- and nanometer range (Wiley VCH) [65] Bergamin A, Cavagnero G, Mana G 1997 Quantised positioning of x-ray interferometers Rev. Sci. Instrum. 68 17–22 [66] Chetwynd D G, Schwarzenberger D R, Bowen D K 1990 Two dimensional x-ray interferometry Nanotechnology 1 19–26 [67] Kuetgens U, Becker P 1998 X-ray angle interferometry: a practical set-up for calibration in the microrad range with nanorad resolution Meas. Sci. Technol. 12 1660–1665 [68] Basile G, Becker P, Bergamin G, Cavagnero G, Franks A, Jackson K, Keutgens U, Mana G, Palmer E W, Robbie C J, Stedman M, Stumpel J, Yacoot A, Zosi G 2000 Combined optical and x-ray interferometer for high precision dimensional metrology Proc. R. Soc. A 456 701–729

CHAPTER 6

Surface topography measurement instrumentation 6.1 Introduction to surface topography measurement Most manufactured parts rely on some form of control of their surface features. The surface is usually the feature on a component or device that interacts with the environment in which the component is housed or the device operates. The surface topography (and of course the material characteristics) of a part can affect things such as how two bearing parts slide together, how light interacts with the part, or how the part looks and feels. The need to control and, hence, measure surface features becomes increasingly important as we move into a miniaturized world. The surface features can become the dominant functional features of a part and may become large in comparison to the overall size of an object. There is a veritable dictionary-sized list of terminology associated with the field of surface measurement. In this book I have tried to be consistent with ISO specification standards and the NPL good practice guides [1,2]. We define surface topography as the overall surface structure of a part (i.e. all the surface features treated as a continuum of spatial wavelengths), surface form as the underlying shape of a part (for example, a cylinder liner has cylindrical form) and surface texture as the features that remain once the form has been removed (for example, machining marks on the cylinder liner). The manner in which a surface governs the functionality of a part is also affected by the material characteristics and sub-surface physics, or surface integrity. Surface integrity is not covered in this book as it falls under material science (see [3]). This book will concentrate on the measurement of surface texture, as this is the main feature that will affect MNT parts and processes. In many ways form becomes texture as the overall size of the part approaches that of its surface features, so this distinction is not always clear-cut. In the field of optics manufacturing the surface form and texture often both need to be controlled to nanometric accuracy. A recent example where the macro-world Fundamental Principles of Engineering Nanometrology Copyright Ó 2010 by Elsevier Inc. All rights reserved.

CONTENTS Introduction to surface topography measurement Spatial wavelength ranges Historical background of classical surface texture measuring instrumentation Surface profile measurement Areal surface texture measurement Surface topography measuring instrumentation Optical instruments Capacitive instruments Pneumatic instruments Calibration of surface topography measuring instruments

115

116

C H A P T ER 6 : Surface topography measurement instrumentation

CONTENTS Uncertainties in surface topography measurement Comparisons of surface topography measuring instruments Software measurement standards References

meets the MNTworld is the proposal for a 42 m diameter off-axis ellipsoidal primary mirror for the E-ELT optical telescope [4,5]. This will be made from several 1.42 m across-flats hexagonal mirror segments that need phenomenal control of their surface topography. Such mirrors are not usually thought of as MNT devices, but they clearly need engineering nanometrology. We will only consider surface texture in this book; the measurement of surface form in the optics industry is covered in many other text books and references (see for example [6]). Surface texture measurement has been under research for over a century and it was naturally taken up by most of the NMIs as their first MNTsubject. However, it is still a hot area of research, especially as the new areal surface texture specification standards have now started to be introduced. The reader is referred elsewhere for more in-depth treatment of the area of surface measurement [7–10]. To rationalize the information content I have split the chapters on surface topography measurement in this book into three. Chapters 6 and 7 discuss the instrumentation used to measure surface topography (see section 6.2 for a discussion of why I have used two instrumentation chapters). Chapter 8 then discusses the characterization of surface topography – essentially how the data that are collected from a surface topography measuring instrument are analysed.

6.2 Spatial wavelength ranges A chapter on surface topography, primarily surface texture, measurement could include a large range of instrumentation, with stylus and optical instruments at one end of the range and scanning probe and electron microscopes at the other end. However, this would make for a very large chapter that would include a huge range of measurement technologies. I have, therefore, split surface topography into instruments that measure spatial wavelength features that are 500 nm and larger, for example, stylus and most far-field optical methods, and instruments that measure features that are 500 nm and smaller, for example, scanning probe and electron microscopes. This division is not hard and fast, but will suffice to rationalize the information content per chapter. It is worth noting that the magnitude of 500 nm has not been chosen for purely arbitrary reasons; it is also a form of natural split. The stylus instrument is limited to spatial wavelengths that are greater than the stylus radius, typically 2 mm or more, and far-field optical instruments are diffraction limited, typically to around 300 nm or so. Scanning probe instruments are also limited by the radius of the tip, typically tens of nanometres, and electron

Historical background of classical surface texture measuring instrumentation

FIGURE 6.1 Amplitude-wavelength space depicting the operating regimes for common instruments.

microscopes tend to be used for spatial wavelengths that cannot be measured using far-field optical techniques. Figure 6.1 is an amplitude-wavelength (AW) space graph that shows the range of amplitudes and spatial wavelengths that can be measured using three common instruments. AW space is a useful method for depicting the operating regimes of surface measuring instruments that assumes a surface can be mathematically generated by a series of sinusoidal functions [11–13]. AW space has been extended recently to include the instrument measuring speed and probing force [14].

6.3 Historical background of classical surface texture measuring instrumentation Before the turn of the nineteenth century the measurement of surface texture was primarily carried out by making use of our senses of sight and touch. By simply looking at a surface one can easily tell the difference between a freshly machined lump of glass and one that has been lapped and fine-polished. Touch was utilized by running a finger or fingernail along a surface to be measured and feeling any texture present on the surface. With a few technological modifications, these two methods for measuring surface texture are still the most widely used today. One of the earliest attempts at controlling surface texture was made in the USA by a company that mounted samples of textures produced by different

117

118

C H A P T ER 6 : Surface topography measurement instrumentation

methods in cases [15] which were given to the machinist, who was expected to obtain a texture on his or her workpiece as near to that specified as possible. This was a suitable method for controlling the appearance of the workpiece but did not in any way indicate the magnitude of the surface texture. Perhaps the first stylus method was to drag a sapphire needle attached to a pick-up arm across the surface being tested [16]. As with a gramophone, the vibration so produced gave rise to sound in a speaker and variation in the electrical current reading on a voltmeter. The method was calibrated by comparing the measured results to those obtained with a sample having a texture that should have been given to the workpiece. This method did not give rise to many benefits over the visual appearance method and it would be expected that the amplitude of the current reading will bear a greater relation to the pitch of the texture rather than its depth. Few metrologists can doubt the influence on the world of surface texture measurement, and indeed the entire field of engineering metrology, played by two brothers named Thomas Smithies Taylor and William Taylor, plus their associate William S. Hobson. The three men went into business in Leicester, England, in 1886 manufacturing optical, electrical and scientific instruments [17]. In the 1880s, photography was developing rapidly and Taylor, Taylor and Hobson (TTH) started making photographic lenses. The present company still holds a leading position in the world for cinematograph and television lenses. The first metrology instrument manufactured by TTH was a screw diameter measuring machine (originally designed by Eden at NPL). This instrument was used extensively for armaments manufacture during the First World War. In 1945 J. Arthur Rank, the British flour miller and millionaire film magnate, purchased shares in the company. Until 1996, Rank Taylor Hobson was still part of the Rank Organisation. Richard Reason [18], who was employed by TTH, attributed the origin of surface stylus measurements to Gustav Schmaltz of Germany in 1929. Schmaltz [19] used a pivoted stylus drawn over the surface with a very lightweight mirror being attached to the stylus. A beam of light reflected in the mirror traced a graph on a moving photographic chart, providing a magnified, although distorted, outline of the surface profile. In 1934 William Taylor learned of the work of Abbott and Firestone [20] in developing methods for measuring surface texture. In their 1933 paper Abbott and Firestone discuss the use of a similar instrument to that of Schmaltz and name it a profilograph. Abbott’s instrument was put on the market in 1936. Schmaltz later produced a microscope (known as the light-section microscope) that observed the surface at an angle of incidence of 45 . This gave

Historical background of classical surface texture measuring instrumentation

additional magnification (O2) to that of the microscope but was only suitable for quite coarse surface textures since the optical magnification was necessarily limited. In the mid-1930s the area where accurate surface measurement was required was mainly in finely finished bearing surfaces, such as those used in aircraft engines. The stylus and mirror arrangement was limited to about 4000 magnification but an order of magnitude more was needed. Therefore, Reason rejected optical magnification and used the principles of a stylus drawn across the surface with a variable inductance pick-up and electronic amplification. Along the lines of Abbott, in 1940 Rolt (at NPL) was pressing for surface texture measurement to produce a single number that would define a surface and enable comparisons to be made. The number most readily obtainable from a profile graph was the average value, obtained using a planimeter. Eventually, TTH put the Talysurf onto the market. (Note that the name Talysurf comes from the Latin talea, which roughly translates to ‘measurement’, and not from the name Taylor.) This instrument provided a graph and the average surface roughness value read directly from a meter. Figure 6.2 is a photograph of the original Talysurf instrument. Another method for measuring surface texture was due to Linnik of the Mendelleif Institute in Leningrad (1930) and interferometers for this method were made by Hilger and Watts, and by Pitter Valve Engineering in Britain. These interferometric instruments were diffraction limited but paved the

FIGURE 6.2 The original Talysurf instrument (courtesy of Taylor Hobson).

119

120

C H A P T ER 6 : Surface topography measurement instrumentation

way for a range of non-contacting instruments that is still being increased to date (see section 6.7). In 1947 Reason turned his attention to the measurement of roundness and in 1949 the first roundness testing machine, the Talyrond, was produced. The Talyrond used a stylus arm and electrical transducer operating on the same principle as the Talysurf. These two, plus other instruments, paved the way for the Talystep instrument, which uses the sensitive electronic transducer technique to measure very small steps or discontinuities in a surface and is thus able to measure thin-film steps of near-molecular thickness [21]. Further developments in surface texture measurement will be discussed in the following sections of this chapter.

6.4 Surface profile measurement Surface profile measurement is the measurement of a line across the surface that can be represented mathematically as a height function with lateral displacement, z(x). With a stylus or optical scanning instrument, profile measurement is carried out by traversing the stylus across a line on the surface. With an areal (see section 6.7.3) optical instrument, a profile is usually extracted in software after an areal measurement has been taken (see section 6.5). Figure 6.3 shows the result of a profile measurement extracted from an areal measurement. When using a stylus instrument, the traversing direction for assessment purposes is defined in ISO 4287 [22] as perpendicular to the direction of the lay unless otherwise indicated. The lay is the direction of the predominant surface pattern. Lay usually derives from the actual production process used to manufacture the surface and results in directional striations across the

FIGURE 6.3 Example of the result of a profile measurement.

Areal surface texture measurement

surface. The appearance of the profile being assessed is affected by the direction of the view relative to the direction of the lay and it is important to take this into account when interpreting surface texture parameters [1].

6.5 Areal surface texture measurement Over the past three decades there has been an increased need to relate surface texture to surface function. Whilst a profile measurement may give some functional information about a surface, to really determine functional information, a three-dimensional, or ‘areal’, measurement of the surface is necessary. Control of the areal nature of a surface allows the manufacturer to alter how a surface interacts with its surroundings. In this way optical, tribological, biological, fluidic and many other properties can be altered [23,24]. For example, control of surface texture is important for: -

surface structuring to encourage the binding of biological molecules, for example proteins, cells or enzymes;

-

micro-lens arrays for displays and photo-voltaics;

-

prismatic arrays for safety clothing, signage and LED lighting;

-

nanostructured surfaces that affect plasmonic interactions for antireflection coatings, waveguides and colour control;

-

surfaces of microfluidic channels for flow control, mixing, lab-on-achip and biological filtering;

-

deterministic patterning to control tribological characteristics such as friction, rheology and wear.

There are inherent limitations with 2D surface measurement and characterization. A fundamental problem is that a 2D profile does not necessarily indicate functional aspects of the surface. For example, consider the most commonly used parameter for 2D surface characterisation, Ra (see section 8.2.7.1). Figure 6.4 shows the profiles of two surfaces, both of which return the same Ra value when filtered under the same conditions. It can be seen that the two surfaces have very different features and consequently very different functional properties. With profile measurement and characterization it is often difficult to determine the exact nature of a topographic feature. Figure 6.5 shows a 2D profile and a 3D surface map of the same component covering the same measurement area. With the 2D profile alone a discrete pit is measured on

121

122

C H A P T ER 6 : Surface topography measurement instrumentation

FIGURE 6.4 Profiles showing the same Ra with differing height distributions. FIGURE 6.5 A profile taken from a 3D measurement shows the possible ambiguity of 2D measurement and characterization.

the surface. However, when the 3D surface map is examined, it can be seen that the assumed pit is actually a valley and may have far more bearing on the function of the surface than a discrete pit. The measurement of areal surface texture has a number of benefits over profile measurement. Areal measurements give a more realistic representation of the whole surface and have more statistical significance. Also, there is less chance that significant features will be missed by an areal method and the manufacturer gains a better visual record of the overall structure of the surface.

6.6 Surface topography measuring instrumentation Over the past one hundred years, and especially in the last thirty years, there has been an explosion in the number of instruments that are available to measure surface texture. The instruments can be divided into three broad

Surface topography measuring instrumentation

classes: line profiling, areal topography measuring and area-integrating methods [25]. Line profiling methods produce a topographic profile, z(x). Areal topography methods produce topographic images, z(x, y). Often, z(x, y) is developed by juxtaposing a set of parallel profiles. Area-integrating methods measure a representative area of a surface and produce numerical results that depend on area-integrating properties of the surface. This chapter will highlight the most popular instruments available at the time of writing and more instruments are discussed in [7–10]. Scanning probe and electron beam instruments are described in chapter 7.

6.6.1 Stylus instruments Stylus instruments are by far the most common instruments for measuring surface texture today, although optical instruments and scanning probe microscopes are becoming more common in MNT manufacturing facilities. A typical stylus instrument consists of a stylus that physically contacts the surface being measured and a transducer to convert its vertical movement into an electrical signal. Other components can be seen in Figure 6.6 and include: a pickup, driven by a motor and gearbox, which draws the stylus over the surface at a constant speed; an electronic amplifier to boost the signal

FIGURE 6.6 Schema of a typical stylus instrument.

123

124

C H A P T ER 6 : Surface topography measurement instrumentation

from the stylus transducer to a useful level; and a device, also driven at a constant speed, for recording the amplified signal [1,26,27]. The part of the stylus in contact with the surface is usually a diamond tip with a carefully manufactured shape. Commercial styli usually have tip radii of curvature ranging from 2 mm to 10 mm, but smaller or larger styli are available for specialist applications and form measurement respectively. Owing to their finite shape, some styli on some surfaces will not penetrate into valleys and will give a distorted or filtered measure of the surface texture. Consequently, certain parameters will be more affected by the stylus shape than others. The effect of the stylus shape has been extensively covered elsewhere (see for example [7,28–30]). The effect of the stylus force can have a significant influence on the measurement results and too high a force can cause damage to the surface being measured (see Figure 6.7). ISO 3274 [26] states that the stylus force should be 0.75 mN but this is rarely checked and can vary significantly from the value given by the instrument manufacturer. The value of 0.75 mN was chosen so as not to cause scratches in metals with a 2 mm radius stylus, but it does cause scratches in aluminium. Smaller forces limit the measurement speed due to the risk of ‘stylus flight’. Some researchers ([31,32] and, more recently [33]) have developed constant-force stylus instruments to improve the fidelity between the surface and the stylus tip plus reduce surface damage and dynamic errors. To enable a true cross-section of the surface to be measured, the stylus, as it is traversed across the surface, must follow an accurate reference path that has the general profile of, and is parallel to, the nominal surface. Such

FIGURE 6.7 Damage to a brass surface due to a high stylus force.

Surface topography measuring instrumentation

a datum may be developed by a mechanical slideway; for examples see [34] and [35]. The need for accurate alignment of the object being measured is eliminated by the surface datum device in which the surface acts as its own datum by supporting a large radius of curvature spherical (or sometimes with different radii of curvature in two orthogonal directions) skid fixed to the end of the hinged pickup. At the front end of the pickup body the skid rests on the specimen surface (note that skids are rarely seen on modern instruments and not covered by ISO specification standards). All the aspects of stylus instruments are discussed in great detail elsewhere [7]. The main sources of error associated with a stylus instrument are simply listed below: -

surface deformation;

-

amplifier distortion;

-

finite stylus dimensions;

-

lateral deflection;

-

effect of skid or other datum;

-

relocation upon repeated measurements;

-

effect of filters – electrical or mechanical;

-

quantization and sampling effects;

-

dynamic effects;

-

environmental effects;

-

effect of incorrect data-processing algorithms.

The lateral resolution of a stylus instrument, or the shortest wavelength, l, of a sinusoidal signal where the probe can reach the bottom of the surface, is given by pffiffiffiffiffi l ¼ 2p ar (6.1) where a is the amplitude of the surface and r is the radius of the stylus tip. Note that equation (6.1) only applies for a sinusoidal profile. Quantization effects and the noise floor of the instrument will determine the axial, or height, resolution. Modern stylus instruments regularly obtain measurements of surface texture with sub-nanometre resolution but struggle to obtain true traceability of these measurements in each of their axes. It is worth pointing out here that many of the pitfalls of mechanical stylus techniques are often highly

125

126

C H A P T ER 6 : Surface topography measurement instrumentation

exaggerated [36]. For example, the wear on the surface caused by a stylus is often stated as its fundamental limit, but even if a stylus does cause some damage, this may not affect the functionality of the surface. There have been some proposals to speed up the performance of a stylus by vibrating it axially [37]. One drawback of a stylus instrument when operated in an areal scanning mode is the time to take a measurement. It is perfectly acceptable to take several minutes to make a profile measurement, but if the same number of points are required in the y direction (orthogonal to the scan direction) as are measured in the x direction, then measurement times can be up to several hours. For example, if the drive mechanism can scan at 0.1 mm$s1 and 1000 points are required for a profile of 1 mm, then the measurement will take 10 s. If a square grid of points is required for an areal measurement, then the measurement time will increase to 105 s or approximately 2.7 hours. This sometimes precludes the use of a stylus instrument in a production or in-line application. This is one area where some of the optical instruments offer an advantage over the stylus instruments.

6.7 Optical instruments There are many different types of optical instrument that can measure surface topography, both surface texture and surface form. The techniques can be broken down into two major areas – those that measure the actual surface topography by either scanning a beam or using the field of view (profile or areal methods), and those that measure a statistical parameter of the surface, usually by analysing the distribution of scattered light (areaintegrating methods). Whilst both these methods operate in the optical far field, there is a third area of instruments that operate in the near field – these are discussed in chapter 7. The instruments that are discussed in sections 6.7.2 to 6.7.4 are the most common instruments that are available commercially. There are many more optical instruments, or variations on the instruments presented here, most of which are listed in [27] with appropriate references. At the time of writing, only the methods described in sections 6.7.2.2, 6.7.3.1, 6.7.3.2 and 6.7.3.4 are being actively standardized in the appropriate ISO committee (ISO 213 working group 16). Optical instruments have a number of advantages over stylus instruments. They do not physically contact the surface being measured and hence do not present a risk of damaging the surface. This non-contact nature can also lead to much faster measurement times for the optical scanning

Optical instruments

instruments. The area-integrating and scattering methods can be faster still, sometimes only taking some seconds to measure a relatively large area. However, more care must be taken when interpreting the data from an optical instrument. Whereas it is relatively simple to predict the output of a stylus instrument by modelling it as a ball of finite diameter moving across the surface, it is not such a trivial matter to model the interaction of an electromagnetic field with the surface. Often many assumptions are made about the nature of the incident beam or the surface being measured that can be difficult to justify in practice [38]. The beam-to-surface interaction is so complex that one cannot decouple the geometry or material characteristics of the surface being measured from the measurement. For this reason, it is often necessary to have an a priori understanding of the nature of the surface before an optical measurement is attempted.

6.7.1 Limitations of optical instruments Optical instruments have a number of limitations, some of which are generic, and some that are specific to instrument types. This section briefly discusses some of these limitations and section 6.12 discusses a number of comparisons that show how the limitations may affect measurements and to what magnitude. Many optical instruments use a microscope objective to magnify the features on the surface being measured. Magnifications vary from 2.5 to 100 depending on the application and the type of surface being measured. Instruments employing a microscope objective will have two fundamental limitations. Firstly, the numerical (or angular) aperture (NA) determines the largest slope angle on the surface that can be measured and affects the optical resolution. The NA of an objective is given by NA ¼ n sin a

(6.2)

where n is the refractive index of the medium between the objective and the surface (usually air, so n can be approximated by unity) and a is the acceptance angle of the aperture (see Figure 6.8, where the objective is approximated by a single lens). The acceptance angle will determine the slopes on the surface that can physically reflect light back into the objective lens and hence be measured. For instruments based on interference microscopy it may be necessary to apply a correction to the interference pattern due to the effect of the NA. Effectively the finite NA means that the fringe distance is not equal to half the wavelength of the source radiation [39]. This effect also accounts for the aperture correction in gauge block interferometry (see section 4.5.4.6), but it has

127

128

C H A P T ER 6 : Surface topography measurement instrumentation

FIGURE 6.8 Numerical aperture of a microscope objective lens.

a larger effect here; it may cause a step height to be measured up to 15 % short. This correction can usually be determined by measuring a step artefact with a calibrated height value and it can be directly determined using a grating [40]. The second limitation is the optical resolution of the objective. The resolution determines the minimum distance between two lateral features on a surface that can be measured. The resolution is approximately given by r ¼

l 2NA

(6.3)

where l is the wavelength of the incident radiation [41]. For a theoretically perfect optical system with a filled objective pupil, the optical resolution is given by the Rayleigh criterion, where the ½ in equation (6.3) is replaced by 0.61. Yet another measure of the optical resolution is the Sparrow criterion, or the spatial wavelength where the instrument response drops to zero and where the ½ in equation (6.3) is replaced by 0.82. Equation (6.3), and the Rayleigh and Sparrow criteria, can be used almost indiscriminately, so the user should always check which expression has been used where optical resolution is a limiting factor. Also, equation (6.3) sets a minimum value. If the objective is not optically perfect (i.e. aberration-free) or if a part of the beam is blocked (for example, in a Mirau interference objective, or when a steep edge is measured) the value becomes higher (worse).

Optical instruments

Table 6.1

Minimum distance between features for different objectives

Magnification

NA

Resolution/mm

Pixel spacing/mm

10 20 50

0.3 0.4 0.5

1.00 0.75 0.60

1.75 0.88 0.35

For some instruments, it may be the distance between the pixels (determined by the image size and the number of pixels in the camera array) in the microscope camera array that determines the lateral resolution. Table 6.1 gives an example for a commercial microscope – for the 50 objective, it is the optical resolution that determines the minimum distance between features, but with the 10 objective it is the pixel spacing. The optical resolution of the objective is an important characteristic of an optical instrument, but its usefulness can be misleading. When measuring surface texture, one must consider the ability to measure the spacing of points in an image along with the ability to accurately determine the heights of features. We need an optical equivalent of equation (6.1) for stylus instruments. This is not a simple task and, at the time of writing, the exact definitions have not been decided on. Also, there may not be a common expression that can be used for all optical instruments. One such definition is the lateral (50 %) resolution or the wavelength at 50 % depth modulation. This is defined as one half the spatial period of a sinusoidal profile for which the instrument response (measured feature height compared to actual feature height) falls to 50 %. The instrument response can be found by direct measurement of the instrument transfer function (see [42] and annex C in [43]). Note that this definition is not without its faults – the value of the lateral (50 %) resolution will vary with the height of the features being measured (as with equation (6.1) for a stylus instrument). Another important factor for optical instruments that magnify the surface being measured is the optical spot size. For scanning type instruments the spot size will determine the area of the surface measured as the instrument scans. To a first approximation, the spot size mimics the action of the tip radius on a stylus instrument, i.e. it acts as a low-pass filter [44]. The optical spot size is given by fl d0 ¼ (6.4) w0 where f is the focal length of the objective lens and w0 is the beam waist (the radius of the 1/e2 irradiance contour at the plane where the wavefront is flat [41]).

129

130

C H A P T ER 6 : Surface topography measurement instrumentation

In a non-scanning areal instrument it will be the field of view that determines the lateral area that is measured. In the example given in Table 6.1 the areas measured are 0.3 mm  0.3 mm and 1.2 mm  1.2 mm for the 50 and 10 objectives respectively. Many optical instruments, especially those utilizing interference, can be affected by the surface having areas that are made from different materials [45,46]. For a dielectric surface there is a p phase change on reflection (at normal incidence), i.e. a p phase difference between the incident and reflected beams. For materials with free electrons at their surfaces (i.e. metals and semiconductors) there will be a (p  d) phase change on reflection, where d is given by 2n1 k2 d ¼ (6.5) 1  n22  k22 where n and k are the refractive and absorption indexes of the surrounding air (medium 1) and the surface being measured (medium 2) respectively. For the example of a chrome step on a glass substrate, the difference in phase change on reflection gives rise to an error in the measured height of approximately 20 nm (at a wavelength of approximately 633 nm) when measured using an optical interferometer. A stylus instrument would not make this error in height. In the example of a simple step, it may be possible to correct for the phase change on reflection (if one has prior knowledge of the optical constants of the two materials) but, when measuring a multi-material engineered surface, this may not be so easy to achieve. Most optical instruments can experience problems when measuring features with very high slope angles or discontinuities. Examples include steep-sided vee-grooves and steps. The NA of the delivery optics will dictate the slope angle that is detectable and in the case of a microscope objective it will be the acceptance angle. For variable focus and confocal instruments (see sections 6.7.2.2 and 6.7.3.1) sharp, overshooting spikes are seen at the top of steps and often the opposite at the bottom of the step. These are usually caused by the instrument not measuring the topography correctly, sometimes due to only a single pixel spanning the discontinuity. For lowcoherence interferometers (see section 6.7.3.4) there can be problems that are caused by diffraction and interference from the top and bottom surface when a step height is less than the coherence length of the source [47,48]. These effects give rise to patterns known as batwings (see Figure 6.9). In general, care should be taken when measuring steep slopes with optical instruments. Note that some optical instruments can extend the slope limitation of the objective by making use of diffusely scattered light. This can only be achieved when the surface of the slope is sufficiently rough to obtain

Optical instruments

FIGURE 6.9 Example of the batwing effect when measuring a step using a coherence scanning interferometer.

enough diffuse scatter. It is also possible to extend the slope limitation with some surfaces using controlled tilting of the sample and specialist image processing [49]. Many optical instruments for measuring surface topography utilize a source that has an extended bandwidth (for example, coherence scanning interferometers and confocal chromatic microscopy). Such instruments can be affected by dispersion in the delivery optics or due to thin films at the sample surface. For example, due to dispersion, coherence scanning interferometers can miscalculate the fringe order, giving rise to what are referred to as 2p discontinuities or ghost steps [50]. Dispersion effects can also be field or surface gradient dependent [51]. Also, all optical instruments will be affected by aberrations caused by imperfections in the optical components and these will affect the measurement accuracy and optical resolution (such systems will not be diffraction limited). Finally it is important to note that surface roughness plays a significant role in measurement quality when using optical instrumentation. Many researchers have found that estimates of surface roughness derived from optical measurements differ significantly from other measurement techniques [52–55]. The surface roughness is generally over-estimated by optical instrumentation (this is not necessarily true when considering areaintegrating instruments) and this can be attributed to multiple scattering. Although it may be argued that the local gradients of rough surfaces exceed the limit dictated by the NA of the objective and, therefore, would be classified as beyond the capability of optical instrumentation, measured values with high signal-to-noise ratio are often returned in practice. If, for example, a silicon vee-groove (with an internal angle of approximately 70 ) is

131

132

C H A P T ER 6 : Surface topography measurement instrumentation

FIGURE 6.10 Over-estimation of surface roughness due to multiple scattering in veegrooves.

measured using coherence scanning interferometry, a clear peak is observed at the bottom of the profile due to multiple reflections (scattering) [56]. Although this example is specific to a highly polished vee-groove fabricated in silicon it is believed to be the cause for over-estimation of surface roughness since a roughened surface can be considered to be made up of lots of randomly oriented grooves with random angles (see Figure 6.10). Note that recent work has shown that, whilst multiple scattering may cause problems in most cases for optical instruments, it is possible to extend the dynamic range of the instrument by using the multiple scatter information and effectively solving an inverse problem. For example, [57] have recently discussed the measurement of vertical sidewalls and even undercut features using this method.

6.7.2 Scanning optical techniques Scanning optical techniques measure surface topography by physically scanning a light spot across the surface, akin to the operation of a stylus instrument. For this reason scanning optical instruments suffer from the same measurement-time limitations discussed for stylus instruments (although in many cases the optical instruments can have higher scanning speeds due to their non-contact nature). The measurement will also be affected by the dynamic characteristics of the scanning instrumentation and by the need to combine, or stitch, the optical images together. Stitching can be a significant source of error in optical measurements [58,59] and it is important that the process is well characterized for a given application.

6.7.2.1 Triangulation instruments Laser triangulation instruments measure the relative distance to an object or surface. Light from a laser source is projected usually using fibre optics on to the surface, on which the light scatters. The detector/camera is fitted with optics that focus the scattered light to a spot on to a CCD line array or position-sensitive detector. As the topography of the surface changes this

Optical instruments

causes the spot to be displaced from one side of the array to the other (see Figure 6.11). The line array is electronically scanned by a digital signalprocessor device to determine which of the pixels the laser spot illuminates and to determine where the centre of the electromagnetic energy is located on the array. This process results in what is known as sub-pixel resolution and modern sensors claim to have between five and ten times higher resolution than that of the line array. Triangulation sensors came to the market at the beginning of the 1980s but initially had many problems. For example, they gave very different measurement results for surfaces with different coefficients of reflectance. So, historically laser triangulation sensors were used in applications where a contact method was not practical or perhaps possible, for example, hot, soft or highly polished surfaces. Many of these early problems have now been

FIGURE 6.11 Principle of a laser triangulation sensor.

133

134

C H A P T ER 6 : Surface topography measurement instrumentation

minimized and modern triangulation sensors are used to measure a large array of different surfaces, often on a production line. Triangulation instruments usually use an xy scanning stage with linear motor drives giving a flatness of travel over the typically 150 mm by 100 mm range of a few micrometres. Over 25 mm the flatness specification is usually better than 0.5 mm. These instruments are not designed to have the high resolution and accuracy of the interferometric, confocal or variable focus methods, having typical height resolutions of 100 nm over several millimetres of vertical range. For these reasons, triangulation instruments are used for measuring surfaces with relatively large structure such as paper, fabric, structured plastics and even road surfaces. The main benefit of triangulation sensors is the speed with which the measurement can be taken and their robustness for in-process applications. Typical instruments are usually much cheaper than their higher-resolution brethren. Triangulation instruments do suffer from a number of disadvantages that need to be borne in mind for a given application. Firstly, the laser beam is focused through the measuring range, which means that the diameter of the laser beam varies throughout the vertical range. This can be important when measuring relatively small features as the size of the spot will act as an averaging filter near the beginning and end of the measuring range as the beam will have a larger diameter here. Also, the measurement depends on an uninterrupted line of sight between laser, surface and camera/detector. Therefore, if a step is to be measured the sensor must be in the correct orientation so that the laser spot is not essentially hidden by the edge [60]. Note that triangulation is one form of what is referred to as structured light projection in ISO 25178 part 6 [25]. Structured light projection is a surface topography measurement method whereby a light image with a known structure or pattern is projected on to a surface and the pattern of reflected light together with knowledge of the incident structured light allows one to determine the surface topography. When the structured light is a single focused spot or a fine line, the technique is commonly known as triangulation.

6.7.2.2 Confocal instruments Confocal instruments, the principle of which is shown in Figure 6.12, differ from a conventional microscope in that they have two additional pinhole apertures; one in front of the light source and one in front of the detector [61]. The pinholes help to increase the lateral optical resolution over the limits defined by equation (6.2) or the Abbe criterion. This so-called super resolution is possible because Abbe assumed an infinitely large field of view. The

Optical instruments

FIGURE 6.12 Confocal set-up with (a) object in focus and (b) object out of focus.

optical resolution can be increased further by narrowing down the field of view with the pinholes to an area smaller than the Abbe limit. A second effect of the confocal set-up is the depth discrimination. In a normal bright field microscope set-up the total energy of the image stays constant while changing the focus. In a confocal system the total image energy rapidly decreases when the object is moved out of focus [62] as shown in Figure 6.12b. Only surface points in focus are bright, while out of focus points remain dark. Figure 6.13 shows an example illustrating the difference between normal bright field imaging and confocal imaging. When using a confocal instrument to measure a surface profile, a focus scan is needed [63]. An intensity profile whilst scanning through the focus position is shown in Figure 6.14. The location of the maximum intensity is said to be the height of the surface at this point. The full width at half maximum (FWHM) of the confocal curve determines the depth discrimination [64] and is mainly influenced by the objective’s numerical aperture.

135

136

C H A P T ER 6 : Surface topography measurement instrumentation

FIGURE 6.13 Demonstration of the confocal effect on a piece of paper: (a) microscopic bright field image; (b) confocal image. The contrast of both images has been enhanced for a better visualization.

FIGURE 6.14 Schematic representation of a confocal curve. If the surface is in focus (position 0) the intensity has a maximum.

Since the confocal principle measures only one point at a time, lateral scanning is needed. The first systems, for example [65], used a scanning stage moving the sample under the confocal light spot, which is very slow. Modern systems use either a pair of scanning mirrors or a Nipkow disk [66] to guide the spot over the measurement area. The Nipkow disk is well known from mechanical television cameras invented in the 1930s. Figure 6.15 shows a classical design of a Nipkow disk. As shown in Figure 6.16 the Nipkow disk is placed at an intermediate image in the optical path of a normal microscope. This avoids the need for two pinholes moving synchronously. Scanning mirrors are mainly used in confocal laser scanning microscopes, because they can effectively concentrate the whole laser energy on one spot.

Optical instruments

FIGURE 6.15 Schema of a Nipkow disk. The pinholes rotate through the intermediate image and sample the whole area within one revolution.

FIGURE 6.16 Schema of a confocal microscope using a Nipkow disk.

137

138

C H A P T ER 6 : Surface topography measurement instrumentation

Their disadvantage is a rather slow scanning speed of typically a few frames per second. The Nipkow disk is best suited for white light systems, because it can guide multiple light spots simultaneously through the intermediate image of the field of view. It does integrate the whole area within one revolution. Current commercial systems have scanning rates of about 100 frames per second, making a full 3D scan with typically 200 to 300 frames in a few seconds. Confocal microscopes suffer from the same limitations as all microscopic instruments as discussed in section 6.7.1. The typical working distance of a confocal microscope depends on the objective used. Microscope objectives are available with working distances from about 100 mm to a few millimetres. With increasing working distance the numerical aperture normally decreases. This results in reduced lateral and axial resolution. Depending on the application the objective parameters have to be chosen carefully. Low values of NA below 0.4 are in general not suitable for roughness analysis. Low apertures can be used for geometric analysis if the slope angle, ß, is lower than the aperture angle, a, from equation (6.1). For an NA of 0.4, ß is approximately 23 . The vertical measurement range is mainly limited by the working distance of the objective and thus by the NA. Therefore, it is not possible to make high-resolution measurements in deep holes. The field of view is limited by the objective magnification. Lower magnifying objectives with about 10 to 20 magnification provide a larger field of view of approximately one square millimetre. High magnifying objectives with 100 magnification have a field of view of about 150 mm by 150 mm. The lateral resolution is normally proportional to the value given by equation (6.2), if it is not limited by the pixel resolution of the camera. It ranges from above 0.3 mm to about 1.5 mm. The depth resolution can be given by the repeatability of axial measurements and at best has a standard deviation of a few nanometres on smooth surfaces and in suitable environments.

6.7.2.2.1 Confocal chromatic probe instrument The confocal chromatic probe instrument [67] avoids the rather timeconsuming depth scan by using a non-colour-corrected lens and white light illumination. Due to dispersion, light of different wavelengths is focused at different distances from the objective, as shown in Figure 6.17. By analysing the reflected light with a spectrometer, the confocal curve can be recovered from the spectrum. Closer points are imaged to the blue end of spectrum, while farther points are imaged to the red end [68]. The spectrometer

Optical instruments

FIGURE 6.17 Chromatic confocal depth discrimination.

comprises mainly a prism, or an optical grating and a CCD-line sensor to analyse the spectral distribution. The chromatic principle allows the design of remote sensor heads, coupled only with an optical fibre to the illumination and analysis optics. This is a significant advantage when using chromatic sensors in dirty or dangerous environments. Another advantage of chromatic sensors is the freedom to design the strength of depth discrimination, not only by changing the aperture, but also by choosing a lens glass type with appropriate dispersion. Pinhole confocal systems tend to have a smaller working distance with increasing aperture and better depth discrimination. Chromatic systems can be designed to have a large working distance up to a few centimetres while still being able to resolve micrometres in depth. Chromatic systems seem to be very elegant and flexible in design and application, so why are there other principles used in practice? The biggest drawback of chromatic sensors is their limitation to a single measurement point. There has been no success yet in creating a rapidly scanning area sensor. Multi-point sensors with an array of some ten by ten points are available but still far away from a rapid areal scan.

6.7.2.3 Point autofocus profiling A point autofocus instrument measures surface texture by automatically focusing a laser beam on a point on the specimen surface, moving the specimen surface in a fixed measurement pitch using an xy scanning stage, and measuring the specimen surface height at each focused point.

139

140

C H A P T ER 6 : Surface topography measurement instrumentation

FIGURE 6.18 Schema of a point autofocus instrument.

Figure 6.18 illustrates a typical point autofocus instrument operating in beam offset autofocus mode. A laser beam with high focusing properties is generally used as the light source. The input beam passes through one side of the objective, and the reflected beam passes through the opposite side of the objective after focusing on a specimen surface at the centre of the optical axis. This forms an image on the autofocus sensor after passing through an imaging lens. Figure 6.18 shows the in-focus state. The coordinate value of the focus point is determined by the xy scanning stage position and the height is determined from the Z positioning sensor. Figure 6.19 shows the principle of point autofocus operation. Figure 6.19a shows the in-focus state where the specimen is in focus and Figure 6.19b shows the defocus state where the specimen is out of focus. The surface being measured is displaced downward (Z), and the laser beam position on the autofocus sensor changes accordingly (W). Figure 6.19c shows the autofocus state where the autofocus sensor detects the laser spot displacement and

Optical instruments

FIGURE 6.19 Principle of point autofocus operation.

feeds back the information to the autofocus mechanism in order to adjust the objective back to the in-focus position. The specimen displacement, Z1, is equal to the moving distance of the objective, Z2, and the vertical position sensor (typically a linear scale is used) obtains the height information of the specimen [70]. The disadvantage of the point autofocus is that it requires a longer measuring time than other non-contact measuring methods since it must obtain the coordinate values of each point by moving the mechanism of the instrument (as with chromatic confocal – see section 6.7.2.2.1). Also, the accuracy of the instrument will be determined by the laser spot size (see section 6.7.1) because of the uneven optical intensity within the laser spot (speckle) that generates focal shift errors [71]. Point autofocus instruments can have relatively high resolution. The lateral resolution is potentially diffraction limited but the axial resolution is determined by the resolution of the master scale, which can be down to 1 nm. The range is determined by the xy and z scanner, and can be typically 150 mm by 150 mm by 10 mm. The method is almost immune to the surface

141

142

C H A P T ER 6 : Surface topography measurement instrumentation

reflectance properties since the autofocus sensor detects the position of the laser spot (the limit is typically a reflectivity of 1 %). The point autofocus instrument irradiates the laser beam on to a specimen surface that causes the laser beam to scatter in various directions due to the surface roughness of the specimen. This enables the measurement of surface slope angles that are greater than the half aperture angle of the objective (less than 90 ) by capturing the scattered light that is sent to the autofocus sensor.

6.7.3 Areal optical techniques 6.7.3.1 Focus variation instruments Focus variation combines the small depth of focus of an optical system with vertical scanning to provide topographical and colour information from the variation of focus [69]. Figure 6.20 shows a schematic diagram of a focus

FIGURE 6.20 Schema of a focus variation instrument.

Optical instruments

variation instrument. The main component of the system is a precision optical arrangement that contains various lens systems that can be equipped with different objectives, allowing measurements with different lateral resolution. With a beam-splitting mirror, light emerging from a white light source is inserted into the optical path of the system and focused onto the specimen via the objective. Depending on the topography of the specimen, the light is reflected into several directions. If the topography shows diffuse reflective properties, the light is reflected equally strongly into each direction. In the case of specular reflections, the light is scattered mainly into one direction. All rays emerging from the specimen and hitting the objective lens are bundled in the optics and gathered by a light-sensitive sensor behind the beam-splitting mirror. Due to the small depth of field of the optics, only small regions of the object are sharply imaged. To perform a complete detection of the surface with full depth of field, the precision optical arrangement is moved vertically along the optical axis while continuously capturing data from the surface. This ensures that each region of the object is sharply focused. Algorithms convert the acquired sensor data into 3D information and a true colour image with full depth of field. This is achieved by analysing the variation of focus along the vertical axis. Various methods exist to analyse this variation of focus, usually based on the computation of the sharpness at a specific position. Typically, these methods rely on evaluating the sensor data in a small local area. In general, as an object point is focused sharper, the larger the variation of sensor values in a local neighbourhood. As an example, the standard deviation of the sensor values could be used as a simple measure for the sharpness. The vertical resolution of a focus variation instrument depends on the chosen objective and can be as low as 10 nm. The vertical scan range depends on the working distance of the objective and ranges from a few millimetres to approximately 20 mm or more. The vertical resolution is not dependent upon the scan height, which can lead to a high dynamic range. The xy range is determined by the objective and typically ranges from 0.14 mm by 0.1 mm to 5 mm by 4 mm for a single measurement. By using special algorithms and a motorised stage the xy range can be increased to around 100 mm by 100 mm. In contrast to other optical techniques that are limited to coaxial illumination, the maximum measurable slope angle is not dependent on the numerical aperture of the objective. Focus variation can be used with a large range of different illumination sources (such as a ringlight), which allows the measurement of slope angles exceeding 80 . Focus variation is applicable to surfaces with a large range of different optical reflectance values. Specimens can vary from shiny to diffuse

143

144

C H A P T ER 6 : Surface topography measurement instrumentation

reflecting, from homogeneous to compound materials, and from smooth to rough surface properties (but see below). Focus variation overcomes the aspect of limited measurement capabilities in terms of reflectance by using a combination of a modulated illumination source, controlling the sensor parameters and integrated polarization. In addition to the scanned height data, focus variation also delivers a colour image with full depth of field that is registered to the 3D data points. Since focus variation relies on analysing the variation of focus, it is only applicable to surfaces where the focus varies sufficiently during the vertical scanning process. Surfaces not fulfilling this requirement, such as transparent specimens or components with only a small local roughness, are difficult and sometimes impossible to measure. Typically, focus variation gives repeatable measurement results for surfaces with a local Ra of 10 nm or greater at a lc of 2 mm (see section 8.2.3).

6.7.3.2 Phase-shifting interferometry A phase-shifting interferometer (PSI) consists of an interferometer integrated with a microscope (see Figure 6.21) [72,43]. Within the interferometer, a beam-splitter directs one beam of light down a reference path, which has a number of optical elements including an ideally flat and smooth mirror from which the light is reflected. The beam-splitter directs a second beam of

FIGURE 6.21 Schema of a phase-shifting interferometer.

Optical instruments

light to the sample where it is reflected. The two beams of light return to the beam-splitter and are combined forming an image of the measured surface superimposed by an interference pattern on the image sensor array (camera). Usually a PSI uses a co-axial alignment, i.e. the two beams propagate in the same direction, but off-axis arrangements can be used [73]. The image of the surface can be either focused onto the detector or not. In the latter case a digital propagation algorithm is employed allowing numerical focusing [74]. The optical path in the reference arm is adjusted to give the maximum interference contrast. During measurement, several known shifts between the optical path to the measured surface and the optical path to the reference mirror are introduced and produce changes in the fringe pattern. Phase maps are then constructed from each shifted interferogram. There are several ways to shift the difference in optical paths. For example, the objective and reference mirror of the system are translated with the use of a piezoelectric actuator. Finally, the vertical height data are deduced from the phase maps. For specimens with vertical heights greater than half the wavelength [72], the 2p ambiguity can be suppressed by phase-unwrapping algorithms or the use of dual-wavelength methods [73,75]. PSI instruments usually come in one of two configurations depending on the arrangement of the microscope objective. Figure 6.22 shows a Mirau

FIGURE 6.22 Schematic diagram of a Mirau objective.

145

146

C H A P T ER 6 : Surface topography measurement instrumentation

FIGURE 6.23 Schematic diagram of a Linnik objective.

configuration, where the components A, B and C are translated with reference to D, and Figure 6.23 shows a Linnik configuration, where components B and C are translated with reference to D and E. The Mirau is more compact and needs less adjustment than the Linnik. For both objectives, there must be white light interference when both the reference mirror and the object are in focus. For the Mirau objective this is accomplished in one setting of the tilt and position of the reference mirror. For the Linnik objective, both the reference mirror and the object must be in focus, but in addition both arms of the Linnik objective must be made equal within a fringe. Also, a Linnik objective consists of two objectives that must match together, at least doubling the manufacturing costs. An advantage of the Linnik is that no central area of the objective is blocked and no space underneath the objective is needed for attaching an extra mirror and beamsplitter. Therefore, with the Linnik objective, magnifications and resolutions can be achieved as with the highest-resolution standard optical microscope objectives. A further objective is based on a Michelson interferometer (see section 4.4.1). These are produced by placing a cube beamsplitter under the objective lens directing some of the beam to a reference surface. The advantage of the Michelson configuration is that the central part of the objective is not blocked. However, the cube beam-splitter is placed in a convergent part of the beam, which leads to aberrations and limits the instrument to small numerical apertures and large working distances.

Optical instruments

The light source used for PSI measurements typically consists of a narrow band of optical wavelengths as provided by a laser, light-emitting diode (LED), narrow-band filtered white light source, or spectral lamp. The accuracy of the central wavelength and the bandwidth of the illumination are important to the overall accuracy of the PSI measurement. The measurement of a surface profile is accomplished by using an image sensor composed of a linear array of detection pixels. Areal measurements of the surface texture may be accomplished by using an image sensor composed of a matrix array of detection pixels. The spacing and width of the image sensor pixels are important characteristics, which determine attributes of instrument lateral resolution (see section 6.7.1). PSI instruments can have sub-nanometre resolution and repeatability but it is very difficult to determine their accuracy, as this will be highly dependent on the surface being measured. Most of their limitations were discussed in section 6.7.1. Most PSI instruments usually require that adjacent points on a surface have a height difference of l/4. The range of PSI is limited to one fringe, or approximately half the central wavelength of the light source, so PSI instruments are usually only used for measuring approximately flat surfaces (a rule of thumb is that only surfaces with an Ra or Sa less than l/10 would be measured using PSI). This limitation can be overcome by combining the PSI instrument with a CSI instrument (see section 6.7.3.4), usually referred to as a vertical scanning mode. The accuracy of a PSI instrument can be enhanced to allow highly flat surfaces to be measured (surfaces that are flatter than the reference surface) using a process known as reference surface averaging [76]. Alternatively, it may be possible to characterize the reference surface using a liquid surface [77]. The xy range will be determined by the field of view of the objective and the camera size. Camera pixel arrays range from 256 by 256 to 1024 by 1024 or more, and the xy range can be extended to several tens of centimetres using scanning stages and stitching software. PSI instruments can be used with samples that have very low optical reflectance values (below 5 %), although the signal-to-noise ratio is likely to rise as the reflectance is decreased. An optimal contrast is achieved when the reflectance values of the reference and the measured surface match (see section 4.3.3).

6.7.3.3 Digital holographic microscopy A digital holographic microscope (DHM) is an interferometric microscope very similar to a PSI (see section 6.7.3.2), but with a small angle between the propagation directions of the measurement and reference beams as shown in Figure 6.24 [78]. The acquired digital hologram, therefore, consists of a spatial amplitude modulation with successive constructive and destructive

147

148

C H A P T ER 6 : Surface topography measurement instrumentation

FIGURE 6.24 Schematic diagram of DHM with beam-splitter (BS), mirrors (M), condenser (C), microscope objective (MO) and lens in the reference arm (RL) used to

interference fringes. In the frequency domain, the difference between the coaxial geometry (PSI) and the off-axis geometry (DHM) is in the position of the frequency orders of the interference. In PSI, because the three orders (the zeroth-order or non-diffracted wavefront, and  1 orders or the real and virtual images) are superimposed, several phase shifts are necessary. In contrast, in DHM the off-axis geometry spatially separates the different frequency orders, which allows simple spatial filtering to reconstruct the phase map from a single digital hologram [79]. DHM is, therefore, a real-time phase imaging technique less sensitive to external vibrations than PSI.

Optical instruments

In most DHM instruments, contrary to most PSI instruments, the image of the object formed by the microscope objective is not focused on the camera. Therefore, DHM needs to use a numerical wavefront propagation algorithm that can use numerical optics to increase the depth of field [80], or compensate for optical aberrations [81]. The choice of source for DHM is large but is dictated by the source coherence length. A source with a short coherence length is preferred to minimize parasitic interference, but the coherence length has to be sufficiently large to allow interference over the entire field of view of the detector. Typically, coherence lengths of several micrometres are necessary. DHM has a similar resolution to PSI [82] and is limited in range to half the central wavelength of the light source when a single wavelength is used. However, dual-wavelength [83] or multiple-wavelength DHM [84] allows the vertical range to be increased to several micrometres. For low magnification, the field of view and the lateral resolution depends on the microscope objective and the camera pixel size; but for high magnification, the resolution is diffraction limited down to 300 nm with a 100 objective. As with PSI, scanning stages and stitching software can be used to increase the field of view.

6.7.3.4 Coherence scanning interferometry The configuration of a coherence scanning interferometer (CSI) is similar to that of a phase-shifting interferometer but in CSI a broadband (white light) or extended (many independent point sources) source is utilized [2,85]. CSI is often referred to as vertical scanning white light interferometry or white light scanning interferometry. With reference to Figure 6.25 the light from the broadband light source is directed towards the objective lens. The beamsplitter in the objective lens splits the light into two separate beams. One beam is directed towards the sample and one beam is directed towards an internal reference mirror. The two beams recombine and the recombined light is sent to the detector. Due to the low coherence of the source, the optical path length to the sample and the reference must be almost identical, for interference to be observed. Note that coherence is the measure of the average correlation between the values of a wave at any pair of times, separated by a given delay [41]. Temporal coherence tells us how monochromatic a source is. In other words, it characterizes how well a wave can interfere with itself at a different time (coherence in relation to CSI is discussed in more detail in [86] and in general in section 4.3.4). The detector measures the intensity of the light as the optical path is varied in the vertical direction (z axis) and finds the interference maximum. Each pixel of the camera

149

150

C H A P T ER 6 : Surface topography measurement instrumentation

FIGURE 6.25 Schema of a coherence scanning interferometer.

measures the intensity of the light and the fringe envelope obtained can be used to calculate the position of the surface. A low-coherence source is used rather than monochromatic light because it has a shorter coherence length and, therefore, avoids ambiguity in determining the fringe order. Different instruments use different techniques to control the variation of the optical path (by moving either the object being measured, the scanning head or the reference mirror) and some instruments have a displacement-measuring interferometer to measure its displacement [87]. As the objective lens is moved a change of intensity due to interference will be observed for each camera pixel when the distance from the sample to the beam-splitter is the same as the distance from the reference mirror to the beam-splitter (within the coherence length of the source). If the objective is moved downwards the highest points on the surface will cause interference first. This information can be used to build up a three-dimensional map of the surface. Figure 6.26 shows how the interference is built up at each pixel in the camera array. There are a number of options for extracting the surface data from the CSI optical phase data. Different fringe analysis methods give advantages with

Optical instruments

FIGURE 6.26 Schematic of how to build up an interferogram on a surface using CSI.

different surface types, and many instruments offer more than one method. These are simply listed here but more information can be found in [85] and [86]. The fringe analysis methods include: -

envelope detection;

-

centroiding;

-

envelope detection with phase estimation;

-

scan domain convolution;

-

frequency domain analysis.

CSI instruments can have sub-nanometre resolution and repeatability but it is very difficult to determine their accuracy, as this will be highly dependent on the surface being measured. Most of their limitations were discussed in section 6.7.1 and are reviewed in [47]. The range of the optical path actuator, usually around 100 mm, will determine their axial range, although this can be increased to several millimetres using a long-range actuator and stitching software. The xy range will be determined by the field of view of the objective and the camera size. Camera pixel arrays range from 256 by 256 to 1024 by 1024 or more, and the xy range can be extended to several tens of centimetres using scanning stages and stitching software. CSI instruments can be used with samples that have very low optical reflectance values (below 5 %), although, as with PSI, the signal-to-noise ratio is likely to rise as the reflectance is decreased. To avoid the need to scan in the axial direction, some CSI instruments operate in a dispersive mode. Dispersive CSI generates the spectral distributions of the interferograms directly by means of dispersive optics without

151

152

C H A P T ER 6 : Surface topography measurement instrumentation

the need for depth scanning [88]. This method is well suited to in-line applications with high immunity to external vibration and high measurement speed. Researchers have recently developed a CSI technique that can be used to measure relatively large areas (several centimetres) without the need for lateral scanning [89]. As such a full-field method does not use a microscope objective, the lateral resolution is necessarily limited. Some CSI instruments have been configured to measure the dynamic behaviour of oscillating structures by using a stroboscopic source to essentially freeze the oscillating structure [90]. (Note that confocal instruments have also been used to measure the motion of vibrating structures [91].) CSI (and PSI) is often used for the measurement of the thickness of optical films by making use of the interference between reflections from the top surface and the different film interfaces [92,93]. Recent advances can also measure the individual thickness of a small number of films in a multilayer stack and the interfacial surface roughness [94].

6.7.4 Scattering instruments There are various theories to describe the scattering of light from a surface (see [95] for a thorough introduction and review). The theories are based on both scalar and vector scattering models and many were developed to describe the scattering of radio waves from the ocean surface. Light scattered from a surface can be both specular, i.e. the reflection as predicted by geometrical optics, and diffuse, i.e. reflections where the angle of reflection is not equal to the angle of incidence. Diffuse reflection is caused by surface irregularities, local variations in refractive index and any particulates present at the surface (for this reason cleanliness is important). From the theoretical models, the distribution of light scattered from smooth surfaces is found to be proportional to a statistical parameter of the surface (often Rq or Sq), within a finite bandwidth of spatial wavelengths [96,97]. Hence, scattering instruments do not measure the actual peaks and valleys of the surface texture; rather they measure some aspect of the surface height distribution. There are various methods for measuring light scatter and there are many commercially available instruments [98,99]. As scattering instruments sample over an area (they are area-integrating methods) they can be very fast and relatively immune to environmental disturbance. For these reasons, scattering methods are used extensively in on-line or in-process situations, for example measuring the effects of tool wear during a cutting process or damage to optics during polishing. It can be difficult to associate an absolute value to a surface parameter measured using a scattering technique, so scattering is often used to investigate process change.

Optical instruments

The function that describes the manner in which light is scattered from a surface is the bi-directional scatter distribution function (BSDF) [95]. The reflective properties of a surface are governed by the Fresnel equations [41]. Based upon the angle of incidence and material properties of a surface (optical constants), the Fresnel equations can be used to calculate the intensity and angular distribution of the reflected waves. The BSDF describes the angular distribution of scatter. The total integrated scatter (TIS) is equal to the light power scattered into the hemisphere above the surface divided by the power incident on the surface. The TIS is equal to the integral of the BSDF over the scattering hemisphere multiplied by a correction factor (known as the obliquity factor). Reference [100] derived a relationship between the TIS and Rq (or Sq) given by Rqz

l pffiffiffiffiffiffiffiffi TIS 4p

(6.6)

where the TIS is often approximated by the quotient of the diffusely scattered power to the specularly reflected power. The instrumentation for measuring TIS [101] consists of a light source (usually a laser), various filters to control the beam size, a device for collecting the scattered light, and detectors for measuring the scattered light and specularly reflected light. The scattered light is captured either using an integrating sphere or a mirrored hemisphere (a Coblentz sphere). Often phase-sensitive detection techniques are used to reduce the noise when measuring optical power. An integrating sphere is a sphere with a hole for the light to enter, another hole opposite where the sample is mounted and a third position inside the sphere where the detector is mounted (see Figure 6.27). The interior surface of the sphere is coated with a diffuse white material. Various corrections have to be applied to integrating sphere measurements due to effects such as stray light and the imperfect diffuse coating of the sphere [102]. With a Coblentz sphere the light enters through a hole in the hemisphere at an angle just off normal incidence, and the specularly reflected light exits through the same hole. The light scattered by the surface is collected by the inside of the hemisphere and focused onto a detector. A number of assumptions are made when using the TIS method. These include: -

the surface is relatively smooth (l >> 4pRq);

-

most of the light is scattered around the specular direction;

153

154

C H A P T ER 6 : Surface topography measurement instrumentation

FIGURE 6.27 Integrating sphere for measuring TIS. -

scattering originates solely at the top surface, and is not attributable to material inhomogeneity or multilayer coatings;

-

the surface is clean.

TIS instruments are calibrated by using a diffusing standard usually made from white diffusing material (material with a Lambertian scattering distribution) [103]. When comparing the Rq value from a TIS instrument to that measured using a stylus instrument, or one of the optical instruments described in sections 6.7.2 and 6.7.3, it is important to understand the bandwidth limitations of the instruments. The bandwidth limitations of the TIS instrument will be determined by the geometry of the collection and detection optics (and ultimately by the wavelength of the source) [104]. TIS instruments can measure Rq values that range from a few nanometres to a few micrometres (depending on the source). Their lateral resolution is diffraction limited, but often the above bandwidth limits will determine the lower spatial wavelengths that can be sampled. Another scattering method that is commercially available is angleresolved scatter (ARS) [97,105,106]. However, ARS methods tend to be more complicated than TIS and the theory relating the ARS to a surface roughness

Capacitive instruments

parameter is not so clear. Basically, the angular distribution of the scattered light is measured either using a goniophotometer-type instrument or a dedicated scatterometer (see [98] for examples). The angular distribution of the scattered light can be expressed as the product of an optical factor and a surface factor. The optical factor can be calculated from the illuminating wavelength, the angles of incidence and scattering, the material properties of the surface, and the polarization of the incident and scattered beams. The surface factor is called the power spectral density (PSD) function and is a function of the surface roughness. From the PSD quantitative values for the height and spatial wavelength distributions can be obtained, although a good a priori model of the surface is required for accurate measurements. It is also possible to extract the BRDF from ARS data. The range and resolution of ARS instruments are very similar to those for TIS instruments. As with TIS instruments, ARS instruments do not measure the actual surface topography, but measure some aspect of the height and spatial wavelength distributions. For this reason ARS instruments are usually employed where process change needs to be monitored. TIS and ARS instruments are limited in the range of heights that they can measure. With visible illumination the heights are usually limited to 100 nm or less. The use of infrared illumination sources can increase this range limit. However, to employ scattering to measure larger surface heights, it is more common to use correlation methods, for example the use of laser speckle [107]. Such techniques will not be discussed here, as they are not common to surfaces encountered in MNT applications.

6.8 Capacitive instruments The use of capacitance [108,109] to measure surface texture has been around for about as long as stylus methods. A conducting plate is held over (or more usually mounted on) a conducting sample to be measured [7]. The capacitance between the plates is a function of the effective plate area, the separation of the plates and the dielectric constant of the medium between them (usually air) [110]. The mean capacitance will change with changes in surface texture as the top plate is scanned over the surface. Surface form can cause serious problems when using capacitance instruments to measure surface texture and, because the capacitance is related to the inverse of the surface texture, large peaks will be weighted differently to valleys. Note that the configuration described above is usually used to measure proximity (see section 5.3). Capacitance instruments for measuring surface texture can have significant problems and are difficult to calibrate. They are

155

156

C H A P T ER 6 : Surface topography measurement instrumentation

rarely used nowadays and do not find many applications in the MNT area. However, the scanning capacitance microscope is used extensively in many MNT applications.

6.9 Pneumatic instruments Pneumatic gauging has been around for many years. Basically an air flow is input to the surface by means of a hollow nozzle and the back pressure generated in the nozzle chamber is measured. This gives rise to a non-linear relationship between surface texture and back pressure, but a linear region exists over a restricted range [111]. The axial resolution can be less than 1 mm and the lateral resolution is limited to the nozzle diameter (usually much greater than 1 mm). Pneumatic gauging can be very fast and is self-purging, which is useful for on-line processes. It is not used extensively for MNT applications.

6.10 Calibration of surface topography measuring instruments Calibration and traceability for surface texture measuring instruments is a subject area that has received a great deal of attention in the past century and is still an active area of research. There are many unsolved problems and it is still impossible to calibrate a given surface texture measuring instrument for all surface types (this may well always be the case). The complex interaction of the probe with the surface being measured and the vast range of possible surface types confound the problem. This is especially true for optical instruments – it is non-trivial, but possible to calculate the trajectory of a spherical stylus as it traverses a surface, but it is much more difficult to calculate the interaction of an electromagnetic wave with a surface. Also, there is vast array of surface texture parameters and characterization methods (see chapter 8) with varying degrees of complexity. For example, there has been little attempt to calculate the uncertainty associated with areal feature parameters (see section 8.3.7). The following sections summarize the current state of the art in the area of calibration and traceability.

6.10.1 Traceability of surface topography measurements Traceability of surface topography measuring instruments can be split into two parts. Firstly, there is the traceability of the instruments and, secondly,

Calibration of surface topography measuring instruments

the traceability of the analysis algorithms and parameter calculations. Instrument traceability is achieved by calibrating the axes of operation of the instrument, usually using calibration artefacts (referred to as material measures in ISO standards). In some instances, it may also be possible to calibrate an instrument using a range of instrumentation to measure the various characteristics of the instrument, although this is a time-consuming process that is only usually required by NMIs [112]. Calibration artefacts are available in a range of forms for both profile and areal calibration, but a primary instrument must calibrate them. Primary instruments are usually kept at the NMIs and can be stylus (for example [113]) or optical (for example [114]) based. Most primary instrumentation achieves traceability by using interferometers that are traceable to the definition of the metre via a laser source (see section 2.9). Traceability of profile measuring instruments has been available now for many years, although it is still common to consider an instrument calibrated when only a single step height artefact has been measured – a dangerous assumption when using the instrument to measure both height and lateral dimensions, or when measuring surface texture parameters (see section 6.10.2). Traceability for areal instruments is still in its infancy and there are only a small number of NMIs that can offer an areal traceability service (see [113,115]). An important aspect of traceability is the measurement uncertainty of the primary instrument and the instrument being calibrated. Rigorous uncertainty analyses are usually carried out by the NMIs (see for example [116– 118]), but are surprisingly rare in industry for profile measurement using a stylus instrument and almost non-existent for areal measurements, especially when using an optical instrument [119]. Traceability for parameter calculations can be carried out by using calibrated artefacts that have associated parameters, for example the type D artefacts (see section 6.10.2) used for calibrating profile measuring instruments. However, the parameter calculations themselves should be verified using software measurement standards (see section 6.13), and for the calibrated artefact an uncertainty calculation has to be made by those institutions that can calibrate these standards.

6.10.2 Calibration of profile measuring instruments ISO 5436 part 1 [120] describes five types of artefacts that are used to calibrate the characteristics of profile measuring stylus instruments. Optical instruments are not covered in ISO 5436 part 1 but many of the artefacts described can be adapted to calibrate optical instruments in profile mode.

157

158

C H A P T ER 6 : Surface topography measurement instrumentation

Many groups have developed profile calibration artefacts that are available commercially (see [114] for a review). The use of the five types of profile calibration artefacts is presented in detail in [1] and they are summarized here ([1] also presents the analysis methods for the various artefacts). Some groups have developed dynamic techniques for calibrating the vertical characteristics of stylus instruments by using a vibrating platform to simulate the spatial frequencies on a surface, but such methods are not used extensively in industry (see [112] and [121]). ISO 12179 [122] describes the methodologies to be applied when calibrating a surface texture measuring instrument such as the need for repeat measurements, general instrument set-up and what to include on a calibration certificate. The five types of calibration artefacts described in [120] are: Type A – used to verify the vertical characteristics of an instrument. They come in two sub-groups: type A1 – a wide groove with a flat valley the size of which is dictated by the stylus tip, and type A2 – same as type A1 but with a rounded valley. Figure 6.28 shows how a type A1 artefact is analysed. Type B – used to investigate the geometry of the stylus tip. They come in three sub-groups: type B1 – narrow grooves proportioned to be sensitive to the dimensions of the stylus, type B2 – two grids of equal Ra value (see section 8.2.7.1), one sensitive to the tip dimensions, the other insensitive, and type B3 – has a fine protruding edge where the radius and apex angle must be smaller than the radius and apex angle of the stylus being assessed. Type C – used to verify the vertical and horizontal characteristics of an instrument. They consist of a repetitive groove of similar shape with low harmonic amplitudes. They come in four sub-groups: type C1 – sine wave profile, type C2 – triangular wave profile, type C3 – sine or triangular wave with truncated peaks and valleys and type C4 – arcuate wave profile.

FIGURE 6.28 Analysis of a type A1 calibration artefact.

Calibration of surface topography measuring instruments

Type D – used to verify the overall performance of an instrument when measuring surface texture parameters. They have an irregular profile in the direction of the traverse (similar to a ground profile) that repeats in the longitudinal direction after some number (usually five) of the sampling lengths (see section 8.2.3) for which it is designed. The profile shape is constant normal to the measuring direction of the artefact. Type E – used to verify the form measuring capability of the instrument or the straightness of the reference datum slideway (or its equivalent for an optical instrument). They come in two sub-groups: type E1 – a spherical dome-shaped artefact that is characterized by its radius and Pt (see section 8.2.6.5), and type E2 – a precision prism characterized by the angles between the surfaces and Pt on each surface.

6.10.3 Calibration of areal surface texture measuring instruments ISO/FDIS 25178 part 701 [123] describes six types of artefacts that are used to calibrate all the characteristics of areal surface measuring stylus instruments. Optical instruments will be covered in future ISO specification standards, but for now the artefacts described in [123] should be adapted where possible. Researchers [124,125] have developed a range of prototype artefacts for calibrating both contact and non-contact areal surface measuring instruments, and more artefacts are discussed in [114]. The six types of artefacts described in ISO/FDIS 25178 part 701 are: Type ER – measurement standards with two or more triangular grooves, which are used to calibrate the horizontal and vertical amplification coefficients of the instrument. Type ER standards are characterized by depth, d, angle between flanks, a, and the intersection line between their flanks. Type ER artefacts come in three variations: -

Type ER1 – two parallel grooves (see Figure 6.29) where the measurands are the groove spacing, l, and d.

-

Type ER2 – rectangular grooves (see Figure 6.30) where the measurands are the spacing between the grooves, l1 and l2, d and the angle between the grooves, q.

-

Type ER3 – circular grooves (see Figure 6.31) where the measurands are the diameter of the groove, Df, and d.

Type ES – sphere/plane measurement standards (see Figure 6.32) are used for calibrating the horizontal and vertical amplification factors, the xy

159

160

C H A P T ER 6 : Surface topography measurement instrumentation

FIGURE 6.29 Type ER1 – two parallel groove standard.

FIGURE 6.30 Type ER2 – rectangular groove standard.

perpendicularity, the response curve of the probing system and the geometry of the stylus. The measurands are the largest distance of a point of the sphere to the plane P, d, the radius of the sphere, Sr, and the diameter of the circle obtained by the intersection between the sphere and the plane P, Di, given by qffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffi (6.7) Di ¼ 2 Sr2  ðSr  dÞ2

Calibration of surface topography measuring instruments

FIGURE 6.31 Type ER3 – circular groove standard.

Type CS – contour measurement standards (see Figure 6.33) are used for the overall calibration along one horizontal axis of the instrument. The measurands are the radius, R, of the arcs of circle, the distances, l1.ln, between the centres of the circles and/or the summits of the triangles with respect to the reference plane, and the heights, h1.hn, between the centres of the circles and/or the intersections of the flanks of the triangles. Type CG – cross grating standards, which are characterized by the average pitches in the x and y axes, and the angle between the x and y axes. Type CG standards come in two variations: Type CG1 – X/Y crossed gratings (see Figure 6.35), which are used for calibrating the horizontal amplification coefficients and the xy perpendicularity of the instrument. The measurands are the average pitches in the x and y axes, lx and ly, and the average angle between the x and y axes. Type CG2 – X/Y/Z crossed gratings (see Figure 6.35), which are used for calibrating the horizontal and vertical amplification coefficients and the xy perpendicularity of the instrument. The measurands are the same as the type CG1 standards but include the average depth of the flat-bottomed pits, d. Type DT – random topography standards that are composed of a series of unit sampling areas with pseudo-random surface topography. Type DT measurement standards are used for the overall calibration of the measuring instrument as with the type D profile standards. Isotropic and periodic surfaces are preferable and at least two by two unit measuring areas are needed. The unit

161

162

C H A P T ER 6 : Surface topography measurement instrumentation

FIGURE 6.32 Type ES – sphere/plane measurement standard.

measuring area should be functionally closed so that the multiple sampling areas can be cyclic or periodic. The measurands are areal field parameters.

6.11 Uncertainties in surface topography measurement The calculation of uncertainties for surface texture measuring instruments is a very complex task that is often only carried out at the NMIs (see section 6.10.1). The biggest complication when calculating uncertainties in surface texture measurement is the contribution of the surface itself. Unlike less complicated measurements, such as displacement, the surface being

Uncertainties in surface topography measurement

FIGURE 6.33 Type CS – contour standard.

FIGURE 6.34 Type CG1 – X/Y crossed grating.

measured can have a significant effect on the measurement, either by directly affecting the measuring probe, or because the surface texture is so variable that repeat measurements in different locations on the surface give rise to a high degree of variability. It is often possible to calculate the instrument

163

164

C H A P T ER 6 : Surface topography measurement instrumentation

FIGURE 6.35 Type CG2 – X/Y/Z grating standard.

uncertainty, i.e. the uncertainty in measuring either (x, z) for profile or (x, y, z) for areal, but when the effect of the surface is taken into account this uncertainty value may significantly increase, often in an unpredictable manner. Where possible the guidelines in the GUM should be applied (see section 2.9.3) to calculate instrument uncertainties and the effect of the surface should be considered in as pragmatic a manner as possible. Examples of methods to calculate the uncertainty in a profile measurement using a stylus instrument are given in [116] and [117], but the methods are far from mathematically rigorous or applicable in all circumstances. A rigorous uncertainty is calculated in [126], using the GUM approach, for the use of a Gaussian profile filter but little work has been carried out for the uncertainty associated with areal parameters [127]. When the instrument uncertainty has been calculated it is then often necessary to find the uncertainty in a parameter calculation. Once again this is far from trivial and often the guidelines in the GUM cannot be easily applied. The problem is that for roughness parameters, some characteristics of a roughness measuring instrument have an obvious influence on a roughness parameter, but for others this is highly unclear. For example, for an Ra value it is obvious that an uncertainty of 1 % in the vertical axis calibration results in a 1 % uncertainty in the Ra value, but it is far less clear what will be the effect if the probe diameter is 5 mm or 10 mm, instead of the standard 2 mm, or what happens if the cut-off filter is not exactly Gaussian. For a spatial parameter such as RSm, the uncertainty in the vertical direction will not be significantly relevant, but the x ordinate calibration is essential. Moreover, such effects are surface-dependent; a very fine surface will be more

Comparisons of surface topography measuring instruments

sensitive to probe diameter deviations and deviations in the shortwavelength cut-off filter than a surface where most of the undulations are far within the wavelength band. Experiments [112] and simulations [127–129] were carried out taking into account the following effects: z axis calibration, x axis calibration, lc cutoff length, ls cut-off length, probe diameter, probe tip angle, probing force, straightness of reference and sampling density. All these influencing factors have different effects depending on the parameter and the surface measured. From a number of samples it became obvious that the precise definition of lc and the probe diameter can have larger effects than the z axis calibration, and of course for very smooth surfaces the reference guidance is a major factor. Some parameters such as RSm are very sensitive to many measurement conditions and can easily have a 20 % uncertainty for rough surfaces, which is hidden when an instrument is only calibrated using sinusoidal artefacts (type C1, see section 6.10.2). So the conclusion of this section is that it is not straightforward to calculate a rigorous uncertainty value for an instrument for all surfaces and for all parameters. Only a pragmatic approach can be applied for a given measurement scenario. At the very least repeated measurements should always be carried out and the standard deviation or the standard deviation of the mean quoted.

6.12 Comparisons of surface topography measuring instruments Many comparisons of surface topography measuring instruments have been conducted over the years. The spreads in the results can be quite alarming, especially when comparing contact and non-contact instruments. The authors of such comparisons are often surprised by the results but, upon closer inspection, most of the results can be explained. Often it is stated that the instruments do not compare because they have not been adequately calibrated. Whilst this may be a source of discrepancy, there are usually better reasons for instruments with different operating principles not comparing well. For example, a stylus acts as if a ball is rolled across the surface whilst an optical instrument relies on the reflection of an electromagnetic wave. Is it really so difficult to appreciate that such instruments can produce different results? Also, different instruments will sample different spatial wavelength bandwidths of the surface being measured and will have different physical limitations.

165

166

C H A P T ER 6 : Surface topography measurement instrumentation

In an early example [130] the measurement of groove depths was compared, where this groove could be measured by optical, mechanical and even AFM instruments (see chapter 7). From this comparison it became evident that grooves of some 40 nm could be measured with uncertainties in the nanometre level, but, for a 3 mm depth the results scattered far more than 1 %, even between NMIs. It is expected that since then, this situation has improved (see later). For example, the results of the measurements of a nickel sinusoid sample, with a period of 8 mm and an Ra of 152 nm, showed very different results for a number of different instruments (see Figure 6.36) [131]. The participants in this comparison were all experienced in surface texture measurement. In this example, NS IV refers to the traceable instrument at NPL (see section 6.10.1), Stylus 1 and Stylus 2 are different stylus instruments on the same site, Inter 1 and Inter 2 are the same model of CSI instrument on different sites and Conf refers to a confocal instrument. It was later found out that Stylus 2 had incorrectly applied a filter. A further triangulation instrument was also used in the comparison and the result was an Ra value of 2955 nm – far too large to plot on this figure! Many of the discrepancies above were explained after the comparison but the question remains: would a user in an industrial situation have the luxury of the hindsight that is afforded in such a comparison? This section is not intended to scare the reader into complete distrust of surface topography instruments – its purpose is to make the reader vigilant when measuring and characterising surface topography. Instruments should be properly calibrated and performance verified, results should be scrutinised and, where possible, different instruments should be used to measure the same surface. Once a stable measurement procedure is set up in a given situation, appropriate procedures should be in place to ensure that the

FIGURE 6.36 Results of a comparison of different instruments used to measure a sinusoidal sample.

Software measurement standards

instrument is operated within its limits and results are properly interpreted. Due care should especially be given to the types of filtering that are applied, both physical and digital. On a happier note a recent comparison carried out by European NMIs [132] of profile measurements using types A, C, D and F1 calibration artefacts (see sections 6.10.2 and 6.13) gave results that were in relatively close agreement. This shows that it is possible for different instruments to get comparable results. Note that many of the comparisons that are reported in the literature are for profile measurements. To date there have been relatively few comparisons of areal measurements (but see [133]).

6.13 Software measurement standards As can be seen from chapter 8, surface texture characterization involves a large array of filtering methods and parameter calculations. The software packages that are supplied with surface texture measuring instruments, and some stand-alone software packages, usually offer a bewildering range of options for characterization. Where possible, these software packages should be verified by comparing them to reference software. ISO 5436 part 2 [134] presents two types of software measurement standard for profile measurement and ISO/FDIS 25178 part 7 [135] presents the two areal counterparts. Only the profile software measurement standards will be discussed here but the general principles also apply in the areal case. The two types of software measurement standards [134] are: Type F1 – reference data files. These are digital representations of a profile that are used as input to the software under test. The results from the software under test are compared with the certified results provided with the type F1 software measurement standard. Type F1 software measurement standards are often referred to as softgauges. Type F2 – reference software. Reference software consists of traceable computer software against which software in a measuring instrument (or stand-alone package) can be compared. Type F2 software measurement standards are used to test software by inputting a common data set into both the software under test and the reference software and comparing the results. Of course the type F1 and F2 software measurement standards are related. Type F1 standards can be generated as mathematically known functions such as sinusoids, etc., for which parameters can be calculated analytically and independently. These can be input to candidate software, and if this software passes the acceptance test for many different type F1 software measurement standards it can be considered as type F2 software.

167

168

C H A P T ER 6 : Surface topography measurement instrumentation

Software measurement standards are available from some NMI web sites; see for example [136–138]. The user can either download type F1 standards or upload data files for type F2 analyses.

6.14 References [1] Leach R K 2001 The measurement of surface texture using stylus instruments NPL Good practice guide No. 37 (National Physical Laboratory) [2] Leach R K, Blunt L A, Brown L, Blunt R, Conroy M, Mauger D 2008 Guide to the measurement of smooth surface topography using coherence scanning interferometry NPL Good practice guide No. 108 (National Physical Laboratory) [3] Griffiths B 2001 Manufacturing surface technology (Penton Press: London) [4] Gilmozzi R, Spyromilio J 2007 The European Extremely Large Telescope (E-ELT) ESO Messenger 127 11–19 [5] Shore P 2008 Ultra precision surfaces Proc. ASPE, Portland, Oregon, USA, Oct. 75–78 [6] Malacara D 2007 Optical shop testing (Wiley Series in Pure and Applied Optics) 3rd edition [7] Whitehouse D J 2002 Handbook of surface and nanometrology (Taylor & Francis) [8] Mainsah E, Greenwood J A, Chetwynd D G Metrology and properties of engineering surfaces (Kluwer Academic Publishers: Boston) [9] Smith G T Industrial metrology: surfaces and roundness (Springer-Verlag: London) [10] Blunt L A, Jiang X 2003 Advanced techniques for assessment surface topography (Butterworth-Heinemann: London) [11] Church E L 1979 The measurement of surface texture and topography using dynamic light scattering Wear 57 93–105 [12] Stedman M 1987 Mapping the performance of surface-measuring instruments Proc. SPIE 83 138–142 [13] Stedman M 1987 Basis for comparing the performance of surfacemeasuring machines Precision Engineering 9 149–152 [14] Jones C W, Leach R K 2008 Adding a dynamic aspect to amplitudewavelength space Meas. Sci. Technol. 19 055105 [15] Shaw H 1936 Recent developments in the measurement and control of surface roughness J. Inst. Prod. Engnrs. 15 369–391 [16] Harrison R E W 1931 A survey of surface quality standards and tolerance costs based on 1929–1930 precision-grinding practice Trans. ASME paper no. MSP-53-12 [17] Hume K J 1980 A history of engineering metrology (Mechanical Engineering Publications Ltd)

References

[18] Reason R E, Hopkins M R, Garrod R I 1944 Report on the measurement of surface finish by stylus methods (Taylor, Taylor & Hobson: Leicester) ¨ ber Gla ¨tte und Ebenheit als physikalisches und [19] Schmaltz G 1929 U physiologisches Problem Zeitschrift des Vereines deutcher Ingenieure 73 1461 [20] Abbott E J, Firestone F A 1933 Specifying surface quality Mechanical Engineering 55 569–773 [21] Reason R E 1973 Stylus methods of surface measurement Bull. Inst. Phys. Oct. 587–589 [22] ISO 4287: 2000 Geometrical product specification (GPS) - Surface texture: Profile method - Terms, definitions and surface texture parameters (International Organization of Standardization) [23] Evans C, Bryan J 1999 ‘‘Structured,’’ ‘‘textured,’’ or ‘‘engineered’’ surfaces Ann. CIRP 48 451–456 [24] Bruzzone A A G, Costa H L, Lonardo P M, Lucca D A 2008 Advances in engineering surfaces for functional performance Ann. CIRP 57 750–769 [25] ISO/FDIS 25178 part 6: Geometrical product specification (GPS) - Surface texture: Areal - Classification of methods for measuring surface texture (International Organization of Standardization) [26] ISO 3274: 1996 Geometrical product specification (GPS) - Surface texture: Profile method - Nominal characteristics of contact (stylus) instruments (International Organization of Standardization) [27] ISO/FDIS 25178 part 601: Geometrical product specification (GPS) Surface texture: Areal - Nominal characteristics of contact (stylus) instruments (International Organization of Standardization) [28] McCool J I 1984 Assessing the effect of stylus tip radius and flight on surface topography measurements Trans. ASME 106 202–209 [29] DeVries W R, Li C -J 1985 Algorithms to deconvolve stylus geometry from surface profile measurements J. Eng. Ind. 107 167–174 [30] O’Donnell K A 1993 Effects of finite stylus width in surface contact profilometry Appl. Opt. 32 4922–4928 [31] Howard L P, Smith S T 1994 A metrological constant force stylus profiler Rev. Sci. Instrum 65 892–902 [32] Chetwynd D G, Liu X, Smith S T 1996 A controlled-force stylus displacement probe Precision Engineering 19 105–111 [33] Leach R K, Flack D R, Hughes E B, Jones C W 2008 Development of a new traceable areal surface texture measuring instrument Wear 266 552–554 [34] Garratt J, Mills M 1996 Measurement of the roughness of supersmooth surfaces using a stylus instrument Nanotechnology 7 13–20 [35] Leach R K 2000 Traceable measurement of surface texture at the National Physical Laboratory using NanoSurf IV Meas. Sci. Technol. 11 1162–1173

169

170

C H A P T ER 6 : Surface topography measurement instrumentation

[36] Whitehouse D J 1999 Surface measurement fidelity Proc. LAMBDAMAP 267–276 [37] Hidaka K, Saito A, Koga S, Schellekens P H J 2008 Study of a microroughness probe with ultrasonic sensor Ann. CIRP 57 489–492 [38] Coupland J M, Lobera J 2008 Holography, tomography and 3D microscopy as linear filtering operations Meas. Sci. Technol. 19 074012 [39] Creath K 1989 Calibration of numerical aperture effects in interferometric microscope objectives Appl. Opt. 15 3333–3338 [40] Greve M, Kru ¨ ger-Sehm R 2004 Direct determination of the numerical aperture correction factor of interference microscopes Proc. XI Int. Colloq. Surfaces, Chemnitz, Germany, Feb. 156–163 [41] Hecht E 2003 Optics (Pearson Education) 4th edition [42] de Groot P, Colonna de Lega X 2006 Interpreting interferometric height measurements using the instrument transfer function Proc. FRINGE 30–37. 2005 [43] ISO/FDIS 25178 part 603: Geometrical product specification (GPS) Surface texture: Areal - Nominal characteristics of non-contact (phase shifting interferometric) instruments (International Organization of Standardization) [44] Kru ¨ger-Sehm R, Fru ¨hauf J, Dziomba T 2006 Determination of the short wavelength cutoff for interferential and confocal microscopes Wear 264 439–443 [45] Harasaki A, Schmit J, Wyant J C 2001 Offset of coherent envelope position due to phase change on reflection Appl. Opt. 40 2102–2106 [46] Park M-C, Kim S-W 2001 Compensation of phase change on reflection in white-light interferometry for step height measurement Opt. Lett. 26 420–422 [47] Goa F, Leach R K, Petzing J, Coupland J M 2008 Surface measurement errors when using commercial scanning white light interferometers Meas. Sci. Technol. 18 015303 [48] Harasaki A, Wyant J C 2000 Fringe modulation skewing effect in the whitelight vertical scanning interferometry Appl. Opt. 39 2101–2106 [40] Marinello F, Bariani P, Pasquini A, De Chiffre L, Bossard M, Picotto G B 2007 Increase of maximum detectable slope with optical profilers, through controlled tilting and image processing Meas. Sci. Technol. 18 384–389 [50] Proertner A, Schwider J 2001 Dispersion error in white-light Linnik interferometers and its implications for evaluation procedures Appl. Opt. 40 6223–6228 [51] Lehmann P 2003 Optical versus tactile geometry measurement - alternatives or counterparts Proc. SPIE 5144 183–196 [52] Hillmann W 1990 Surface profiles obtained by means of optical methods are they true representations of the real surface? Ann. CIRP 39 581–583 [53] Rhee H, Vorburger T, Lee J, Fu J 2005 Discrepancies between roughness measurements obtained with phase-shifting and white-light interferometry Appl. Opt. 44 5919–5927

References

[54] Brand U, Flu ¨ gge J 1998 Measurement capabilities of optical 3D-sensors for MST applications Microelectronic Engineering 41/42 623–626 [55] McBride J W, Zhao Z, Boltryk P J 2008 A comparison of optical sensing methods for the high precision 3D surface profile measurement of grooved surfaces Proc. ASPE, Portland, Oregon, USA, Oct. 124–127 [56] Gao F, Coupland J, Petzing J 2006 V-groove measurements using white light interferometry Photon06, Manchester, Sept. [57] Coupland J M, Lobera J 2008 Measurement of steep surfaces using white light interferometry Strain doi: 10.1111/j.1475-1305.2008.00595.x [58] Bray M 2004 Stitching interferometry: recent results and absolute calibration Proc. SPIE 5252 305–313 [59] Zhang R 2006 Theoretical and experimental study on the precision of the stitching system Proc. SPIE 6150 61502Y [60] Zeng L, Matsumoto H, Kawachi K 1997 Two-directional scanning method for reducing the shadow effects in laser triangulation Meas. Sci. Technol. 8 262–266 [61] Wilson T 1984 Theory and practice of scanning optical microscopy (Academic Press) [62] Diaspro A 2002 Confocal and two-photon microscopy: foundations, applications and advances (Wiley Blackwell) [63] Wilson T 1990 Confocal microscopy (Academic Press) [64] Jordan H, Wegner M, Tiziani H 1998 Highly accurate non-contact characterization of engineering surfaces using confocal microscopy Meas. Sci. Technol. 9 1142–1151 ´n H, Hadravsk [65] Petra y M, Egger M D, Galambos R 1968 Tandem-scanning reflected-light microscope J. Opt. Soc. Am. 58 661–664 [66] Minsky M 1961 Microscopy apparatus (US patent 3.013.467) [67] ISO/FDIS 25178 part 602: 2008 Geometrical product specification (GPS) Surface texture: Areal - Nominal characteristics of non-contact (confocal chromatic probe) instruments (International Organization of Standardization) [68] Tiziani H J, Uhde H 1994 Three-dimensional image sensing by chromatic confocal microscopy Appl. Opt. 33 1838–1841 [69] Danzl R, Helmli F, Rubert P, Prantl M 2008 Optical roughness measurements on specially designed roughness standards Proc. SPIE 7102 71020M [70] Miura K, Okada M, Tamaki J 2000 Three-dimensional measurement of wheel surface topography with a laser beam probe Advances in Abrasive Technology III 303–308 [71] Fukatsu H, Yanagi K 2005 Development of an optical stylus displacement sensor for surface profiling instruments Microsyst. Technol. 11 582–589 [72] Creath K 1988 Phase-measuring interferometry techniques in Progress in optics (Elsevier Science Publishers: Amsterdam) [73] Kumar U P, Bhaduri B, Kothiyal M P, Mohan N K 2009 Two-wavelength micro-interferometry for 3-D surface profiling Opt. Lasers Eng. 47 223–229

171

172

C H A P T ER 6 : Surface topography measurement instrumentation

[74] Stenner M D, Neifeld M A 2006 Motion compensation and noise tolerance in phase-shifting digital in-line holography Opt. Express 14 4286–4299 [75] Yamaguchi I, Ida T, Yokota M 2008 Measurement of surface shape and position by phase-shifting digital holography Strain 44 349–356 [76] Creath K, Wyant J C 1990 Absolute measurement of surface roughness Appl. Opt. 29 3823–3827 [77] Lim J, Rah S 2006 Absolute measurement of the reference surface profile of a phase shifting interferometer Rev. Sci. Instrum. 77 086107 [78] Cuche E, Marquet P, Depeursinge C 1999 Simultaneous amplitude-contrast and quantitative phase-contrast microscopy by numerical reconstruction of Fresnel off-axis holograms Appl. Opt. 38 6994–7001 [79] Cuche E, Marquet P, Depeursinge C 2000 Spatial filtering for zero-order and twin-image elimination in digital off-axis holography Appl. Opt. 39 4070–4075 [80] Ferraro P, Grilli S, Alfieri D, Nicola S D, Finizio A, Pierattini G, Javidi B, Coppola G, Striano V 2005 Extended focused image in microscopy by digital holography Opt. Express 13 6738–6749 [81] Colomb T, Montfort F, Ku ¨ hn J, Aspert N, Cuche E, Marian A, Charrie`re F, Bourquin S, Marquet P, Depeursinge C 2006 Numerical parametric lens for shifting, magnification and complete aberration compensation in digital holographic microscopy J. Opt. Soc. Am. A 23 3177–3190 [82] Ku ¨ hn J, Charrie`re F, Colomb T, Cuche E, Montfort F, Emery Y, Marquet P, Depeursinge C Axial sub-nanometre accuracy in digital holographic microscopy Meas. Sci. Technol. 19 074007 [83] Ku ¨ hn J, Colomb T, Montfort F, Charrie`re F, Emery Y, Cuche E, Marquet P, Depeursinge C 2007 Real-time dual-wavelength digital holographic microscopy with a single hologram acquisition Opt. Express 15 7231– 7242 [84] Wada A, Kato M, Ishii Y 2008 Multiple-wavelength digital holographic interferometry using tuneable laser diodes Appl. Opt. 47 2053–2060 [85] ISO/FDIS 25178 part 604: Geometrical product specification (GPS) - Surface texture: Areal - Nominal characteristics of non-contact (coherence scanning interferometry) instruments (International Organization of Standardization) [86] Petzing J, Coupland J M, Leach R K 2009 Guide to the measurement of rough surface topography using coherence scanning interferometry NPL Good practice guide to be published (National Physical Laboratory) [87] Harasaki A, Schmit J, Wyant J C 2000 Improved vertical-scanning interferometry Appl. Opt. 39 2107–2115 [88] Ghim Y-S, You J, Kim S-W 2007 Simultaneous measurement of thin film thickness and refractive index by dispersive white-light interferometer Proc. SPIE 6674 667402 [89] You J, Kim S-W 2008 Optical inspection of complex patterns for microelectronic products Ann. CIRP 57 505–508

References

[90] de Groot P 2006 Stroboscopic white-light interference microscopy Appl. Opt. 45 5840–5844 ¨benstedt A 2006 Laser-scanning confocal vibrometer [91] Rembe C, Dra microscope: theory and experiments Rev. Sci. Instrum. 77 083702 [92] Mansfield D 2006 The distorted helix: thin film extraction from scanning white light interferometry Proc. SPIE 6186 210–220 [93] Kim S-W, Kim G-W 1999 Thickness-profile measurement of transparent thin-film layers using white-light scanning interferometry Appl. Opt. 38 5968–5974 [94] Mansfield D 2008 Extraction of film interface surfaces from scanning white light interferometry Proc. SPIE 7101 71010U [95] Olgilvy J 1991 Theory of wave scattering from random rough surfaces (Institute of Physics Publishing) [96] Church E L, Jenkinson H J, Zavada J M 1979 Relationship between surface scattering and microtopographic features Opt. Eng. 18 125–136 [97] Vorburger T V, Marx E, Lettieri T R 1993 Regimes of surface roughness measurable with light scattering Appl. Opt. 32 3401–3408 [98] Bennett J M, Mattsson L 1999 Introduction to surface roughness and scattering (Optical Society of America) 2nd edition [99] Stover J C 1995 Optical scattering: measurement and analysis (Society of Photo-Optical Instrumentation Engineering) [100] Davies H 1954 Reflection of electromagnetic waves from a rough surface Proc. Inst. Elec. Engrs. 101 209–214 [101] ASTM F1084–87: 1987 Standard test method for measuring the effect of surface roughness of optical components by total integrated scattering (American Society for Testing and Materials) [102] Leach R K 1998 Measurement of a correction for the phase change on reflection due to surface roughness Proc. SPIE 3477 138–151 [103] Clarke F J J, Garforth F A, Parry D J 1983 Goniophotometric and polarisation properties of white reflection standard materials Lighting Res. Technol. 15 133–149 [104] Elson J M, Rahn J P, Bennett J M 1983 Relationship of the total integrated scattering from multilayer-coated optics to angle of incidence, polarisation, correlation length, and roughness cross-correlation properties Appl. Opt. 22 3207–3219 [105] Vorburger T V, Teague E C 1981 Optical techniques for on-line measurement of surface texture Precision Engineering 3 61–83 [106] Valliant J G, Folley M 2000 Instrument for on-line monitoring of surface roughness of machined surfaces Opt. Eng. 39 3247–3254 [107] Dhanansekar B, Mohan N K, Bhaduri B, Ramamoothy B 2008 Evaluation of surface roughness based on monolithic speckle correlation using image processing Precision Engineering 32 196–206

173

174

C H A P T ER 6 : Surface topography measurement instrumentation

[108] Brecker J N, Fronson R E, Shum L Y 1977 A capacitance-based surface texture measuring system Ann. CIRP 25 375–377 [109] Lieberman A G, Vorburger T V, Giauque C H W, Risko D G, Resnick R, Rose J 1988 Capacitance versus stylus measurements of surface roughness Surface Topography 1 315–330 [110] Bruce N C, Garcı´a-Valenzuela A 2005 Capacitance measurement of Gaussian random rough surface surfaces with plane and corrugated electrodes Meas. Sci. Technol. 16 669–676 [111] Wooley R W 1992 Pneumatic method for making fast, high-resolution noncontact measurement of surface topography Proc. SPIE 1573 [112] Haitjema H 1998 Uncertainty analysis of roughness standard calibration using stylus instruments Precision Engineering 22 110–119 [113] Leach R K 2000 Traceable measurement of surface texture at the National Physical Laboratory using NanoSurf IV Meas. Sci. Technol. 11 1162–1172 [114] Wilkening G, Koenders L 2005 Nanoscale calibration standards and methods (Wiley-VCH) [115] Thompsen-Schmidt P, Kru ¨ ger-Sehm R, Wolff H 2004 Development of a new stylus contacting system for roughness measurement XI Int. Colloq. Surfaces, Chemnitz, Germany, Feb. 79–86 [116] Leach R K 1999 Calibration, traceability and uncertainty issues in surface texture metrology NPL Report CLM7 [117] Kru ¨ ger-Sehm R, Krystek M 2000 Uncertainty analysis of roughness measurement Proc. X Int. Colloq. Surfaces, Chemnitz, Germany, Jan./Feb. (in additional papers) [118] Giusca C, Forbes A B, Leach R K 2009 A virtual machine-based uncertainty evaluation for a traceable areal surface texture measuring instrument Rev. Sci. Instrum. submitted [119] Leach R K 2004 Some issues of traceability in the field of surface texture measurement Wear 257 1246–1249 [120] ISO 5436 part 1: 2000 Geometrical product specification (GPS) - Surface texture: Profile method - Measurement standards - Part 1 Material measures (International Organization of Standardization) [121] Leach R K, Cross N 2002 Low-cost traceable dynamic calibration of surface texture measuring instruments Meas. Sci. Technol. 14 N1–N4 [122] ISO 12179: 2000 Geometrical product specification (GPS) - Surface texture: profile method - Calibration of contact (stylus) instruments (International Organization for Standardization) [123] ISO/FDIS 25178 part 701: 2007 Geometrical product specification (GPS) Surface texture: Areal - Calibration and measurement standards for contact (stylus) instruments (International Organization of Standardization) [124] Haycocks J, Jackson K, Leach R K, Garratt J, MacDonnell I, Rubert P, Lamb J, Wheeler S 2004 Tackling the challenge of traceable surface texture measurement in three dimensions Proc. 5th Int. euspen Conf., Turin, Italy, May 253–256

References

[125] Leach R K, Chetwynd D G, Blunt L A, Haycocks J, Harris P M, Jackson K, Oldfield S, Reilly S 2006 Recent advances in traceable nanoscale dimension and force metrology in the UK Meas. Sci. Technol. 17 467–476 [126] Krystek M 2000 Measurement uncertainty propagation in the case of filtering in roughness measurement Meas. Sci. Technol. 12 63–67 [127] Morel M A A, Haitjema H 2001 Calculation of 3D roughness measurement uncertainty with virtual surfaces Proc. IMEKO, Cairo, Egypt 1–5 [128] Haitjema H, Morel M 2000 Traceable roughness measurements of products Proc. 1st euspen Conf. on Fabrication and Metrology in Nanotechnology, Denmark 354–357 [129] Haitjema H, Morel M 2000 The concept of a virtual roughness tester Proc. X Int. Colloq. Surfaces, Chemnitz, Germany, Jan./Feb. 239–244 [130] Haitjema H 1997 International comparison of depth-setting standards Metrologia 34 161–167 [131] Leach R K, Hart A 2002 A comparison of stylus and optical methods for measuring 2D surface texture NPL Report CBTLM 15 [132] Koenders L, Andreasen J L, De Chiffre L, Jung L, Kru ¨ ger-Sehm R 2004 EUROMET L.S11 Comparison on surface texture Metrologia 41 04001 [133] Vorburger T V, Rhee H-G, Renegar T B, Song J-F, Zheng A 2008 Comparison of optical and stylus methods for measurement of surface texture Int. J. Adv. Manuf. Technol. 33 110–118 [134] ISO 5436 part 2: 2000 Geometrical product specification (GPS) - Surface texture: Profile method - Measurement standards - Part 2 Software measurement standards (International Organization of Standardization) [135] ISO/FDIS 25178 part 7: Geometrical product specification (GPS) - Surface texture: Areal - Software measurement standards (International Organization of Standardization) [136] Blunt L, Jiang X, Leach R K, Harris P M, Scott P 2008 The development of user-friendly software measurement standards for surface topography software assessment Wear 264 389–393 [137] Bui S, Vorburger T V 2006 Surface metrology algorithm testing system Precision Engineering 31 218–225 [138] Jung L, Spranger B, Kru ¨ ger-Sehm R, Krystek M 2004 Reference software for roughness analysis - features and results Proc. XI Int. Colloq. Surfaces, Chemnitz, Germany, Feb. 164–170

175

This page intentionally left blank

CHAPTER 7

Scanning probe and particle beam microscopy Dr. Alexandre Cuenat National Physical Laboratory

As technology moves deeper into the realm of the microscopic by manufacturing smaller components, it becomes essential to measure at a suitable scale and resolution. This scale is in the nanometre range and the resolution expected is of the order of atomic distances or even smaller. In the late seventeenth century, the development of optical microscopes enabled scientists to observe structure on the scale of micrometres. Until the twentieth century, the optical microscope was the fundamental instrument that enabled progress in materials and biological sciences. However, the observation of single atoms requires far more resolution than visible light can provide. In the beginning of the twentieth century, the electron microscope was developed based on the newly discovered wave-like properties of the electron. Indeed, electrons with sufficient energy will have a wavelength comparable to the diameter of an atom or smaller. Unfortunately, electron optics limit the resolution that an electron microscope can reach and true atom-by-atom resolution is far from routine. A study of surface atoms is even more challenging and requires a different type of probe. Indeed, high-energy electrons will penetrate into the bulk material without providing surface information, and low-energy electrons will be scattered by the surface. For many years, scientists have used diffraction phenomena to study the atomic ordering at surfaces, but the lateral resolution is still of the order of a micrometre. The development of the scanning tunnelling microscope (STM) by Gerd Binnig and Heinrich Rohrer in 1982 [1] was a major tool in the development of a new field of human endeavour – nanotechnology. The STM enabled the next step in imaging and probing technology. The STM may Fundamental Principles of Engineering Nanometrology Copyright Ó 2010 by Elsevier Inc. All rights reserved.

CONTENTS Scanning probe microscopy Scanning tunnelling microscopy Atomic force microscopy Scanning probe microscopy of nanoparticles Electron microscopy Other particle beam microscopy techniques References

177

178

C H A P T ER 7 : Scanning probe and particle beam microscopy

not have been the first scanning probe system, but the atomic resolution it demonstrated captured the imagination of the scientific community. Since then, a series of near-field methods have been developed, capable of probing or imaging many physical or chemical properties with nanometrescale resolution. All these new microscopes are based on the same principle: a very sharp tip, with a radius typically of a few nanometres, is scanned in close proximity to a surface using a piezoelectric scanner. The very localised detection of forces in the near-field is in marked contrast with previous instruments, which detected forces over much larger areas or used far-field wave phenomena. This chapter reviews the principal methods that have been developed to measure properties at the atomic to nanometre scale and the related metrology challenges, with a particular focus on the atomic force microscope (AFM). The reason for this choice is that the AFM is by far the most popular instrument to date and is the most likely candidate to be fully traceable – including force – in the near future. Electron microscopes, scanning and transmission, are also included in this chapter as they are capable of giving information in the same range and are also very popular. The chapter concludes with a few words on the focused ion beam microscope and the newly developed helium beam microscope.

7.1 Scanning probe microscopy Scanning probe microscopes (SPMs) are increasingly used as quantitative measuring instruments not only for dimensions, but also for physical and chemical properties at the nanoscale (see [2,3] for thorough introductions to SPM technology). Furthermore, SPM has recently entered the production and quality-control environment of semiconductor manufacturers. However, for these relatively new instruments, standardized calibration procedures still need to be developed. From an instrumentation perspective, the SPM is a serial measurement device, which uses a nanoscale probe to trace the surface of the sample based on local physical interactions (in a similar manner to a stylus instrument – see section 6.6.1). While the probe scans the sample with a predefined pattern, the signal of the interaction is recorded and is usually used to control the distance between the probe and the sample surface. This feedback mechanism and the scanning of a nanoscale probe form the basis of all scanning probe instruments. Figure 7.1 shows an example schema of an AFM. A sample is positioned on a piezoelectric scanner, which moves the sample in three dimensions relative to a transduction mechanism (in this

Scanning probe microscopy

FIGURE 7.1 Schematic image of a typical scanning probe system, in this case an AFM.

case a flexible mechanical cantilever) with a very sharp tip in very close proximity to the sample. Depending on the physical interactions used to probe the surface, the system can have different names, for example: -

scanning tunnelling microscopes (STMs) are based on the quantummechanical tunnelling effect (see section 7.2);

-

atomic force microscopes (AFMs) use interatomic or intermolecular forces (see section 7.3);

-

scanning near-field optical microscopes (SNOMs) probe the surface using near-field optics (sometimes referred to a electromagnetic tunnelling) (see [2,4]).

Many more examples of SPMs have been developed that use almost every known physical force, including: electrostatic, magnetic, capacitive, chemical and thermal. For each instrument, various modes of operation are possible. The most common modes used in engineering nanometrology are: Contact mode: the probe is in permanent contact with the surface, i.e. usually a repulsive force between the tip and the sample is used as feedback to control the distance between the tip and the sample.

179

180

C H A P T ER 7 : Scanning probe and particle beam microscopy

Non-contact mode: the probe oscillates slightly above the surface and interactions with the sample surface forces modify the oscillation parameters. One of the oscillation parameters (amplitude, frequency or phase shift) is kept constant with the feedback loop. Intermittent mode: non-contact mode in which the probe oscillates with a high amplitude and touches the sample for a short time (often referred to as tapping mode).

7.2 Scanning tunnelling microscopy As its name suggests, the scanning tunnelling microscope takes advantage of the quantum mechanical phenomenon of tunnelling. When an electron approaches a potential energy barrier higher than the electron’s energy, the electron is not completely reflected as one would expect classically, but rather the electron’s wavefunction exponentially decays as it travels through the barrier. With a sufficiently thin barrier, there is a small but non-negligible probability that the electron can be found on the other side of the barrier. In practice, the STM is realised based on the scanning of an ultra-sharp conductive tip close to a conductive sample. The electron probability densities of the tip and the substrate can overlap if the distance between the two is small enough; in which case the application of a potential difference between the tip and the sample will result in a current due to the electrons tunnelling through the insulating gap formed by the vacuum layer between the tip and the substrate. This tunnelling current is exponentially sensitive to the distance between the tip and the sample. With a barrier height (work function) of a few electron volts, a change in distance by an amount equal to the diameter of a single atom (approximately 0.2 nm) causes the tunnelling current to change by up to three orders of magnitude [1]. The key technology that has enabled the STM and subsequent scanning probe systems to be developed is the ability to move the tip by a controlled amount by such a small distance. This is possible using piezoelectric materials, which move the tip over the sample as well as scanning the substrate. Depending on the mode of operation, the feedback will control the piezoelectric actuator in the z direction in order to maintain a constant tunnelling current by keeping the tip at a constant height relative to the surface. With this constant current method, a topographical map of a surface is obtained. However, this procedure will yield purely topographical information only when used on an electronically homogeneous surface; when applied to an electronically inhomogeneous surface, the tunnelling current will depend on both the surface topography and the local electronic structure.

Atomic force microscopy

For example, if the effective local tunnelling barrier height increases or decreases at a scan site, then the feedback system must decrease or increase the tip-sample separation in order to maintain a constant tunnelling current. The final image obtained will thus contain electronic structure information convoluted with the topographical information. A solution to this problem is the so-called barrier-height imaging mode [5] used to measure varying work function (tunnelling barrier height) over inhomogeneous samples. In this mode, the tip is scanned over each measurement site and the distance between the tip and the sample is varied while recording dI/dz; the rate of tunnelling current, I, change with respect to tip-sample distance, z. From this information, the work function at each location can be determined and used to correct constant current measurement. One of the main limitations of STM is that it can be used only with conductive samples.

7.3 Atomic force microscopy The AFM [6,7] was developed to image insulating surfaces with atomic resolution. AFM is the most widely used member of the family of SPM techniques. Its versatility and the presence of a number of commercial instruments make it a method of choice for research laboratories, from academia to industry. Figure 7.2 is a block diagram of a standard AFM (it is in fact representative of most SPM types). Its essential components are as follow: -

z scanner;

-

xy scanner;

-

deflection detector, for example optical beam deflection method (see below), piezoresistive sensor [8] or Fabry-Pe´rot fibre interferometer [9];

-

cantilever and probe.

The sample is scanned continuously in two axes (xy) underneath a forcesensing probe consisting of a tip that is attached to, or part of, a cantilever. A scanner is also attached to the z axis (height) and compensates for changes in sample height, or forces between the tip and the sample. The presence of attractive or repulsive forces between the tip and the sample will cause the cantilever to bend and this deflection can be monitored in a number of ways. The most common system to detect the bend of the cantilever is the optical beam deflection system, wherein a laser beam reflects off the back of the cantilever onto a photodiode detector. Such an optical beam deflection system is sensitive to sub-nanometre deflections of the cantilever [10].

181

182

C H A P T ER 7 : Scanning probe and particle beam microscopy

FIGURE 7.2 Block diagram of a typical SPM.

7.3.1 Noise sources in atomic force microscopy The limitations of the metrological capabilities of an AFM due to thermal noise are well documented [11]. However, not only thermal but all noise sources need to be systematically investigated and their particular contributions to the total amount of the noise quantified for metrological purposes [12]. Note that most of the discussions on noise in AFM are also of relevance to other forms of SPM. Noise source can be either external, including: -

variations of temperature and air humidity;

-

air motion (for example, air-conditioning, air circulation, draughts, exhaust heat);

-

mechanical vibrations (for example, due to structural vibrations, pumps – see section 3.9);

-

acoustic (for example, impact sound, ambient noise – see section 3.9.6).

Atomic force microscopy

or internal noise (intrinsic noise), including: -

high-voltage amplifiers;

-

control loops;

-

detection systems;

-

digitization.

It is also well known that adjustments made by the user (for example, the control loop parameters, scan field size and speed) also have a substantial influence on the measurement [13]. To reduce the total noise, the subcomponents of noise must be investigated. The total amount of the z axis noise can be determined by static or dynamic measurements [14] as described in the following section.

7.3.1.1 Static noise determination To determine the static noise of an SPM, the probe is placed in contact with the sample, the distance is actively controlled, but the xy scan is disabled, i.e. the scan size is zero. The z axis signal is recorded and analysed (for example, RMS determination or calculation of the fast Fourier transform to identify dominant frequencies which then serve to identify causes of noise). An example of a noise signal for an AFM is shown in Figure 7.3; the RMS noise is 13 pm in this case (represented as an Rq parameter – see section 8.2.7.2).

7.3.1.2 Dynamic noise determination To determine the dynamic noise of an SPM the probe and sample are displaced in relation to one another (line or area scan). In this case, scan speed, scan range and measurement rate should be set to values typical of the subsequent measurements to be carried out. Usually the dynamic noise measurement is carried out at least twice with as small a time delay as possible. The calculation of the difference between the subsequent images is used to correct for surface topography and guidance errors inherent in the scanner.

7.3.1.3 Scanner xy noise determination The accurate determination of xy noise is extremely difficult for AFM as they have small xy position noise and thus require samples with surface roughness substantially smaller than the xy noise [12]. In individual cases, the noise of subcomponents can be determined. For xy stage, for example, the xy position noise can be measured with a laser interferometer.

183

184

C H A P T ER 7 : Scanning probe and particle beam microscopy

FIGURE 7.3 Noise results from an AFM. The upper image shows an example of a static noise investigation on a bare silicon wafer. The noise-equivalent roughness is Rq ¼ 0.013 nm. For comparison, the lower image shows the wafer surface: scan size 1 mm by 1 mm, Rq ¼ 0.081 nm.

For AFM, the following guidance deviations are usually observed: -

out-of-plane motions or scanner bow, i.e. any form of cross-talk of xy movements to the z axis;

-

line skips in the z direction;

-

distortions within the xy plane (shortening/elongation/rotation) due to orthogonality and/or angular deviations;

-

orthogonality deviations between the z and the x or y axis.

Guidance deviations can be due to the design and/or be caused by deviations in the detection or control loop. Guidance deviations show a strong dependence on the selected scan field size and speed as well as on the working point in the xy plane and within the z range of the scanner. When the reproducibility is good, such systematic deviations can be quantified and corrected for by calibration.

Atomic force microscopy

7.3.2 Some common artefacts in AFM imaging One of the reasons that AFMs have not yet fully been integrated into the production environment is the presence of numerous ‘artefacts’ in their images that are not due to surface topography of the surface being measured. Usually a high level of expertise is required to identify these artefacts. The availability of reference substrates and materials will allow industry to use AFMs (and other SPMs) more widely.

7.3.2.1 Tip size and shape Many of the most common artefacts in AFM imaging are related to the finite size and shape of the tip. Commonly used AFM probes, such as those manufactured from silicon nitride and silicon, have pyramidal shaped tips [15]. These tips can have a radius of curvature as small as 1 nm, but often the radius is much larger. When imaging vertical features that are several tens of nanometres or more in height, the tip half angle limits the lateral resolution. When the tip moves over a sharp feature, the sides of the tip, rather than just the tip apex, contact the edges of the feature (see Figure 7.4). For features with vertical relief less than approximately 30 nm, it is the radius of curvature of the tip that limits resolution, resulting in tip broadening of the feature of interest. The resulting image is a non-linear combination of the sample shape and the tip

FIGURE 7.4 Schematic of the imaging mechanism of spherical particle imaging by AFM. The geometry of the AFM tip prevents ‘true’ imaging of the particle as the apex of the tip is not in contact with the particle all the time and the final image is a combination of the tip and particle shape. Accurate sizing of the nanoparticle can only be obtained from the height measurement.

185

186

C H A P T ER 7 : Scanning probe and particle beam microscopy

shape. Various deconvolution (or its non-linear equivalent, erosion) methods, including commercial software packages, are available although such software must be used with caution [16–18]. There are also many physical artefacts that can be used to measure the shape of an AFM tip [19–21].

7.3.2.2 Contaminated tips An ideal AFM tip ends in a single point at its apex. However, manufacturing anomalies and/or contamination may lead to double or even multiple tip ends. When this occurs, the tips can map features on the sample surface more than once. For example, a double tip will result in a regular doubling of features. Such artefacts lead to what are commonly termed double- or multiple-tip images. Contaminants on a tip can also interact with a sample surface, leading to repeated patterns of the contaminants scattered across the surface. Cleaning of AFM tips and cantilevers is highly recommended [22].

7.3.2.3 Other common artefacts When the gain parameter of the control loop is too high, rippling artefacts can occur along the edges of features. These ripples tend to occur along the leading edge of a feature and will generally switch position when the scan direction is changed. Shadow artefacts generally occur along the trailing edge of a feature, when the feedback loop is unable to compensate for a rapid change in topography. Reducing the scan speed often minimises shadow artefacts. Sample damage or deformation during scanning is also a significant artefact, particularly for soft surfaces. Piezoelectric and/or thermal drift can distort images, particularly at the start of scanning. Measuring near to the centre of the z axis piezoelectric actuator’s range, and allowing the AFM and the sample to sit for a period to reach thermal equilibration can substantially improve drift-related problems.

7.3.3 Determining the coordinate system of an atomic force microscope There will always be some imperfections in the coordinate system for a given AFM. The calibration of the lateral scan axes is usually carried out using 1D or 2D lateral calibration artefacts. These artefacts are usually formed by equidistant structures with defined features whose mean spacing (the pitch) serves to calibrate the lateral axes. In Figure 7.5a a set of parallel regression lines along similar features of the structure is calculated. The mean distance between these lines is the pitch, px. In Figure 7.5b a set of parallel regression lines is calculated, each through a column of centres of similar features; the mean distance between these lines is the pitch, px in the x direction of the

Atomic force microscopy

FIGURE 7.5 Definition of the pitch of lateral artefacts: (a) 1D and (b) 2D.

grating. Similarly, another set of parallel regression lines is calculated, each through a series of centres of the grating; the mean distance of these grating lines is the pitch, py in the y direction of the grating. The orthogonality of the grating is the angle formed by the px and py vectors. Local deviations are a measure of the non-linearity of the axes. In addition, the orthogonality deviation and the cross-talk of the lateral scan axes can be determined. For the 2D lateral artefacts it is important not to confuse the pitches, px and py, and the mean spacings, ax and ay, of the individual grating: px and ax, or py and ay are identical only for perfectly orthogonal gratings. Where high-quality gratings are used, which are almost orthogonal, the difference can often be ignored in the calibration of the axes. These differences, however, become significant when a 2D artefact is used to check the orthogonality of the scanner axes. In measurements on lateral artefacts, the selection of the scan range and the scan speed or rate are important, because the calibration factors are strongly influenced by dynamic non-linearity and image distortions [23]. This is also true for systems with active position control. In calibration, the scan speed must, therefore, be adjusted to reflect the later measurements that are to be made.

7.3.4 Traceability of atomic force microscopy From the metrological point of view, AFMs are generally subdivided into the three following categories [12]: -

reference AFMs with integrated laser interferometers allowing direct traceability of the axis scales via the wavelength of the laser used to the

187

188

C H A P T ER 7 : Scanning probe and particle beam microscopy

SI unit of length (often referred to as metrological AFMs, see [24–27] for examples developed at NMIs); -

-

AFMs with position measurement using displacement transducers, for example, capacitive or inductive sensors, strain gauges or optical encoders. These sensors are calibrated by temporarily mounting laser interferometers to the device or by measuring high-quality calibration artefacts. Two types are to be distinguished here: ,

active position control AFMs that track to scheduled positions by means of a closed loop control system;

,

AFMs with position measurement but without closed loop for position control (open loop systems);

AFMs in which the position is determined from the electrical voltage applied to the piezoelectric scanners and, if need be, corrected using a look-up table. Such AFMs need to be calibrated using a transfer artefact that has itself been calibrated using a metrological AFM (highest accuracy) or an AFM with position measurement. These instruments will, however, suffer from hysteresis in the scanner.

Another important aspect of traceability is the uncertainty of measurement (see section 2.8.3). It is very rare to see AFM measurements quoted with an associated uncertainty as many of the points discussed in section 6.11 apply to AFMs (and SPMs in general). Uncertainties are usually only quoted for the metrological AFMs or for simple artefacts such as step heights [28] or 1D gratings [29].

7.3.4.1 Calibration of AFMs Calibration of AFMs is carried out using certified reference artefacts. Suitable sets of artefacts are available from various manufacturers (see www. nanoscale.de/standards.htm for a comprehensive list of artefacts). An alternative is to use laser interferometers to calibrate the axes, which offer a more direct method to traceability if stabilized lasers are used. The aim of the calibration is the determination of the axis scaling factors, Cx, Cy and Cz. Apart from these scaling factors, a total of twenty one degrees of freedom can be identified for the motion process of the SPM similar to a CMM operating in 3D (see section 9.2). A typical calibration for an AFM proceeds in the following manner [12]: -

the cross-talk of lateral scan movements to the z axis is investigated by measurements on a flatness artefact;

Atomic force microscopy

-

the cross-talk of the lateral scan axes and the orthogonality deviation is determined using a 2D lateral artefact. This artefact is usually used to calibrate Cx and Cy;

-

deviations from orthogonality can be determined using artefacts with orthogonal structures;

-

orthogonality deviations are measured using 3D artefacts. Calibration of the z axis, Cz, and deviations are achieved using 3D artefacts.

In most cases, different artefacts are used for these calibration steps (see Table 7.1). Alternatively, 3D artefacts can be used – with suitable evaluation software – to calibrate all three factors, Cx, Cy and Cz, and the cross-talk between all three axes.

7.3.5 Force measurement with AFMs Force measurements with an AFM are carried out by monitoring the cantilever deflection as the sample approaches, makes contact with, and then retracts from the cantilever. However, the raw cantilever deflection measurement is a measure of the deflection of the cantilever at some point and not directly of the force. For a beam deflection system, for example, the cantilever deflection is recorded in volts. An additional problem is that the distance (or separation) between the tip and the sample is not measured directly [30]; the AFM measures the displacement of the piezoelectric scanner that supports the sample. A force curve graph of cantilever deflection (in volts) and corresponding piezoelectric scanner displacement (in metres) (see Figure 7.6a) must be interpreted to give a force–distance curve (i.e. force of interaction in units of force against separation between the sample and the cantilever in units of length (see Figure 7.6b)). With reference to Figure 7.6a, when the tip and sample are far apart (i) they exhibit no interaction (zero

Table 7.1

Overview of guidance deviations, standards to be used and calibration measurements [12]

Calibration

Artefact required

What is measured

Cross-talk of the lateral movements to the z axis Orthogonality deviation Orthogonality deviation Cx and Cy deviations(non-linearities) Cross-talk of the lateral axes Cz deviations (non-linearities)

flatness artefact

out-of-plane movement of xy scan system

2D artefact 3D artefact 1D or 2D lateral artefact 2D lateral artefact step height artefact

angle formed by the two axes, on orthogonal structures Need description of what is measured for a 3D artefact pitch measurement, rotation, linearity pitch measurement, rotation, linearity step height measurement, linearity

189

190

C H A P T ER 7 : Scanning probe and particle beam microscopy

FIGURE 7.6 Schematic of a force curve (a) and force–distance curve (b).

force). As the sample approaches the tip, inter-molecular forces between the tip and the sample cause the cantilever to deflect upwards (ii) due to repulsive forces (in this case between a charged substrate and tip, but attractive forces are commonly observed as well). Eventually the tip makes contact with the sample (iii) and their movement becomes coupled (region of constant compliance). The sample is then retracted from the tip (iv) until the tip/ cantilever and sample return to their original positions completing one cycle. Hysteresis, shown here, may occur upon retraction due to adhesion forces. Interfacial forces are measured on approach and adhesion forces are measured upon retraction; repulsive forces are positive and attractive forces are negative.

Atomic force microscopy

To obtain the force part of the force–distance curve, the photodiode values are converted to force using F ¼ kcd, where F is the force, d is cantilever deflection and kc is the cantilever spring constant. To convert the cantilever deflection measured by the photodiode in volts to metres, a displacement conversion factor (also called the optical lever sensitivity) is obtained from the region of the force curve where the sample is in contact with the cantilever. For an infinitely hard contact, every displacement of the piezoelectric scanner displaces the sample or the tip; the cantilever is pushed upwards, which is recorded as a voltage output on the photodiode. The slope of the force curve in the region where the cantilever is in contact with the sample defines the optical lever sensitivity. This part of the force curve is called the region of constant compliance or region of contact. It is important to note that using the constant compliance region of the force curve to convert photodiode response to deflection will overestimate the force of interaction if the cantilever is not the most compliant component of the system. This is often the case when soft, deformable substances such as polymers are used in force measurements (either as a sample or linked to the tip/cantilever). If a compliant substrate is used, other methods are needed to accurately convert the measured deflection of the cantilever into a force of interaction [31]. In this case the optical lever sensitivity is determined by pressing the tip/cantilever against a hard sample (for example, mica), before and after it is used on a soft sample. However, often this method does not work as the optical lever sensitivity is strongly dependent upon a number of factors. These factors include the position and shape of the laser spot and the difficulty in precisely aligning the laser spot on the same position on the cantilever from experiment to experiment. Also, the use of a hard sample cannot be applied if it is the tip/ cantilever that supports the most compliant component of the system (for example, a molecule attached to the cantilever). Another method that relies on the ‘photodiode shift voltage’, a parameter that is very sensitive to the position and shape of the laser of the photodetector, can be used to convert volts of cantilever deflection into metres of deflection [32]. This method ensures that forces can be determined regardless of the compliance of the cantilever relative to any other component in the AFM, and also ensures the preservation of fragile macromolecules, which may be present on the sample or attached to the cantilever.

7.3.6 AFM cantilever calibration AFMs are sensitive to very small forces in the piconewton range. In order to measure these forces accurately, the stiffness of the probe must be

191

192

C H A P T ER 7 : Scanning probe and particle beam microscopy

determined. Stiffness calibration procedures rely on either imposing known forces on the probe, measuring the geometrical and material properties of the probe, or measuring its thermal fluctuations. The cantilever’s spring constant is essentially dependent upon its composition and dimensions [33]. Nominal values listed by manufacturers may be incorrect by an order of magnitude and it is, therefore, necessary to determine the spring constant for each cantilever or for each batch of cantilevers from a wafer [34]. Parameters such as Young’s modulus (related to composition), and cantilever length and thickness, can be used in theoretical equations to calculate a spring constant [35]. However, calculated values can be inaccurate due to the unknown material properties of the cantilever (the stoichiometry of silicon nitride, for example, can vary from Si3N4 to Si5N4 [36]). Furthermore, the measurement of cantilever thickness, which is a dominant parameter in theoretical equations, is extremely difficult. The spring constant depends on the cantilever thickness to the third power, so even small uncertainty in the thickness measurement will result in large variations in the calculated spring constant [37]. An accurate, but often destructive, way to measure spring constant is the added-mass method [38]. In this method beads of known mass are attached to the end of the cantilever. The additional mass causes the cantilever resonant frequency to decrease proportional to the mass. A graph of added mass against resonant frequency yields a straight line with a slope corresponding to the spring constant. A further method to determine the spring constant is the measurement of the force that an AFM imparts onto a surface by measuring the thermal fluctuations of the cantilever – in this method the cantilever is modelled as a simple harmonic oscillator (usually only in one degree of freedom) [39]. With knowledge of the potential energy of the system and applying the equipartition theorem, the spring constant of the cantilever can be calculated from the motion of the cantilever and its surrounding heat-bath temperature. The thermal method has three major problems [40]: (a) higher vibration modes cannot be ignored, (b) the method to measure deflection usually measures the inclination rather than the displacement, and (c) only the first modes are accessible due to the bandwidth limitations of the experiments. For directly traceable measurements of the force an AFM cantilever imparts on a surface, electrostatic balances can be used, but they are very costly and inconvenient (see section 10.3.3). Many of the devices discussed in section 10.3.4 can also be used to measure spring constant when used as passive springs.

Atomic force microscopy

7.3.7 Inter- and intra-molecular force measurement using AFM As discussed previously, the AFM images a sample by sensing and responding to forces between a tip and the sample. Because the force resolution of the AFM is so sensitive (0.1 pN to 1 pN), it is a powerful tool for probing the inter- and intra-molecular forces between two substances. Researchers have taken advantage of this sensitivity to quantify fundamental forces between a sample and some substance linked to the AFM cantilever or tip [41]. The AFM has enabled some truly remarkable advances in the physical sciences due to the sensitivity and ranges of force it can measure. A few examples will be discussed here. A basic understanding of the forces between the AFM tip and the sample is essential for a proper use of the instrument and the analysis of the data. A variety of forces that come into play between the tip and the sample are summarized in Table 7.2. The discussion that follows will focus on contact-mode AFM, which is the most commonly used imaging mode. A recent review highlights the effect of surface forces on dimensional measurements [30]. The total force between the tip and the sample results from the sum of various attractive and repulsive forces, as described below. As a model, consider the Lennard-Jones potential, which describes the change in intermolecular potential energy (f) that occurs as two particles, such as atoms or molecules (on tip and sample), are brought closer together. The model gives    s 12 s6 (7.1) f ¼ 43  r r where s is approximately the atomic or molecular diameter (distance of closest approach), 3 is the minimum value of the potential energy or the depth of the potential energy well, and r is the separation distance [42]. As the particles are brought closer together from relatively distance separations, Table 7.2

Examples of surface forces commonly encountered in AFM measurement

Type of force

Dependence of energy on distance (d)

Energy (kJ$mol1)

Range (nm)

Intra-molecular (ionic or covalent) London dispersion H-bonding Dipoles Electrostatic Van der Waals Solvation Hydrophobic

1/d 1/d 6 1/d 3 1/d 3 ed 1/d ~ed ~ed

100s 1 to 3 15 to 20 5 to 10 10 to 100 1 to 5 1 to 10 1 to 5