Semiconductor Manufacturing Handbook (McGraw-Hill Handbooks)

  • 85 105 1
  • Like this paper and download? You can publish your own PDF file online for free in a few minutes! Sign Up

Semiconductor Manufacturing Handbook (McGraw-Hill Handbooks)

Geng(SMH)_CH01.qxd 04/04/2005 19:31 Page 1.1 Source: SEMICONDUCTOR MANUFACTURING HANDBOOK P ● A ● R ● T ● 1

2,274 1,072 43MB

Pages 871 Page size 490 x 648 pts Year 2007

Report DMCA / Copyright

DOWNLOAD FILE

Recommend Papers

File loading please wait...
Citation preview

Geng(SMH)_CH01.qxd

04/04/2005

19:31

Page 1.1

Source: SEMICONDUCTOR MANUFACTURING HANDBOOK

P



A



R



T



1

SEMICONDUCTOR FUNDAMENTALS AND BASIC MATERIALS

Downloaded from Digital Engineering Library @ McGraw-Hill (www.digitalengineeringlibrary.com) Copyright © 2004 The McGraw-Hill Companies. All rights reserved. Any use is subject to the Terms of Use as given at the website.

Geng(SMH)_CH01.qxd

04/04/2005

19:31

Page 1.2

SEMICONDUCTOR FUNDAMENTALS AND BASIC MATERIALS

Downloaded from Digital Engineering Library @ McGraw-Hill (www.digitalengineeringlibrary.com) Copyright © 2004 The McGraw-Hill Companies. All rights reserved. Any use is subject to the Terms of Use as given at the website.

Geng(SMH)_CH01.qxd

04/04/2005

19:31

Page 1.3

Source: SEMICONDUCTOR MANUFACTURING HANDBOOK

CHAPTER 1

HOW SEMICONDUCTOR CHIPS ARE MADE Hwaiyu Geng Hewlett-Packard Company Palo Alto, California

Lin Zhou Intel Corporation Hillsboro, Oregon

1.1 INTRODUCTION Over the past decades, an information world that encompasses computers, the Internet, wireless communication, and global positioning systems has emerged. The center of this information world is enabled by many tiny integrated circuit (IC) chips embedded in the systems. ICs are used in many walks of life—in sectors including consumer products, home appliances, automobiles, information technology (IT), telecom, medical, military and aerospace applications. Continuous research and development coupled with nanotechnology will make ICs smaller and more powerful. In the foreseeable future, the size of a computer will shrink to the size of a fingernail and reach the practical limit of an IC that is smaller, faster, cheaper, and consumes low power. The semiconductor industry can help drive nanotechnology; thus, they are mutually beneficial.* The evolution from chip to Microelectromechanical Systems (MEMS) combines IC manufacturing and micromachining techniques to install motors, sensors, pumps, valves, or radio receivers and antennas on a chip. MEMS applications encompass IT, entertainment, biological, medical, and automotive sectors.† Similar IC technologies and manufacturing processes can be applied in manufacturing flat panel display.

1.2 WHAT IS A MICROCHIP? Microchips, or chips, are basically made of thousands to millions of transistors packed into a small piece of silicon. A transistor is an electronic switch that contains no moving parts but uses electricity to turn itself on and off. The transistors are wired together, using aluminum or copper, to perform

*

Stephen Marx, “Using Microtechnology to Get to Nanotechnology,” Machine Design, September 2004. Dave Thomas, “Key MEMS Building Blocks,” Solid State Technology, April 2004.



Downloaded from Digital Engineering Library @ McGraw-Hill (www.digitalengineeringlibrary.com) Copyright © 2004 The McGraw-Hill Companies. All rights reserved. Any use is subject to the Terms of Use as given at the website.

1.3

Geng(SMH)_CH01.qxd

04/04/2005

19:31

Page 1.4

HOW SEMICONDUCTOR CHIPS ARE MADE 1.4

SEMICONDUCTOR FUNDAMENTALS AND BASIC MATERIALS

Integrated circuit complexity Transistors per Dle 4G 1965 actual data 1G 2G 512M MOS arrays MOS logic 1975 actual data 256M Pentium? 1975 projection 64M128M P e 16M ntium ? Memory Pen 4 1M 4M tium ? Microprocessor Pen III tium ? Pe 256K ntiu 1 II m? 138 488 TM 8 TM 64K ?028 4K 16K 8 8088 1K 8080 4004

1010 109 108 107 106 105 104 103 102 101 100 1960

FIGURE 1.1

1965

1970

1975

1980

1985

1990

1995

2000

2005

2010

Moore’s law.* (Image Courtesy of Intel Corporation.)

different functions. A transistor is turned on when a low-voltage electrical charge is applied to the gate. This change in the electrical charge on the transistor allows electrons to move from the source to the drain. There are several types of switch technologies—CMOS (complementary metal oxide semiconductor), RF CMOS, silicon germanium (SiGe), BiCMOS, and CMOS on silicon-oninsulator (SOI) technologies.

1.3 MOORE’S LAW Dr. Gordon Moore made his famous observation in 1965 and the press called it Moore’s law. Moore observed and predicted the doubling of transistors in the same size of an IC every two years (Fig. 1.1). Moore’s law has tremendous implications—it motivates and challenges all of us. With a global consumer’s need and an orchestrated and cooperative effort from all industry manufacturers, suppliers, government organizations, consortia, and collaborations between universities and semiconductor industries, we are marching and keeping pace with Moore’s law.1 Intel expects that it will continue at least through the end of this decade.

1.4 HOW CHIPS ARE DESIGNED Once the customer and chip design house agree on the purpose of a chip, the design process commences. A typical design process includes architecture, logics, circuit, verification, layout, test, approval of design, and release to fabrication.

* “No Exponential Is Forever ... but We Can Delay ‘Forever’,” Gordon Moore, International Solid State Circuits Conference, February 10, 2003.

Downloaded from Digital Engineering Library @ McGraw-Hill (www.digitalengineeringlibrary.com) Copyright © 2004 The McGraw-Hill Companies. All rights reserved. Any use is subject to the Terms of Use as given at the website.

Geng(SMH)_CH01.qxd

05/04/2005

18:01

Page 1.5

HOW SEMICONDUCTOR CHIPS ARE MADE HOW SEMICONDUCTOR CHIPS ARE MADE

1.5

1.5 WHERE CHIPS ARE MADE Chips are made in the most sophisticated manufacturing plants in the world, called wafer fabrication facilities or wafer fab. Typically 300-mm fabs cost $2 billion to build and equip. Today, there are over 1000 fabs around the world producing millions of chips everyday. The chip manufacturing process occurs in a cleanroom of a fab. A class-one cleanroom provides the world’s cleanest environment where air is continuously filtered to keep airborne contaminants to not more than one dust per cubic foot. Huge air filtration systems completely change the air in the cleanroom at the rate of about 10 times per minute. In addition to the cleanest air, stringent cleanliness requirements are also followed in the use of chip-making materials, chemicals, and processing equipment. Workers in fabs wear special clothing including gowns called “bunny suits,” hoods, facemasks, glasses, gloves, and shoe covers to avoid contaminating the chips. The temperature, barometric pressure, and humidity are controlled. All these efforts to avoid contamination in fabs are needed to ensure an accepted yield of chips that meet specifications.

1.6 HOW CHIPS ARE MADE Computer chips are built with pure silicon (99.9999999 percent pure) and metals. A silicon seed crystal is dipped in purified molten silicon and is rotated and pulled out as it revolves to grow into a silicon cylinder or ingot* (Fig. 1.2). Once the ingot reaches the desired size, it is prepared, inspected, and tested. The ingot is then sliced into many thin silicon wafers that are then polished. Hundreds of chips are made from each wafer. Chips are made in a precise manufacturing process that involves hundreds of operations being executed layer by layer onto a silicon wafer with constant testing. Chip making involves repeating processes using ultraviolet light, polymers, solvents, and gases. The processes include insulating (silicon dioxide), placing (deposition), patterning (with stencils known as masks), removing (etching), implanting (doping) and diffusion, and depositing interconnection metals (aluminum, copper). Each layer consists of thousands of microscopic components. In the process of building these layers, thousands FIGURE 1.2 Silicon ingot and silicon wafer. to millions of transistors are created and interconnected. (Courtesy of Dooson Company.) When completed, a single wafer will contain hundreds of identical dies (chips) that must pass rigorous testing and are then cut from the wafer. Each chip is then mounted onto a metal or plastic package. The mounted chip undergoes final testing and then is ready to be assembled into final products. Intel’s website illustrates how chips are made in detail.2 1.6.1 Oxidation Layering On a silicon-based wafer, the first thin layer of silicon dioxide (Fig. 1.3(a)) is formed over the complete wafer by exposing the wafer to a high temperature in a furnace. The oxidation temperature is generally in the range of 900–1200°C. The silicon dioxide layer is used to provide insulation to protect the silicon beneath it and to form transistor gates.

*

Doosoon company, www.dooson-inc.com.

Downloaded from Digital Engineering Library @ McGraw-Hill (www.digitalengineeringlibrary.com) Copyright © 2004 The McGraw-Hill Companies. All rights reserved. Any use is subject to the Terms of Use as given at the website.

Geng(SMH)_CH01.qxd

04/04/2005

19:32

Page 1.6

HOW SEMICONDUCTOR CHIPS ARE MADE 1.6

SEMICONDUCTOR FUNDAMENTALS AND BASIC MATERIALS

SiO2

Si-substrate

(a) Add oxidation layer on silicon base material (the right illustration is the cross section from the front side)

Photoresist

SiO2

Si-substrate

(b) Add photoresist layer

(c) Mask for patterning

(d) Pass ultraviolet light through mask

Photoresist

Photoresist

SiO2 Si-substrate

(e) After revealing the mask pattern FIGURE 1.3 Process steps for patterning silicon dioxide: (a) add oxidation layer on silicon base, (b) add photoresist layer, (c) mask for patterning, (d) pass ultraviolet light through mask, (e) after removing photoresist and revealing the mask pattern, ( f ) after etching of silicon dioxide, (g) after removing photoresist.

Downloaded from Digital Engineering Library @ McGraw-Hill (www.digitalengineeringlibrary.com) Copyright © 2004 The McGraw-Hill Companies. All rights reserved. Any use is subject to the Terms of Use as given at the website.

Geng(SMH)_CH01.qxd

04/04/2005

19:32

Page 1.7

HOW SEMICONDUCTOR CHIPS ARE MADE HOW SEMICONDUCTOR CHIPS ARE MADE

Photoresist

1.7

Photoresist

SiO2

SiO2 Si-substrate

(f) After etching of silicon dioxide

SiO2

SiO2 Si-substrate

(g) After removing photoresist FIGURE 1.3

(Continued)

1.6.2 Photoresist Coating The wafer is then uniformly coated with a substance called photoresist (Fig. 1.3(b)), a light-sensitive material that can be processed into a specific pattern after being exposed to ultraviolet light in the shape of the desired pattern. There are two main types of photoresists—negative and positive. When a negative resist is used, the unexposed portion of the photoresist becomes soluble, leaving a negative image. Alternatively when a positive resist is used, the exposed portion of the photoresist becomes soluble. Both positive and negative resists can sometimes be used on a single mask for two steps, making complementary regions available for processing, and therefore reducing the number of masks.3 1.6.3 Patterning Through the process called photolithography, ultraviolet light is then passed through a patterned glass mask, or stencil, onto the silicon wafer (Fig. 1.3(c)). The mask represents one color layer of the integrated circuit from mask blueprints and contains a specific pattern that is to be transferred to the silicon. Assuming a positive photoresist, the mask is transparent in the region that needs to be processed and opaque in the others. When the ultraviolet light exposes the photoresist through the combination of mask and wafer (Fig. 1.3(d)), the photoresist becomes soluble wherever the mask is transparent. After pattern generation, the exposed photoresist is dissolved by spraying the wafer with the developing solvent (Fig. 1.3(e)). This reveals a pattern of the photoresist made by the mask on the silicon dioxide. Then the wafer is hardened at a low temperature so that the remaining photoresist, which can resist the strong acid, is used to etch the exposed oxide layer. 1.6.4 Etching The revealed silicon dioxide is removed through a process called etching, which stops at the silicon surface (Fig. 1.3( f )). There are two main types of etching technologies—wet and dry etching.

Downloaded from Digital Engineering Library @ McGraw-Hill (www.digitalengineeringlibrary.com) Copyright © 2004 The McGraw-Hill Companies. All rights reserved. Any use is subject to the Terms of Use as given at the website.

Geng(SMH)_CH01.qxd

04/04/2005

19:32

Page 1.8

HOW SEMICONDUCTOR CHIPS ARE MADE 1.8

SEMICONDUCTOR FUNDAMENTALS AND BASIC MATERIALS

(a) Add second silicon dioxide layer

(d) Pass ultraviolet light through mask

(b) Add polysilicon layer

(e) After etching of polysilicon and silicon dioxide layers

(c) Add photoresist layer

(f) After removing photoresist

FIGURE 1.4 Process steps for patterning a polygon layer: (a) add second silicon dioxide layer, (b) add polysilicon layer, (c) add photoresist layer, (d ) pass ultraviolet light through mask, (e) after etching of silicon dioxide, ( f ) after removing photoresist.

Wet etching removes the areas that are not covered by photoresist with liquids, including many different types of acids, bases, and caustic solutions, as a function of the material that is to be removed.3 In dry etching, or plasma etching, the reactive ionized gas is used in place of a wet-etching solution. Dry etching provides a higher resolution than wet etching, and therefore has emerged to replace wet chemistry approaches in modern semiconductor manufacturing.4 After silicon dioxide etching, the rest of the photoresist is removed (Fig. 1.3(g)) in a photoresist stripper by using either a solvent or plasma oxidation. This process leaves ridges of silicon dioxide on the silicon wafer base with the same pattern as the image on the mask. Figure 1.3 illustrates the Downloaded from Digital Engineering Library @ McGraw-Hill (www.digitalengineeringlibrary.com) Copyright © 2004 The McGraw-Hill Companies. All rights reserved. Any use is subject to the Terms of Use as given at the website.

Geng(SMH)_CH01.qxd

04/04/2005

19:32

Page 1.9

HOW SEMICONDUCTOR CHIPS ARE MADE HOW SEMICONDUCTOR CHIPS ARE MADE

1.9

process steps of how to transfer a pattern onto the silicon wafer. The sequence of the process steps patterns exactly one layer of the semiconductor material, and the same sequence patterns the layer of the complete surface of the wafer. Thus, hundreds of millions of patterns are transferred to semiconductor surface simultaneously. 1.6.5 Layering To introduce a polygon layer, a second and thinner layer of silicon dioxide is grown from thermal oxidation as before over the ridges and etched areas of the wafer base (Fig. 1.4(a)). Then a layer of polysilicon (Fig. 1.4(b)) and another layer of the photoresist (Fig. 1.4(c)) are evenly spread on the wafer. The photolithography process is applied to define the polygon region. Ultraviolet light exposes the photoresist through a second mask, leaving a new pattern for polysilicon on the photoresist (Fig. 1.4(d)). The photoresist is dissolved with a solvent to expose the polysilicon and silicon dioxide, which are then etched away with chemicals (Fig. 1.4(e)). After the remaining photoresist is removed (Fig. 1.4( f )), ridges of polysilicon and silicon dioxide are left on the polygon region. Figure 1.4 illustrates the process steps of how to transfer another polysilicon layer on the previous layer. A similar process will be repeated over and over again with each mask to pattern different layers of deposited materials. During this manufacturing process, conductive regions are formed and insulated from each other. Later they are selectively connected to each other to produce an integrated circuit. 1.6.6 Doping: Diffusion and Ion Implantation Many steps in the IC manufacturing process require a change in the dopant concentration of some areas to make them more conductive. Two approaches are used to introduce dopants—diffusion and ion implantation. Diffusion implantation is performed by either exposing the wafer to a high-temperature environment of dopant vapor (gaseous diffusion) or predepositing dopant ions on the surface and then thermally driving them in by high-temperature processing (nongaseous diffusion). The final concentration is greatest at the surface and decreases deeper in the material. Ion implantation is performed by bombarding the exposed areas of the silicon wafer with various chemical impurities called ions. With an implanter, ions are accelerated and implanted into the top layer of the silicon wafer just below the surface, altering conductivity in these areas. Figure 1.5 illustrates the exposed area before and after ion implantation. The acceleration of the ions determines how deep they will penetrate the material, while the exposure time determines the dosage. Therefore ion implantation provides a better controlled doping mechanism than diffusion. This is the reason doping technology has shifted from diffusion process to high-energy ion implantation in modern semiconductor manufacturing.4

(a) Before ion implantation FIGURE 1.5

(b) After ion implantation

Ion implantation: (a) before ion implantation, (b) after ion implantation.

Downloaded from Digital Engineering Library @ McGraw-Hill (www.digitalengineeringlibrary.com) Copyright © 2004 The McGraw-Hill Companies. All rights reserved. Any use is subject to the Terms of Use as given at the website.

Geng(SMH)_CH01.qxd

04/04/2005

19:32

Page 1.10

HOW SEMICONDUCTOR CHIPS ARE MADE 1.10

SEMICONDUCTOR FUNDAMENTALS AND BASIC MATERIALS

(a) Add silicon dioxide layer

(d) After etching of silicon dioxide and creating contact holes

(b) Add photoresist layer

(e) After removing photoresist

(c) Pass ultraviolet light through mask

(f) Add metal layer to fill the contact holes

(g) After patterning and etching FIGURE 1.6 Process steps of depositing interconnect metal: (a) add silicon dioxide layer, (b) add photoresist layer, (c) pass ultraviolet light through mask, (d ) after etching of silicon dioxide and creating contact holes, (e) after removing photoresist, ( f ) add metal layer to fill the contact holes, (g) after patterning and etching.

Downloaded from Digital Engineering Library @ McGraw-Hill (www.digitalengineeringlibrary.com) Copyright © 2004 The McGraw-Hill Companies. All rights reserved. Any use is subject to the Terms of Use as given at the website.

Geng(SMH)_CH01.qxd

04/04/2005

19:32

Page 1.11

HOW SEMICONDUCTOR CHIPS ARE MADE HOW SEMICONDUCTOR CHIPS ARE MADE

1.11

1.6.7 Depositing Interconnection Metals To connect different layers, similar layering and patterning processes are repeated (Fig. 1.6(a)–(c)). A mask is used to define contact holes allowing for the connections to be made between layers. After the etching of the silicon dioxide in the exposed area (Fig. 1.6(d)) and the removal of the remaining photoresist (Fig. 1.6(e)), the contact holes are created. A combination of tungsten and aluminum is then deposited onto the whole wafer to fill the contact holes under high-vacuum conditions (Fig. 1.6(f)). Another patterning and etching stage defines a contact pattern and leaves strips of the metal to make the electrical connections (Fig. 1.6(g)). In sophisticated circuits, the interconnect wiring is so complex that it is impossible to complete within a single layer. Approximately 20 layers are connected to form an IC in a three-dimensional structure. The exact number of layers on a wafer depends on the complexity of the design. After all the desired levels are in place, a final layer of insulation is deposited to protect the fragile aluminum wiring. In the last photolithograph step, small pads along the edge of the microchip are left exposed. These aluminum pads are the contact points between the microscopic wiring of the IC and the outside world. 1.6.8 Testing In the previous example a simplified process is completed to manufacture a tiny portion of a microchip. In reality, making a chip is much more complex. The complete run of the manufacturing process might involve hundreds of individual steps and take weeks to complete. Identical chips, or dies, are created in batches on a single wafer, and each finished wafer may contain hundreds of actual chips. A chip on a finished wafer is illustrated in Fig. 1.7. Despite the caretaken in the fabrication process, not all the chips on the wafer work. Automated methods are used to test the microscopic circuitry of each device on the wafer before it is cut into individual chips with a diamond saw, separating the chips on the wafer. 1.6.9 Packaging Each chip is then inserted into a protective package that provides mechanical support and an electrical connection interface with other external devices. The tiny chip is mounted on a metal frame, and thin gold wires connect the individual pin on the frame to the small aluminum pad on the chip. Thus, the pins on the metal frame are attached to the microscopic circuitry. To further protect the chip and its fragile wire bonds, the chip is encapsulated in hard plastic. Packaging also removes the heat generated by the circuit and protects the chip against environmental conditions such as humidity.3 The type of package depends on the type of microprocessor and its usage (Fig. 1.8).

FIGURE 1.7

Each square represents a chip on a finished wafer.

Downloaded from Digital Engineering Library @ McGraw-Hill (www.digitalengineeringlibrary.com) Copyright © 2004 The McGraw-Hill Companies. All rights reserved. Any use is subject to the Terms of Use as given at the website.

Geng(SMH)_CH01.qxd

04/04/2005

19:32

Page 1.12

HOW SEMICONDUCTOR CHIPS ARE MADE 1.12

SEMICONDUCTOR FUNDAMENTALS AND BASIC MATERIALS

FIGURE 1.8

Packaged microprocessors.

Each packaged chip is loaded on the testing board to test one more time, marking the last step in the chip-making process. The chips would be electrically stressed far beyond normal conditions, so weak chips are forced to fail before they are put into customers’ products. The chips are now ready for shipping to companies that will use them to make everyday items.

REFERENCES 1. Hammond, M. L., “Moore’s Law: The First 70 Years,” Semiconductor International, 2004. 2. Intel, Inc., http://www.intel.com/education/makingchips. 3. Rabaey, J. M., A. Chandrakasan, and B. Nikolic, Digital Integrated Circuits, pp. 33–55, 2d ed., Prentice-Hall, New Jersey, 2002. 4. Elliott, D., Microlithography Process Technology for IC Fabrication, pp. 311–350, McGraw-Hill, New York, 1986.

Downloaded from Digital Engineering Library @ McGraw-Hill (www.digitalengineeringlibrary.com) Copyright © 2004 The McGraw-Hill Companies. All rights reserved. Any use is subject to the Terms of Use as given at the website.

Geng(SMH)_CH02.qxd

04/04/2005

19:33

Page 2.1

Source: SEMICONDUCTOR MANUFACTURING HANDBOOK

CHAPTER 2

IC DESIGN Ilsun Park Advanced Micro Devices Austin, Texas

2.1 INTRODUCTION In 1947, Drs. John Bardeen, Walter Brattain, and William Shockley discovered the transistor effect while working as researchers for Bell Labs. Prior to the invention of the transistor, bulky, unreliable vacuum tubes were used in electronic circuits. Eleven years later, Jack S. Kilby, an engineer at Texas Instruments, and Robert Noyce, cofounder of Fairchild Semiconductor, took the idea of miniaturizing circuits one step further and created the integrated circuit. The first commercially available integrated circuit was available in 1961, and comprised one transistor, one capacitor, and three resistors and was the size of a postage stamp. Forty years later, the AMD Opteron processor die had over 100 million transistors in an area smaller than a fingernail.

2.2 TYPES OF ICs The first integrated circuit (IC) was used in missile guidance systems and pocket calculators. Today, there are many different applications of integrated circuits. Dynamic random access memory (DRAM) is used in most personal computers due to low cost and small size. Each data bit is stored in a separate capacitor that leaks charge over time and thus needs to be refreshed periodically to keep its value. Unlike DRAM, a static random access memory (SRAM) cell will retain its value as long as power is applied. This is accomplished using transistors and is thus more expensive and harder to produce than DRAM. SRAM is generally faster than DRAM. An electrically erasable programmable read-only memory (EEPROM) is a nonvolatile memory that can be erased and reprogrammed electrically. The EEPROM can store data even when there is no power applied to it. An EEPROM can be rewritten a limited number of times, but can be read any number of times. The microprocessor is the largest and the most complex example of an IC. Often referred to as a central processing unit (CPU), the microprocessor is designed to perform many different functions. A microprocessor comprises many different units, including an arithmetic logic unit, a floating point calculation unit, and cache memory. An application specific integrated circuit (ASIC) is an IC that is designed for a specific task or purpose, unlike the microprocessor. Because custom ASICs can be very costly to design and produce, many designers will often substitute a field programmable gate array (FPGA) for smaller

Downloaded from Digital Engineering Library @ McGraw-Hill (www.digitalengineeringlibrary.com) Copyright © 2004 The McGraw-Hill Companies. All rights reserved. Any use is subject to the Terms of Use as given at the website.

2.1

Geng(SMH)_CH02.qxd

04/04/2005

19:33

Page 2.2

IC DESIGN 2.2

SEMICONDUCTOR FUNDAMENTALS AND BASIC MATERIALS

designs. An FPGA is a reprogrammable logic device that uses gate arrays to implement the user’s design. A very common type of ASIC is a digital signal processor (DSP). This is a microprocessor that is usually designed to measure or manipulate a continuous real-world analog signal in a digital domain. The analog signal coming into the DSP is converted into a digital representation by the DSP. When leaving the IC, the digital signal can pass through a digital-to-analog converter and be output as an analog signal.

2.3 THE P-N JUNCTION Silicon itself is a semiconductive element and is not suitable for conducting current on its own. However, when impurities such as gallium, boron, or aluminum are added, thereby doping the silicon, a deficiency of electrons is created in the silicon, giving it a positive bias. The absence of an electron in the silicon molecule is commonly called a “hole.” This type of doped silicon is commonly referred to as p-type. Similarly, if silicon is doped with an impurity such as arsenic or antimony, then an excess of free electrons is created, thus creating a negative bias. This type of doped silicon is known as n-type. When p- and n-type silicon are placed in contact with each other, the junction of these two materials allows electric current to flow in one direction from p to n, but not in the other. This p-n junction is the basic building block of many types of electronic devices such as the diode and the transistor.

2.4 THE TRANSISTOR If three regions of doped silicon are placed in contact with each other (e.g., p-n-p or n-p-n), a small current applied to the center region (commonly called the base) that is doped opposite of the two other regions can be used to control a much larger current traveling between the two regions (commonly called the emitter and collector regions) that are doped opposite of the base of the npn or pnp junctions. This phenomenon is referred to as the transistor effect. With this effect, the base now acts as a current switch for turning the transistor’s emitter-collector junction on or off. In normal use, a small current differential between the base-emitter junction will cause an amplification at the collector terminal. This type of npn or pnp transistor is referred to as a bipolar junction transistor (BJT) (Fig. 2.1). The transistor that was invented at Bell Labs in 1948 was a BJT. BJTs are commonly used as current amplifiers, switches, and in analog circuits. They offer high gain and low output impedance, but dissipate a high amount of power. A schematic representation for BJTs—npn and pnp transistors— is shown in Fig. 2.2.

Base

Emitter

Collector

Metal

SiO2

n p Si

n FIGURE 2.1

npn BJT transistor.

Downloaded from Digital Engineering Library @ McGraw-Hill (www.digitalengineeringlibrary.com) Copyright © 2004 The McGraw-Hill Companies. All rights reserved. Any use is subject to the Terms of Use as given at the website.

Geng(SMH)_CH02.qxd

04/04/2005

19:33

Page 2.3

IC DESIGN IC DESIGN

Collector

Collector

p Base

2.3

n Base

n p

p n

Emitter

Emitter

C

C

B

B

E FIGURE 2.2

E

BJTs—npn and pnp—symbolic representation.

Another type of transistor, and one that is used in a majority of digital integrated circuits today, is called the metal-oxide-semiconductor-field-effect transistor (MOSFET). Instead of using the notation of base, collector, and emitter, the MOSFET uses the notation of gate, source, and drain (see Fig. 2.3). By adding a layer of SiO2 to provide insulation between the metal contacts, the gate input impedance is greatly increased over that of the base input impedance of the BJT, allowing for much lower power consumption than BJT transistors. A MOSFET that is configured in an n-p-n format similar to Fig. 2.3 is often referred to as an “n channel” MOSFET because a small channel of electrons will form between the two n substrates when an electrical stimulus is applied. Similarly, a MOSFET that has two p substrates embedded in an n substrate (p-n-p) is referred to as a “p channel” MOSFET, due to the creation of a small channel of holes. When MOSFETs are combined in certain configurations, the circuits can perform boolean logic functions. By using both n and p channel MOSFETs in the same circuit, there is almost no

Metal Drain

Gate

Source SiO2

n

n Si

p FIGURE 2.3

n-channel MOSFET.

Downloaded from Digital Engineering Library @ McGraw-Hill (www.digitalengineeringlibrary.com) Copyright © 2004 The McGraw-Hill Companies. All rights reserved. Any use is subject to the Terms of Use as given at the website.

Geng(SMH)_CH02.qxd

04/04/2005

19:33

Page 2.4

IC DESIGN 2.4

SEMICONDUCTOR FUNDAMENTALS AND BASIC MATERIALS

static power dissipation. Power is dissipated only when the circuit switches from 0 to 1 or vice versa. The combination of n- and p-channel MOSFETs in one device is referred to as complementary meta oxide semiconductor (CMOS) technology. s The combination of BJT and CMOS technologies is g referred to as BiCMOS technology. This technology is still pmos under active research, but shows much promise for amplifier and discrete logic component designs, due to the high input resistance of the MOSFET and the low output resistance of d the BJT. Using CMOS technology, low-power boolean logic gates Vin Vout can be created. An example of an inverter created using an N-channel metal oxide semiconductor (NMOS) and a P-channel s metal oxide semiconductor (PMOS) transistor is shown in g Fig. 2.4. When Vin = 0 (Gnd), the PMOS source to the drain nmos channel is switched on while the NMOS source to the drain channel switches off. This causes Vout = Vcc. d There are seven fundamental logic gates—NOT, AND, OR, NAND, NOR, XOR, and XNOR. These gates comprise the building blocks of the majority of ICs. Figure 2.5 shows each Gnd gate in its symbolic schematic representation. FIGURE 2.4 CMOS inverter. An IC that is designed from the gate level up is described as a bottom-up design. Conversely, a design can be modeled behaviorally at a high level and coded in a hardware description language (HDL). This is then converted into a register transfer level (RTL) code, from which gates are synthesized. This type of design methodology is described as top-down. Because modern designs are becoming increasingly complex with millions of gates, it is often impossible to use a bottom-up methodology for an IC design. Vcc

a

n

a b

NOT

n NAND

a b a b

n NOR

n AND a b

n XOR

a b

n OR

a b

n XNOR

FIGURE 2.5

Schematic representation of boolean logic gates.

Downloaded from Digital Engineering Library @ McGraw-Hill (www.digitalengineeringlibrary.com) Copyright © 2004 The McGraw-Hill Companies. All rights reserved. Any use is subject to the Terms of Use as given at the website.

Geng(SMH)_CH02.qxd

04/04/2005

19:33

Page 2.5

IC DESIGN IC DESIGN

2.5

2.5 DESIGNING AN IC A typical top-down IC design flow is shown in Fig. 2.6. The shaded steps are verification steps. The first step, and possibly the most important, is the creation of the device specification. The specification describes the architecture, functionality, and interfaces of the device. A typical device structure example is shown in Fig. 2.7. In this example, the device to be designed is an accelerated graphics Specification port (AGP) bus stimulator. It contains three different types of architectures—universal serial bus (USB) slaves, AGP master, and peripheral component interconnect (PCI) slave. The interfaces to Behavioral model the outside world are denoted by arrows. The specification must be detailed enough to follow when the HDL or RTL coding begins. Experienced engineers should be consulted during the specification process, as changes to the speciRTL model fication during the designing process can result in significant delays. If care is taken during the specification procedure, implementation mistakes in later phases of the design flow can be easily spotFunctional verification ted and rectified. When designing an IC, there are many things that have to be taken into consideration. What sort of architecture and interfaces are going to be used? Should the IC be designed to optimize for Synthesis speed, area, or power? Can parts of the IC use an existing design? And should the IC be designed for reuse in a later project? In addition to these issues, design testing methodologies (both simulation Logical verification and physical silicon), HDL, computer aided design (CAD), and layout tools that are to be used must be determined before HDL coding begins. The specification period is also when development costs, proPlace and route duction costs, and project schedule time should be determined. Once a device specification is created, a behavioral model of the IC can be designed. The behavioral model is used to simulate the funcLayout verification tionality and performance laid out by the device specification, before it is converted to RTL. A behavioral model is typically written in an HDL and cannot be synthesized into actual gates. Implementation However, there are certain behavioral synthesis tools that now aid in converting a behavioral model into RTL code. The behavioral model should be able to interface to any other blocks in the design. FIGURE 2.6 IC design flow. The behavioral model is then converted to RTL. This step is done manually by the designer, who must create a cycle accurate, fully clock drive, fully functional model. The RTL becomes the input for the synthesis tool that marks the point in the design flow in which CAD tools must then be used. Most of the manual design work is concentrated on optimizing the RTL for the synthesis tool. Downloaded from Digital Engineering Library @ McGraw-Hill (www.digitalengineeringlibrary.com) Copyright © 2004 The McGraw-Hill Companies. All rights reserved. Any use is subject to the Terms of Use as given at the website.

Geng(SMH)_CH02.qxd

04/04/2005

19:33

Page 2.6

IC DESIGN 2.6

SEMICONDUCTOR FUNDAMENTALS AND BASIC MATERIALS

USB Interface to Host Controller

USB slave

AGP bus stimulator IC

AGP master

PCI slave

AGP interface to Target

PCI interface to Target

FIGURE 2.7

AGP bus stimulator block design example.

Synthesis is the process of converting the RTL design into a gate-level design. Due to the complexity of modern RTL designs, this step must be done with the aid of a computer. The synthesis tool creates a list of gates and nets from the RTL design. This “netlist” is then input into another tool, which will place the gates and route the nets according to constraints and optimizations specified by the designer. This output from the place and route tools becomes the physical layout of the integrated circuit. A modular design must be created in such a way that any type of model can be interfaced with any other type of model. For instance, a block in the RTL model phase must still be able to interface to a different block that is in the behavioral model phase. By doing this, simulation and testing can occur even when different portions of the IC are in different design phases. Verification of a design is done by means of a test bench. A test bench is generally coded using an HDL and should be able to interface to behavioral or RTL code or synthesized gates. The test bench usually encloses the device under test, and stimulates the behavioral, RTL, and gate-level designs with vectors. A successful set of vectors should verify the functionality of the design completely. The set of test vectors should also be able to detect common gate-level hardware faults. The test bench should not be coded by the same engineering team that designs the IC. More information about IC verification and test bench writing can be found in Ref. 1.

2.6 FUTURE TRENDS AND ISSUES IN IC DESIGN As IC technology progresses, the size of the transistor is decreasing, while the amount of logic in a design increases. Because HDLs, logic synthesis tools, and place and route CAD tools have become more sophisticated, it is now possible to create large, complex digital designs. However, as design Downloaded from Digital Engineering Library @ McGraw-Hill (www.digitalengineeringlibrary.com) Copyright © 2004 The McGraw-Hill Companies. All rights reserved. Any use is subject to the Terms of Use as given at the website.

Geng(SMH)_CH02.qxd

04/04/2005

19:33

Page 2.7

IC DESIGN IC DESIGN

2.7

complexity and gate count increase, power and heat dissipation begin to become a serious issue. As transistor features sizes shrink to submicron sizes, new and challenging electrical problems begin to emerge. Designers must keep these issues in mind when creating ICs. For the past 40 years, IC design has been steadily moving away from manual, gate-level schematic entry and continues to do so. CAD tools and HDLs are making it easier to develop complex ICs, and as these tools improve and become more sophisticated, are allowing for nearly optimal IC implementations.

BIBLIOGRAPHY Miczo, A., Digital Logic Testing and Simulation, 2d ed., New York: Wiley, July 2003, p. 696. Christiansen, J., IC Design Methodology, CERN, 2002, http://humanresources.web.cern.ch/humanresources/ external/training/tech/special/ELEC-2002/ELEC-2002_23Apr02_1_PDF.pdf. Keating, M., and P. Bricaud, Reuse Methodology Manual, New York: Kluwer Academic Publishers, 2002. Palnitkar, S., Verilog® HDL: A Guide to Digital Design and Synthesis, Mountain View, CA: Sunsoft Press, 1996. Sternheim, E., et al., Digital Design and Synthesis with Verilog® HDL, San Jose, CA: Automata Publishing, 1993. Streetman, B., Solid State Electronic Devices, Upper Saddle River, NJ: Prentice-Hall, 1999.

Downloaded from Digital Engineering Library @ McGraw-Hill (www.digitalengineeringlibrary.com) Copyright © 2004 The McGraw-Hill Companies. All rights reserved. Any use is subject to the Terms of Use as given at the website.

Geng(SMH)_CH02.qxd

04/04/2005

19:33

Page 2.8

IC DESIGN

Downloaded from Digital Engineering Library @ McGraw-Hill (www.digitalengineeringlibrary.com) Copyright © 2004 The McGraw-Hill Companies. All rights reserved. Any use is subject to the Terms of Use as given at the website.

Geng(SMH)_CH03.qxd

04/04/2005

19:34

Page 3.1

Source: SEMICONDUCTOR MANUFACTURING HANDBOOK

CHAPTER 3

SILICON SUBSTRATES FOR SEMICONDUCTOR MANUFACTURING K. V. Ravi Intel Corporation Santa Clara, California

3.1 INTRODUCTION The exponential growth of semiconductor electronics based on silicon technology is well known. A fundamental factor that has enabled this remarkable evolution of the technology and the inexorable progression of Moore’s law is a result of the unique properties of silicon. In this chapter an overview of silicon substrate technology will be presented. Following a brief discussion of the key properties of silicon, which make it the preeminent semiconductor material, the approaches for the manufacture of silicon wafers will be reviewed. A discussion of various semiconductor substrates, either currently in use or contemplated to be used to extend Moore’s law, will form the major portion of the chapter. Silicon wafers or substrates are most commonly used as active semiconductors in which electronic devices of various kinds are manufactured. An increasing trend is the use of silicon substrates as passive or semipassive materials, not participating electronically in the functioning of devices or circuits, but functioning as lowcost, high-volume substrates for either silicon films or other semiconducting, optical, mechanical, or magnetic materials. This is likely to be an increasing trend in the future where high-cost materials that are not easily manufactured as large area substrates are mated with silicon substrates using innovative wafer bonding or hetroepitaxial technologies. Such approaches may also be utilized in combining the electronic functions of silicon with, for example, optical functions of optoelectronic materials such as gallium arsenide or indium phosphide.

3.2 KEY ATTRIBUTES OF SILICON AS A SUBSTRATE MATERIAL Silicon enjoys a number of unequalled properties and characteristics that have made it the pervasive semiconductor material. While an exhaustive discussion of these characteristics would not be appropriate in this brief review, the major characteristics of silicon are briefly reviewed.

Downloaded from Digital Engineering Library @ McGraw-Hill (www.digitalengineeringlibrary.com) Copyright © 2004 The McGraw-Hill Companies. All rights reserved. Any use is subject to the Terms of Use as given at the website.

3.1

Geng(SMH)_CH03.qxd

04/04/2005

19:34

Page 3.2

SILICON SUBSTRATES FOR SEMICONDUCTOR MANUFACTURING 3.2

SEMICONDUCTOR FUNDAMENTALS AND BASIC MATERIALS

TABLE 3.1 Electronic Function of Silicon Physical properties Band gap, eV Relative dielectricconstant Thermal conductivity, W/cm-K Lattice electron mobility, V/cm2 Lattice hole mobility, V/cm2 Breakdown electric field, V/cm

1.45 11.7 1.45 1350 480 3 X 5e10

3.2.1 Electronic and Thermal Properties Table 3.1 lists the relevant properties that determine the electronic function of silicon. 3.2.2 Availability Silicon is the second most abundant element in the Earth’s crust, most commonly occurring as its oxide with quartzite being the common ore of silicon from which elemental silicon is extracted. 3.2.3 Manufacturability Perhaps the most attractive aspect of silicon is the sophisticated manufacturing technology that has been developed for converting the naturally occurring ore of silicon (quartz or silicon dioxide) into very high-quality, large-area, single crystal substrates for the manufacture of current day advanced semiconductor products. Two major developments over the years have been a continuing reduction in the levels of heavy metals in the wafers and continuing advances in wafer flatness which in turn has facilitated advanced lithography for the printing of ever finer features on the wafers. 3.2.4 Wafer Diameter The need to enhance manufacturing productivity has motivated increases in the wafer diameter. As the wafer area is increased by more than two times, the cost of a new tool set for wafer fabrication is found to increase only by 30 to 40 percent and the total cost per area of a processed wafer is found to decrease by 30 to 50 percent. Since this is a major activity that has to address the growth—and conversion into wafers—of larger diameter ingots and the development of a full complement of wafer processing equipment, the transition in wafer diameters took approximately a decade. A transition to 200 mm wafers, from 150 mm diameter wafers, occurred at around 1990 and the initial conversion to 300 mm wafers began haltingly in 1999 and is currently accelerating. The International Technology Roadmap for Semiconductors (ITRS) projects the next transition to 450 mm in about 2011 to 2012,1 roughly 10 years from the 300-mm conversion. However, the transition to 450 mm ingots is a major step with new physical limitations for the cost-effective growth of such large ingots. This is discussed further later in this chapter. 3.2.5 Cost The cost per unit area of silicon has, roughly, remained the same with the transition from 150 to 200 mm diameter wafers. However, the transition to 300 mm has increased the unit cost relative to 200 mm wafers by roughly 50 to 75 percent. This increase in cost as a result of scaling is attributable to increased equipment costs, such as crystal growth equipment, as well as reduced productivity, particularly in crystal growth, due to fundamental limits to achievable growth rate as crystal diameter is increased. Despite the higher costs of larger diameter wafers, on a total cost basis, the transition to larger wafers makes excellent economical sense in integrated circuit manufacture. For example, a 300-mm wafer will enable

Downloaded from Digital Engineering Library @ McGraw-Hill (www.digitalengineeringlibrary.com) Copyright © 2004 The McGraw-Hill Companies. All rights reserved. Any use is subject to the Terms of Use as given at the website.

Geng(SMH)_CH03.qxd

04/04/2005

19:34

Page 3.3

SILICON SUBSTRATES FOR SEMICONDUCTOR MANUFACTURING SILICON SUBSTRATES FOR SEMICONDUCTOR MANUFACTURING

3.3

approximately 2.5 times the number of die to be fabricated as compared to a 200-mm wafer at a total increase in cost, including all materials and capital, of less than 1.5 times.

3.3 THE BASICS OF SILICON WAFER MANUFACTURE The literature is replete with information on the processes used for the manufacture of silicon wafers. Consequently, only a brief outline of the manufacturing process will be discussed here for the sake of completeness. The raw material for silicon wafer manufacture is high-quality, semiconductor-grade polysilicon. This is manufactured by reducing quartz of quartzite by carbon in arc furnaces. The resulting material, metallurgical-grade silicon, is purified to semiconductor-grade silicon by a series of halogenation and fractional distillation processes. Typically the silicon is converted into trichloro silane in a fluidized bed by reaction with anhydrous hydrogen chloride (HCl). When anhydrous HCl reacts with metallurgical-grade silicon, a number of reaction products result. These include SiHCl3, SiCl4, H2, and several metallic halides such as AlCl3, BCl3, and FeCl3. Trichloro silane is fractionally distilled from the impurity halides to result in a very high purity compound. Trichloro silane is thermally decomposed into silicon and HCl in the presence of hydrogen in a reactor in which a heated thin rod of silicon (and sometimes molybdenum) is utilized for the deposition of silicon. The resulting polysilicon rods are broken up into smaller pieces to load into a crucible for crystal growth. The most widely used process of crystal growth is the Czochralski or the ingot-growth process in which semiconductor-grade polysilicon is melted in a quartz crucible contained within a chamber with a controlled atmosphere. A seed crystal, typically less than 1 cm in diameter, is contacted with the silicon melt and slowly withdrawn vertically resulting in the melt in contact with the seed solidifying into a single crystal. In this process very careful temperature control is exercised such that the increase in the temperature of the solid-liquid interface, resulting from the latent heat of solidification, is compensated for by a reduction in the power input to the system and hence its temperature. Another important process for achieving dislocation free crystals is a process through which, after the initial growth of a short segment of the crystal, the crystal diameter is decreased followed by an increase in the ingot diameter. This local decrease in the diameter, called necking, causes thermal shock induced dislocations in the growing crystal to grow out of the crystal at the neck with the subsequently grown crystal being dislocation free. The final diameter of the ingot is achieved gradually to prevent stress introduction and to control the diameter. Following the growth of an ingot of a desired length, the pull rate is increased quickly to detach the crystal from the remaining melt in the crucible. Figure 3.1 shows an example of a contemporary 300-mm silicon ingot. Following ingot growth, the seed and tang ends—the ends of the ingot that do not meet the diameter requirements—are cut away, the ingot is centerless ground to the exact diameter, and an orientation notch (and sometimes a flat) is ground into the ingot using x-ray techniques for orientation determination and alignment. The ingot is now sliced into individual wafers using multiwire saws and is subsequently subjected to a series of grinding, lapping, and polishing operations to result in the final, very high quality wafers. Wafer fabrication operations are highly automated with an emphasis on quality with regard to particles and wafer flatness.

3.4 SILICON AS A SUBSTRATE MATERIAL Silicon wafers have evolved substantially over the past couple of decades. The evolution has proceeded along two directions—evolution in diameter with current state-of-the-art wafers being 300 mm in diameter and evolution in complexity in response to the increasing demand for more functionality of the material. Figure 3.2 is an illustration of the evolution of silicon substrates in both these attributes. In this section both these evolutionary paths will be discussed.

Downloaded from Digital Engineering Library @ McGraw-Hill (www.digitalengineeringlibrary.com) Copyright © 2004 The McGraw-Hill Companies. All rights reserved. Any use is subject to the Terms of Use as given at the website.

Geng(SMH)_CH03.qxd

04/04/2005

19:34

Page 3.4

SILICON SUBSTRATES FOR SEMICONDUCTOR MANUFACTURING 3.4

SEMICONDUCTOR FUNDAMENTALS AND BASIC MATERIALS

FIGURE 3.1 An example of a 300-mm ingot. The seed crystal is shown at the right and the crystal diameter is gradually increased to the full diameter. On the left is shown the last portion of the ingot to solidify. The sections of the ingot, called the seed and the tang ends are cut off and the segment of the right circular cylinder is subsequently centerless ground to the exact diameter and sliced into wafers.

The evolution of silicon substrates 1990

2000

2011

200 mm

300 mm

450 mm Evolution in diameter (productivity)

Bulk substrates Epitaxial wafers Silicon-on-insulator (SOI) wafers Strained silicon wafers Strained silicon on insulator wafers

Evolution in functionality (complexity)

Germanium on silicon and on oxide on silicon Compound semiconductors on silicon Silicon on diamond wafers FIGURE 3.2 Schematic illustration of the evolutionary paths in silicon wafer development. Wafer diameter increases are motivated by economics of manufacturing and increasing functionality of the wafers enables new capabilities.

Downloaded from Digital Engineering Library @ McGraw-Hill (www.digitalengineeringlibrary.com) Copyright © 2004 The McGraw-Hill Companies. All rights reserved. Any use is subject to the Terms of Use as given at the website.

Geng(SMH)_CH03.qxd

04/04/2005

19:34

Page 3.5

SILICON SUBSTRATES FOR SEMICONDUCTOR MANUFACTURING SILICON SUBSTRATES FOR SEMICONDUCTOR MANUFACTURING

3.5

3.4.1 Silicon as an Active Substrate Material A large class of devices is manufactured using polished silicon wafers as substrate materials. These include many discrete devices such as transistors, power and RF devices, and, very importantly, dynamic random access memories (DRAMs). As is well known, silicon wafers can be controllably doped during crystal growth and the wafers in turn can be selectively doped using gas phase diffusion or ion implantation processes for the manufacture of a large complement of complex devices and circuits. Polished silicon wafers represent the lowest cost version of this material for both discrete-device and integrated circuit manufacture. A key aspect of polished silicon wafers for the manufacture of advanced integrated circuits is the need for a preprocess step, prior to device fabrication, which modifies the surface and near surface characteristics of the wafer. Since silicon crystal growth is done by melting silicon in a quartz crucible, a necessary accompaniment to this process is the dissolution of the quartz in the molten silicon and the attendant incorporation of oxygen in the crystal. The subsequently sliced and polished wafers will have a distribution of oxygen throughout the bulk as well as at the surface. The key effects of oxygen are as follows: • Interstitial oxygen is a donor in silicon, altering the electrical characteristics of the material. Annealing the ingots can cause the oxygen to move from the interstitial, electrically active, locations in the crystal, thus eliminating the electrical effects. A donor anneal process is a frequent step following the production of the ingot. • Oxygen at the surface of the wafer can introduce adverse effects in shallow p-n junctions and at the silicon-silicon dioxide interface, causing excessive leakage, premature breakdown, a compromise in the integrity of gate oxides, and a variation of the electrical parameters across the wafer. • Oxygen, when converted into oxide precipitates (silicon dioxide) can function as internal gettering agents to attract and trap fast-diffusing heavy metals in wafers. However, this has to be achieved in such a way that oxide precipitates are not present at the surface as their presence would have severe negative electrical consequences in devices. In addition to oxygen another defect that has to be dealt with is commonly referred to as crystal originated particles or pits (COPS). COPS are aggregates of vacancies or vacancy clusters in the crystal formed as a consequence of the particular process attendant during solidification of the melt. Figure 3.3 shows a transmission electron micrograph of typical defects of this type. These tend to be micron-sized voids bounded by crystallographically defined surfaces. When such voids intersect the surface of a wafer, the result can be very small surface pits which in turn will disturb the planarity of an oxide used as the gate dielectric of metal-oxide-semiconductor-field-effect transistors (MOSFETs) that comprise the fundamental building blocks of integrated circuits such as DRAMs and logic products. (001) (111) 54.7° 35.3°

(110)

Observation

50 nm

FIGURE 3.3 Transmission electron micrograph of vacancy clusters (called COPS) in silicon wafer. The vacancy clusters or voids are frequently bound by crystallographically defined surfaces.

Downloaded from Digital Engineering Library @ McGraw-Hill (www.digitalengineeringlibrary.com) Copyright © 2004 The McGraw-Hill Companies. All rights reserved. Any use is subject to the Terms of Use as given at the website.

Geng(SMH)_CH03.qxd

04/04/2005

19:34

Page 3.6

SILICON SUBSTRATES FOR SEMICONDUCTOR MANUFACTURING 3.6

SEMICONDUCTOR FUNDAMENTALS AND BASIC MATERIALS

To deal with the problems of COPS as well as oxygen, polished wafers are frequently annealed at elevated temperatures either in an atmosphere of argon or hydrogen. Annealing can have dual effects. Oxygen and COPS can be removed from the near surface regions of the wafer to depths of many microns, depending on the annealing conditions. Oxygen removal occurs by out diffusion and COP elimination can occur by the shrinking and the eventual disappearance of the vacancy clusters. These two processes are accelerated if hydrogen rather than argon is used as the process gas.2,3 A second effect of elevated temperature annealing is the precipitation of oxygen in the bulk of the wafer to form silicon oxide particles, which subsequently can function as internal gettering agents for heavy metals, as mentioned earlier. Thus a polished silicon wafer used for the fabrication of leading-edge integrated circuits is not a homogeneous material but an engineered structure with differing surface and bulk properties. The concept of defect engineering has been well developed to achieve high-quality, defect-free surfaces where the active devices reside and a two-phase material with a distribution of silicon dioxide particles in a silicon matrix in the bulk of the wafer. This is shown, schematically in Fig. 3.4. 3.4.2 Epitaxial Wafers With the advent and wide-scale use of CMOS circuitry and the aggressive scaling of the technology, attended by ever thinner gate oxides, lightly-doped, polished silicon wafers are no longer found to be sufficient for such products. As a consequence, epitaxial (epi) silicon wafers are now the mainstay for manufacturing advanced logic products such as microprocessors. Epitaxial wafers are polished silicon wafers with a thin layer of silicon, either of a different conductivity type, or more commonly, of a different resistivity deposited on the wafers (generally called the substrate wafer) using chemical vapor deposition (CVD) techniques. This is an extremely welldeveloped technology with high-quality epitaxial films ranging in thickness from about 1 µm to several microns for integrated circuit fabrication manufactured in highly automated equipment. Typically a mixture of hydrogen and silane is used as the process gases and silicon deposition on the single crystal substrate is achieved by thermal CVD, the cracking of the silane and the attendant deposition of silicon.

Dissolved oxygen, COPS in the wafer Surface depleted of defects and oxygen as a result of elevated temperature annealing

Bulk of the wafer has precipitates of silicon oxide FIGURE 3.4 Schematic illustration of defect engineering whereby a wafer containing dissolved oxygen and COPS (top figures) is converted into a wafer with a two-layer structure with a high-quality, defect- and oxygen-free device layer and an oxygen precipitate rich substrate that can function as a region into which unwanted heavy metals are gettered.

Downloaded from Digital Engineering Library @ McGraw-Hill (www.digitalengineeringlibrary.com) Copyright © 2004 The McGraw-Hill Companies. All rights reserved. Any use is subject to the Terms of Use as given at the website.

Geng(SMH)_CH03.qxd

04/04/2005

19:34

Page 3.7

SILICON SUBSTRATES FOR SEMICONDUCTOR MANUFACTURING SILICON SUBSTRATES FOR SEMICONDUCTOR MANUFACTURING

3.7

The fundamental reasons for the use of epitaxial wafers are several fold. • A common failure mode of CMOS devices is a phenomenon called latch-up. This is a case where vertical pnp and lateral npn bipolar structures can switch on and draw excess current resulting in the destruction of the device. This is effectively prevented by having a high-conductivity (heavily doped) substrate under the lightly doped epitaxial layer in which the circuits reside. Heavy doping prevents the generation of stray charge carriers in the substrates. A further advantage of heavily doped substrates is conferring immunity from soft errors to the circuits. Soft errors are a result of stray a particles either from radioactive packaging materials or cosmic rays generating unwanted charge carriers (electron-hole pairs) that upset the state (on or off) of a transistor in the semiconductor. • A second reason for epitaxy is to achieve high bulk and surface quality silicon for device fabrication. Since modern day integrated circuits are, essentially devices very close to the surface of the wafer—within about a micron of the surface—the surface quality, in terms of impurities and defects, is critical for the high-yield manufacture of high-performance products. Vapor deposited epitaxial silicon on single crystal silicon wafers can display higher quality than melt grown crystals. Surface quality, the uniformity of dopants through the film, and control over the resistivity of the silicon are superior in epitaxial films. • A third reason for the attraction of epitaxial wafers is the opportunity to achieve vastly different resistivities between the substrate and the epitaxial layer. For example, typical epitaxial wafers have substrate resistivities as low as 0.005 Ω-cm while the overlying epitaxial film can have a resistivity approximately equal to 1 Ω-cm. The heavily doped substrate, which is doped with boron, performs several functions. Heavy doping in the substrate suppresses latch-up failure, as discussed earlier. The high concentration of boron can function as a gettering medium for metals, with particular reference to iron. A phenomenon known as solubility-enhanced gettering results in the attraction and trapping of iron by the heavily doped substrate, thus removing the metals from the top, lightly doped epitaxial layer in which the active devices reside. The gettering efficiency of the substrate is also enhanced by precipitated oxide particles that are formed as a combined consequence of the presence of a high concentration of oxygen in the substrate wafer and the heat treatments associated with epitaxial deposition and subsequent wafer processing. Since the top epitaxial layer is formed by vapor deposition it will be free of oxygen and consequently no precipitates form in this region. Heavily doped substrates also assist in suppressing the electrostatic discharge (ESD) failure of integrated circuits. ESD is a phenomenon whereby stray electric charges destroy devices and circuits. A heavily doped substrate effectively conducts away stray charges preventing ESD failure. Figure 3.5 shows schematically a cross section of a CMOS transistor in an epitaxial wafer with the various attributes of epitaxial wafers.

3.4.3 Silicon-on-Insulator Wafers The original concept of integrated circuits, as conceived by Noyce and Kilby, involved building multiple transistors in the same piece of silicon, electrically isolated from each other using reverse-biased p-n junctions, a process called junction isolation. With increasing shrinks and higher functionality of circuits, junction isolation was found to be inadequate for a number of reasons including the increased capacitance introduced by the junctions, which in turn adversely impacts the switching speed, the propensity for excessive junction leakage, and the increased space occupied by the isolating junctions. Consequently a transition has occurred to dielectric isolation with advanced products relying on shallow trench isolation where shallow, etched trenches filled with silicon dioxide surround the transistors. A further extension of this process is to provide a dielectric underneath the transistors as well as to, essentially, completely surround the transistor with an oxide on all sides. The use of a dielectric layer, underneath the transistors, resulted in the development of silicon-on-insulator (SOI) wafers wherein a thin, active device layer is separated from the bulk of the wafer by a thin, buried oxide. The thickness of the top layer, in which the devices are built, varies depending on device designs with the trend being in the direction of ever-thinner films on the top.4,5

Downloaded from Digital Engineering Library @ McGraw-Hill (www.digitalengineeringlibrary.com) Copyright © 2004 The McGraw-Hill Companies. All rights reserved. Any use is subject to the Terms of Use as given at the website.

Geng(SMH)_CH03.qxd

04/04/2005

19:34

Page 3.8

SILICON SUBSTRATES FOR SEMICONDUCTOR MANUFACTURING 3.8

SEMICONDUCTOR FUNDAMENTALS AND BASIC MATERIALS

N+ Thin epitaxial layer, lightly doped, free of oxygen with a high surface quality —good gate oxide integrity; low leakage junctions

N+

P+ N+

STI

P+

P-well

P+ N-well

Epitaxial layer

Substrate

Heavily doped with boron, silicon dioxide precipitates function as gettering agents for metals; heavy boron doping prevents latch-up failure, minimizes ESD sensitivity, and enhances heavy metal gettering. FIGURE 3.5

Schematic illustration of a CMOS circuit in an epitaxial wafer.

The presence of an insulating film between the active devices and the bulk of the wafer leads to several advantages as follows: • Feasibility of low-voltage ( less than 1 V) operation since stray currents from the substrate are suppressed • Higher speed capability due to lower junction capacitance since it is possible to dispense with well implants and have the bottom of the source/drain junctions terminate at the silicon-oxide interface • Higher operating temperatures due to reduced leakage currents • Lower power consumption because of lower operating voltages and reduced leakage currents • Decoupling the device area from the bulk of the wafer, due to the presence of the insulating layer, leads to reduced parasitic currents, immunity to a-particle effects (soft errors), and increased radiation hardness • For transistor gate lengths of approximately 25 nm and below the electric field in the channel induced by the gate has to compete with fields from the source and drain regions, resulting in degraded performance. These short channel effects are found to be reduced or eliminated by going to thin SOI structures. Ultrathin SOI is generally proposed to be the solution for short channel effects. • Designing devices for SOI can result in much simpler processing thereby reducing processing costs Figure 3.6 shows the comparison between traditional epi-based CMOS and SOI-based CMOS structures. Potential applications for SOI wafers have been expanding in recent times. These are shown in Fig. 3.7. The ability to electrically isolate the top device layer from the bulk of the wafer and the potential for making this top layer very thin (thicknesses equal to the depth of the junctions) lead to several advantages that enable proliferation of silicon into other product areas and into products with enhanced functionality.

Downloaded from Digital Engineering Library @ McGraw-Hill (www.digitalengineeringlibrary.com) Copyright © 2004 The McGraw-Hill Companies. All rights reserved. Any use is subject to the Terms of Use as given at the website.

Geng(SMH)_CH03.qxd

04/04/2005

19:34

Page 3.9

SILICON SUBSTRATES FOR SEMICONDUCTOR MANUFACTURING SILICON SUBSTRATES FOR SEMICONDUCTOR MANUFACTURING

3.9

Conventional CMOS based on Epi wafers

Larger junction area leads to: -Higher leakage currents -Higher junction capacitance leading to limitations in device speed

P+

N+ N+

N+

P+

STI

P-well

P+

N-well

Conducting silicon beneath the transistors can result in stray currents leading to:

Epitaxial layer

• High off-stage leakage currents

Substrate

• Susceptibility to a-particle effects (soft errors) • Higher power consumption, greater heat generation CMOS using SOI wafers Smaller junction area can result in: • Low voltage (350 >350 >350 180–200

2.9 (1 GHz)

3.4 3.4

>350 200 175

3.2 2.9 3.2 3.8

[1 kHz– 1 MHz] 3.3 3.2 3.3 2.65 2.55 (1 GHz) 2.9

Diel. const

250 >350 >350 170

320

>350 >350 >350 210–250

[°C]

Curing T (for 1–2 h)

0.002

0.002

0.026 (1 GHz)

0.0097 0.03

0.0070 0.003 0.006 0.036

[1 kHz– 1 MHz] 0.007 0.004 0.003 0.0008 0.002 (1 GHz)

Loss factor

280

36

36

16

>350 290

55 25

58

47 80

236 16 35 51

36

27 23 40–50 45

[ppm/K]

CTE

380 255

150–200

300 180

>350 350 >210

290

>350 >350 355 >350

[°C]

Tg/decompostion T

110

130

150

100 180

122 7.5

6 170 200 75

130

170 123 150 87

[MPa]

Tensile strength

10

40

40

18 40

11 11.5

37 ? 45 7.5

40

73 8 30 8

[percent]

Elongation to break

29 14–30

2.6 18 35–37

28

40–50 28

30

[MPa]

Residual stress

3.2

3

4.2

2.5 3.9

4.5

2.5 2.5

0.16 4.7 3.5 1.6

3

2.9

2.9 3.2

[GPa]

Youngs modulus

1.5

0.3

1.0

1.3 1.8 0.8 100 in

• Production

DMD

• High response time • High resolution • Projection size adjustable

• High cost • Complex device process

• > 100 in

• Production

Downloaded from Digital Engineering Library @ McGraw-Hill (www.digitalengineeringlibrary.com) Copyright © 2004 The McGraw-Hill Companies. All rights reserved. Any use is subject to the Terms of Use as given at the website.

Geng(SMH)_CH24.qxd

04/04/2005

20:50

Page 24.10

FLAT-PANEL DISPLAY TECHNOLOGY AND MANUFACTURING 24.10

NANOTECHNOLOGY, MEMS, AND FPD

polymer film. The microencapsulated liquid-crystal droplets improve the contrast ratio. The mechanism of EPD uses the transport of charged pigment particles in a colloidal suspension to form a display. The response time of EPD is one of the major challenges for the future. The mechanism of Gyricon display uses bichromal balls to display. The major drawback of Gyricon display is the poor contrast ratio.

24.4 WHAT IS THE MANUFACTURING PROCESS? FPD manufacturing needs two plates (the upper and lower plates) at the beginning of the process. These two plates are aligned and sealed followed by electronic board/film bonding and mechanical assembly. Before shipping, aging and a series of tests are also performed in order to make sure that the display is sound and reliable. Among the various displays, TFT LCD is the most popular information display. The process flow of typical TFT LCD manufacturing is shown in Fig. 24.8. The process starts with TFT array and color filter glasses. The structures of the TFT array and color filter glasses are shown in Fig. 24.2. The TFT array glass is processed not only by the transistor process but also by the LC alignment layer process and spacer spray. The color filter glass is not only processed by the color filter process but also the LC alignment layer process. The two plates are then aligned and sealed. LC is injected and the end seal is performed. After that, a polarizer is attached and the tape carrier package (TCP) is bonded. The next steps performed are electronics, backlight, and chassis assembly. Aging and various tests are performed in between so that display panel performance and reliability are confirmed. Since different displays have different processes, we are not able to discuss the detailed process for each display in this chapter. However, most processes of displays use thin- film, thick-film, and cell-formation processes, aging, and tests. Therefore, in the following sections we will discuss these processes separately. 24.4.1 Thin-film Processes Thin-film processes normally consist of deposition, photolithography, and etching techniques. Sputter, evaporation, and chemical vapor deposition (CVD) are the common techniques for deposition. The photolithography system includes a PR coater, exposure system, developer, and stripper while the etching system includes dry and wet etchers. TFT processes of TFT LCD and TFT OLED use thin-film processes. The backplanes (lower plates) processes of LCoS and DMD, and part processes of OLED use thin-film processes too. 24.4.2 Thick-film Processes Deposit and cure systems are two common types used in thick-film processes. Screen printing and ink-jet printing to deposit a paste or slurry are very common techniques for the deposit system, while a furnace is the equipment typically used to cure the paste or slurry. Most processes of PDP and FED, and part processes of OLED, use thick-film processes. 24.4.3 Cell Formation Processes At the beginning of cell formation processes, sealing material is dispensed on the plates. Alignment between the two plates follows. With proper curing of the sealing material, the cell is formed. Most of various displays use these processes for cell formation. 24.4.4 Aging and Testing Processes Aging is very important. Using this process, the device can be stabilized and its reliability can be assured. Testing is performed to sort out not a good (NG) panel so that it will not leak NG panel to next steps. Most of the various displays need these processes to control their quality and reliability. Downloaded from Digital Engineering Library @ McGraw-Hill (www.digitalengineeringlibrary.com) Copyright © 2004 The McGraw-Hill Companies. All rights reserved. Any use is subject to the Terms of Use as given at the website.

Geng(SMH)_CH24.qxd

04/04/2005

20:50

Page 24.11

FLAT-PANEL DISPLAY TECHNOLOGY AND MANUFACTURING FLAT-PANEL DISPLAY TECHNOLOGY AND MANUFACTURING

TFT array glass

Color filter glass

LC alignment layer and spacer spray

LC alignment layer

Cell alignment and sealing

LC injection

End seal

Polarizer attachment

TCP bonding

TEST

Repair

NG

YES Repair

Electronics assembly

TEST

NG

YES Backlight /chassis assembly

TEST

Repair

NG

YES Aging

Final test

NG

YES Shipping FIGURE 24.8

Process flow of typical TFT LCD manufacturing.

Downloaded from Digital Engineering Library @ McGraw-Hill (www.digitalengineeringlibrary.com) Copyright © 2004 The McGraw-Hill Companies. All rights reserved. Any use is subject to the Terms of Use as given at the website.

24.11

Geng(SMH)_CH24.qxd

04/04/2005

20:50

Page 24.12

FLAT-PANEL DISPLAY TECHNOLOGY AND MANUFACTURING 24.12

NANOTECHNOLOGY, MEMS, AND FPD

24.5 FUTURE TRENDS AND CONCLUSIONS In this section, we will discuss future trends in two aspects of flat-panel display (FPD): technology and applications, and make concluding remarks. 24.5.1 Technology Trends Although there are many technologies emerging, we will list the most common trends in this subsection. Table 24.2 shows the technology trends of flat-panel display. There are two approaches for reaching large display sizes. One is by direct view and the other is by projection. The direct view approach typically provides a higher contrast ratio than the projection approach. However, in the projection approach, it is easy to scale up the display size compared with the direct view approach. Undoubtedly, high image quality is the continuous goal for the development of flat-panel display. The factors affecting image quality are pixel size/resolution, brightness/optical efficiency, contrast ratio, color/gray level, and speed, which we have discussed in a previous section. Driver number reduction and production scale up are two major ways to reduce cost. LTPS is one of the approaches for reducing the driver cost. This is because the high mobility of LTPS enables the integration of drivers and most discrete integrated circuits (ICs) into the display panel. The cost is therefore reduced. One of the most exciting future trends is the need for light and flexible displays. Flexible displays will be needed because this kind of display can be applied on nonflat backgrounds. In addition, flexible display can be manufactured using a roll-to-roll process so that a large scale of mass production is easy and possible. The manufacturing cost is therefore significantly reduced. 24.5.2 Application Trends It is obvious that FPD has various applications since each kind of FPD has features that are suitable for different applications. Table 24.3 shows the application trend of flat-panel display, which is classified according to the display size. TABLE 24.2 Technology Trends of Flat-Panel Display Item

Specification Front

100" ~ 200"

Rear

40" ~ 80"

Direct view

40" ~ 80"

PDP

10.4" ~ 50"

a-TFT LCD, CNT FED

Large

Display quality

Cost-effective

Relevant tech.

Projection

LCoS, DMD

Pixel size/resolution

SVGA → UXGA/HDTV

LTPS, LCoS

Brightness/optical efficiency

150cd/m →  500cd/m

LTPS, Super-IPS (In Plane Switch), VA (Vertical Alignment)

Contrast ratio

100:1 →  500:1

Super-IPS, VA

Color/gray level

260 K → 16700 K gray level

Driving

Speed

40 ms → 25 ms →  8 ms

LC material, driving

2

2

Driver reduction

LTPS

Production scale

Mass production

Light/flexible

Semi/reflective, plastic substrate, flexible substrate, COG, SOP

OLED/reflective LCD

Ecology

Power consumption, production waste reduction

Design/process improvement

Downloaded from Digital Engineering Library @ McGraw-Hill (www.digitalengineeringlibrary.com) Copyright © 2004 The McGraw-Hill Companies. All rights reserved. Any use is subject to the Terms of Use as given at the website.

Geng(SMH)_CH24.qxd

04/04/2005

20:50

Page 24.13

FLAT-PANEL DISPLAY TECHNOLOGY AND MANUFACTURING FLAT-PANEL DISPLAY TECHNOLOGY AND MANUFACTURING

24.13

TABLE 24.3 The Application Trend of Flat-Panel Display Size

Major technologies

Application

Large size (30~300 in)

• a-Si TFT LCD • PDP • Micro Display (LCoS, DMP)

• Wall-mounted TV (direct view) • Desktop TV (direct view) • Front projection • Rear projection

Medium size (8~30 in)

• a-Si TFT LCD

• Monitor • NBPC • E-book • Flexible display

Small size (below 8 in)

• a-Si TFT LCD • LTPS • STN/TN • OLED • VFD • FED

• Mobile phone • View finder • PDA • Virtual reality • Flexible display

The display size is an important factor in deciding the applications of the display technology. LCD is the most popular flat-panel display in the existing market. The display size of LCD ranges from less than 1 in to more than 30 in. Therefore, the application of LCD is wide and sophisticated. At present, OLED is available only in small display sizes due to its technical limitations. The application is used in mobile phone display. PDP is for display sizes of 40 to 80 in. Its major applications are TV and small meeting room display. Projection display is generally used in screen sizes above 100 in. Its applications include large meeting room display.

24.5.3 Conclusions Among the various display technologies, LCD apparently has become the main stream of flat-panel display technology in the past years. One of the reasons is that LCD has no fatal drawback although it has many weaknesses. In addition, these weaknesses, such as view angle limitation, high cost, and low response time have been solved or improved in the past few years. OLED certainly has a reasonable chance to become popular in the near future due to its better performance in many aspects compared to other displays. However, the OLED lift time needs to be significantly improved first. PDP is practically positioned for display sizes larger than 40 in because of its relatively large pixel size. However, the cost and performance advantages of PDP today compared with LCD may challenge LCD in the future. We believe no display can exist in the market forever. Therefore, display performance and low cost will continue to be very important in the future.

FURTHER READING Castellano, J. A., Handbook of Display Technology, Academic Press, CA, 1992. Hatalis, M. K., et al., “Flat Panel Display Materials II,” Material Research Society, PA, 1997. Jensen, K. L., “Electron-Emissive Materials, Vacuum Microelectronics and Flat-Panel Displays,” Material Research Society, PA, 2000. Keller, P. A., Electronic Display Measurement: Concepts, Techniques, and Instrumentation, Wiley, New York, 1997. MacDonald, L. W., and A. C. Lowe, Display Systems: Design and Applications, Wiley-SID, New York, 1997. Matsumoto, S., Electronic Display Devices, Wiley, New York, 1991. Nelson, T. J., and J. R. Wullert, “Electronic Information Display Technologies,” World Scientific, 1997. Downloaded from Digital Engineering Library @ McGraw-Hill (www.digitalengineeringlibrary.com) Copyright © 2004 The McGraw-Hill Companies. All rights reserved. Any use is subject to the Terms of Use as given at the website.

Geng(SMH)_CH24.qxd

04/04/2005

20:50

Page 24.14

FLAT-PANEL DISPLAY TECHNOLOGY AND MANUFACTURING 24.14

NANOTECHNOLOGY, MEMS, AND FPD

O’Mara, W., Liquid Crystal Flat Panel Displays: Manufacturing Science and Technology, Van Nostrand Reinhold, New York, 1993. Refioglu, H. I., Electronic Displays, IEEE Press, New York, 1983. Sasaki, A., and C. J. Gerritsma, Optoelectronics, Vol. 7 (2), Mita, Tokyo, 1992. Sherr, S., Electronic Displays, 2d ed., Wiley, New York, 1993. Stokes, A., “Display Technology: Human Factors Concepts,” Society of Automotive Engineers, 1998. Tannas, L. E., Flat-Panel Displays and CRTs, Van Nostrand Reinhold, New York, 1985. Weston, G. F., and R. Bittleston, Alphanumeric Displays, McGraw-Hill, New York, 1982. Whitaker, J. C., Electronic Display: Technology, Design and Applications, McGraw-Hill, New York, 1994. Wu, S. T., and D. K. Yang, Reflective Liquid Crystal Displays, Wiley-SID, New York, 2001.

Downloaded from Digital Engineering Library @ McGraw-Hill (www.digitalengineeringlibrary.com) Copyright © 2004 The McGraw-Hill Companies. All rights reserved. Any use is subject to the Terms of Use as given at the website.

Geng(SMH)_CH25.qxd

04/04/2005

20:52

Page 25.1

Source: SEMICONDUCTOR MANUFACTURING HANDBOOK

P



A



R



T



5

GASES AND CHEMICALS

Downloaded from Digital Engineering Library @ McGraw-Hill (www.digitalengineeringlibrary.com) Copyright © 2004 The McGraw-Hill Companies. All rights reserved. Any use is subject to the Terms of Use as given at the website.

Geng(SMH)_CH25.qxd

04/04/2005

20:52

Page 25.2

GASES AND CHEMICALS

Downloaded from Digital Engineering Library @ McGraw-Hill (www.digitalengineeringlibrary.com) Copyright © 2004 The McGraw-Hill Companies. All rights reserved. Any use is subject to the Terms of Use as given at the website.

Geng(SMH)_CH25.qxd

04/04/2005

20:52

Page 25.3

Source: SEMICONDUCTOR MANUFACTURING HANDBOOK

CHAPTER 25

SPECIALTY GAS AND CDA SYSTEMS Wayne D. Curcie Infineon Technologies Sandston, Virginia

25.1 INTRODUCTION Specialty gases are commonly defined as the process gases supplied from cylinders. This is in contrast to bulk gases such as nitrogen, oxygen, argon, and hydrogen that are provided via pipeline, generated on-site, or delivered in bulk and supplied from cryogenic storage tanks. As is the case with many critical process support systems, the purpose of specialty gas systems is the safe, reliable, consistent, and cost-effective supply of the required quality product to the manufacturing processes. Implementation of a successful specialty gas supply involves the understanding and execution of several interdependent activities. Figure 25.1 illustrates some of the basic implementation elements. While it may be obvious that the process needs (e.g., moisture, particles) directly influence the cylinder gas specifications, other decisions will also influence the product purity (e.g., gas cabinet design—filtration and purification, cylinder change procedures, tubing specification, system commissioning process). The specialty gas supply design will also be impacted by the interactions and trade-offs among the project budget, distribution concepts (e.g., coaxial versus single-walled tubing), code requirements, industry practices, and site preferences. This chapter looks at the elements shown in Fig. 25.1, beginning with the identification of the process requirements and cylinder gases specifications. The following sections provide an overview of code requirements and industry practices, describe the specialty gas equipment and distribution design considerations, explain the execution aspects including strategic planning, design, commissioning, operations, and maintenance, and conclude with future trends.

25.2 SEMICONDUCTOR MANUFACTURING PROCESS REQUIREMENTS Definition of the manufacturing process and equipment needs is essential to the design of the specialty gas systems. The initial information required to design specialty gas systems is similar to other process support systems; however, the ever-changing nature of semiconductor processes makes this more dynamic and challenging. The following information is necessary to begin the development of a specialty gas system:

Downloaded from Digital Engineering Library @ McGraw-Hill (www.digitalengineeringlibrary.com) Copyright © 2004 The McGraw-Hill Companies. All rights reserved. Any use is subject to the Terms of Use as given at the website.

25.3

Geng(SMH)_CH25.qxd

04/04/2005

20:52

Page 25.4

SPECIALTY GAS AND CDA SYSTEMS 25.4

GASES AND CHEMICALS

Process needs

Gas characteristics

Cylinder gas product specifications

Equipment and distribution design

- Codes - Risk assessment - Local considerations - Industry practice

Budget and schedule Installation and comissioning

Operation and maintenance

FIGURE 25.1

• • • • • • •

Specialty gas supply execution process.

Tool name and process(es) Number of tools Process area Gases Gas purity Flow range (minimum, maximum, and average consumption) Pressure

This information provides an impression of the gas usage by the process area and total gas cylinders required. In conjunction with a building code assessment (see Sec. 25.3), it provides insight into the location and sizing of the gas rooms. This process information also provides insight into the initial gas equipment and distribution requirements (see Sec. 25.4), and the feasibility of bulk specialty gases. A wide variety of specialty gases are used in the manufacture of semiconductor devices. Table 25.1 includes a sampling of common gases with their basic properties and typical applications. The characteristics of these gases vary dramatically from inerts to corrosive liquefied gases and pyrophorics (spontaneously flammable and may form explosive mixtures with air). An understanding of the specific characteristics of each gas is essential to the design and operation of specialty gas systems.1–3 Process information is also used to make operational decisions such as: • Cylinder gas purity specifications • Cylinder material, preparation, and cleaning requirements • Gas cylinder sizes

Downloaded from Digital Engineering Library @ McGraw-Hill (www.digitalengineeringlibrary.com) Copyright © 2004 The McGraw-Hill Companies. All rights reserved. Any use is subject to the Terms of Use as given at the website.

Geng(SMH)_CH25.qxd

04/04/2005

20:52

Page 25.5

SPECIALTY GAS AND CDA SYSTEMS SPECIALTY GAS AND CDA SYSTEMS

25.5

TABLE 25.1 Typical Semiconductor Process Gases Hazardous properties Gas Description

Formula

HPM (per NFPA 704)

Ammonia

NH3

Yes

Freon 14

CF4

Freon 23 Krypton/neon Hydrogen bromide Nitrogen triflouride Nitrous oxide

Silane Tungsten hexafluoride *

Classification

Physical properties Cylinder state at 70°F Liquid

No

Flammable, corrosive, low toxicity Inert

CH3F Kr/Ne HBr NF3 N2O

Yes No Yes No Yes

SiH4 WF6

Yes Yes

Cylinder pressure* at 70°F

Applications

114

Diffusion LPCVD, PECVD

Gaseous

2000

Flammable Inert Corrosive, toxic Oxidizer, low toxicity Oxidizer

Liquid Gaseous Liquid Gaseous Gaseous

611 2000 301 1450 766

Pyrophoric, flammable Corrosive, toxic

Gaseous Liquid

1260 2.44

Etch, films, dry plasma etching Etch Litho, laser gas Etch Etch, films Diffusion, films, silicon nitride layer formation LPCVD, PECVD LPCVD tungsten

Gaseous cylinders pressures are based on standard fill volume.

Cylinder gas purity specifications should take into consideration the concentrations of specific contaminants of concern. Cylinder specifications should include the maximum allowable concentration of these substances (e.g., moisture in HBr) with certificates of analysis. Restrictive flow-orifice sizing will need to consider the equipment demands as well as the cost and feasibility of release abatement. Gas cylinder size selections often represent trade-offs between anticipated usage, shelf life, code storage limitations, and cost. Additional information will be required for subsequent project phases, including: • Tool layout • Tool gas connection type and size • Tool configuration (e.g., number of connections and external gas box needs) Typically the challenges in defining the process gas requirements are not due to a lack of information, but rather the quality and management of the information as the process evolves. Actual gas consumption rates and peak flows can be significantly less than the vendor stated requirements that may simply be the maximum rated flow of the mass-flow controller. For critical applications, a more detailed analysis of the process recipes, throughput, and utilization may be necessary. The nature of device manufacturing is such that the process needs will continually change. Therefore, process needs must be regularly verified, particularly at critical project phases (e.g., equipment purchase, installation). Changes must be assessed and reflected throughout the specialty gas systems (e.g., the potential impact to cylinder gas purity specifications, codes, gas equipment, distribution, and gas room layouts).

25.3 CODE REQUIREMENTS AND OTHER GENERAL DESIGN CONSIDERATIONS With an understanding of the process gases required, their specific properties, and a code analysis, a general outline of the gas systems is possible. Layouts and designs of specialty gas systems must involve a thorough and careful examination of the applicable national and local codes (e.g., BOCA, UFC, NFPA, IBC, TGO),4–9 in addition to such other considerations as accepted guidelines (e.g., FM),10,11 industry practices, site risk assessment, and site specific issues.

Downloaded from Digital Engineering Library @ McGraw-Hill (www.digitalengineeringlibrary.com) Copyright © 2004 The McGraw-Hill Companies. All rights reserved. Any use is subject to the Terms of Use as given at the website.

Geng(SMH)_CH25.qxd

04/04/2005

20:52

Page 25.6

SPECIALTY GAS AND CDA SYSTEMS 25.6

GASES AND CHEMICALS

It may be helpful to understand the mission of the various codes and guidelines. For instance, the primary objective of most codes (e.g., BOCA, UFC, and IBC) is to protect personnel. Guidelines such as Factory Mutual Global are directed more toward fire prevention and property preservation. This section is not intended to provide a comprehensive summary of the code requirements as these vary geographically, tend to change, and are subject to interpretation based on the specific site conditions and risk assessment. The purpose of this section will be to provide an overview of a typical US fab specialty gas system with focus on industry codes and guidelines. Most manufacturing facilities (fabs) are arranged with the production equipment or tools in a class M100 to M1 (Federal Standard 209E or ISO 14644-1) cleanroom (see Fig. 25.2,). Directly underneath (or beside in the case of some older fabs) this production area is a return air space and/or production support space (subfab). The production support space contains chillers, vacuum pumps, abatement units, power panels, and other equipment. It may also serve as a return air path for the recirculating cleanroom air. Additional support space may be located beneath or beside the subfab level. Site specific conditions and code requirements will influence the arrangement of the production, support, and return air spaces. This in turn will influence the location of the specialty gas rooms, cabinets, and valve manifolds. An important first step is to classify and quantify the process gases required. Semiconductor gases are typically classified and separated into several categories: 1. 2. 3. 4. 5. 6.

Pyrophorics Toxics and highly toxics Flammables Corrosives Oxidizers Inerts Recirculating air ULPA filters (fan tower units not shown)

Fan filter units FAB level

FAB level production equipment VMBs, VMPs, liq. gas cabinets

Raised floor Waffle deck

Raised floor Subfab level

Concrete deck

Production support equipment Concrete deck Gas rooms

Subfab support rooms support equipment

Support level support equipment

Gas rooms

Building section view Toxic & Corrosive & flammable oxidizer gas room gas room

Inert gas room

Support areas

Production cleanroom area (Subfab level beneath with VMBs, VMPs, liq. gas cabinets)

Support areas

Bulk specialty gases pyrophorics

Support areas Building plan view

FIGURE 25.2

Fab general arrangement.

Downloaded from Digital Engineering Library @ McGraw-Hill (www.digitalengineeringlibrary.com) Copyright © 2004 The McGraw-Hill Companies. All rights reserved. Any use is subject to the Terms of Use as given at the website.

Geng(SMH)_CH25.qxd

04/04/2005

20:52

Page 25.7

SPECIALTY GAS AND CDA SYSTEMS SPECIALTY GAS AND CDA SYSTEMS

25.7

Within these categories it is important to identify the hazardous gases (otherwise referred to as hazardous production materials (HPM), and defined per BOCA 416.2 as a solid, liquid, or gas that has a degree of hazard rating in health, flammability, or reactivity of class 3 or 4 per NFPA 704). In the case of high-volume fabs, an additional category or subcategory for bulk specialty gases may be useful. Specialty gases will be located based on their hazard levels, quantity, and classification of the space. Most production areas are classified as HPM fabrication areas. The codes specify the allowable quantities of hazardous gases within an HPM (or H6) classified area (that is the fab cleanroom and often subfab area). Certain conditions must be met to achieve this classification (such as automatic sprinkler systems, sufficient protected egress, fire rated construction, minimum ventilation rates, smoke detection, and continuous gas detection). Typically, the limited quantity allowed in the HPM production area is dedicated to liquefied, low vapor pressure gases that are more easily supplied close to their point(s) of use. Separate HPM gas rooms enable the storage of larger quantities of HPM gases. Separate rooms are typically provided for toxic and flammable, and corrosive and oxidizing gases. These rooms are referred to as HPM cutoff rooms by code and are generally located along an exterior wall and separated from the HPM fabrication area (fab cleanroom and subfab) by fire rated construction (e.g., walls, doors, and sealed penetrations). The placement of these rooms also involves the consideration of logistics such as material delivery and transport. HPM gas rooms are typically provided with: • Automatic sprinklers • Two means of egress, one to the outside • Minimum ventilation rate (one cubic foot per minute per square foot of room area (cfm/sf), or six air changes per hour (ac/h)) • Smoke detection • Continuous gas detection • Treatment of exhaust to 1/2 IDLH (immediately dangerous to life and health) and catastrophic release • Standby power for exhaust ventilation, abatement, gas detection, and emergency alarm systems. Flammable gas rooms are generally designed with explosion venting along an exterior wall and may be rated class 1 division 2 (per NFPA 70). Inert gas supplies may be located in the subfab, a separate inert room, or combined with other gases in an HPM cutoff room. Pyrophoric gases may be stored in cabinets or racks and located in a separate remote structure (FM 7-7)10 or a separate HPM cutoff room. Bulk specialty gases may be placed in their respective HPM gas rooms, or a remote location (refer to Fig. 25.2). Their location will generally be a function of the gas type, size, and space and access constraints. HPM gas cabinets typically include the following features: • A 12-gauge metal exhausted enclosure with self-closing and latching door and exhaust monitoring • Continuous gas detection interlocked with a gas isolation valve to automatically shut down gas flow and alarm to a central, continuously manned location • Internal class 1 division 2 rating • Excess flow and over pressure protection • Seismic restraint (depending on location) • Internal fire sprinkler for toxics and flammables • Purge gas from a cylinder source • Connection to a standby power source HPM gas distribution panels (e.g., valve manifold boxes) are not specifically addressed in the codes. The codes do specify several operational items such as leak testing, signage, cylinder transport, material safety data sheets, emergency response capabilities, and other requirements. It is important to recognize that while the features described here are “typical,” actual practices can vary dramatically, particularly outside the United States. Consider for instance the use of coaxial

Downloaded from Digital Engineering Library @ McGraw-Hill (www.digitalengineeringlibrary.com) Copyright © 2004 The McGraw-Hill Companies. All rights reserved. Any use is subject to the Terms of Use as given at the website.

Geng(SMH)_CH25.qxd

04/04/2005

20:52

Page 25.8

SPECIALTY GAS AND CDA SYSTEMS 25.8

GASES AND CHEMICALS

tubing, which though not required by the national code, is recommended for pyrophoric, flammable, and toxic gases (FM 7-7) and widely used in the United States. Its use is less common outside the United States. Even within the United States, some HPM gases are not double contained based on a risk assessment and other factors. For instance, gases such as hydrogen and methane may not always be distributed in coaxial tubing as long as the piping is metallic with all welded connections and any mechanical connections are in exhausted enclosures with exhaust monitoring and gas detection. Further guidance regarding the use of coaxial tubing is available in the Toxic Gas Ordinance (TGO) and SEMI F6-92, which classify gases based on their material hazard index.12 Codes are necessarily complex, but the following two ideas may simplify their use. First, the approach to codes should be a holistic one taken by experienced, licensed professionals and coordinated with local building officials. Second, while codes once understood, interpreted, and agreed upon specify what is required, there are many other factors that will influence the system design. The factors that have been mentioned, such as guidelines, local considerations, site specific issues, and others such as stakeholder (tool owner, production, environmental, safety, operators, suppliers) perceptions and preferences.

25.4 SPECIALTY GAS DISPENSE AND DISTRIBUTION The designs of specialty gas dispense and distribution systems are based on factors such as gas characteristics, code requirements, desired flow and purity, tool designs, risk assessment, and cost. Due to the diverse nature of the gases used and process requirements, unique design solutions may be required for the same gas used in different applications (e.g., standard versus high flow ammonia process). Clearly, hazardous gas system configurations are inherently more complex and costly than inert gas systems. 25.4.1 Inert Gas Distribution Inert and nonhazardous gases are typically supplied from dual-cylinder, automatic crossover (AXO) racks. A rack being a floor, or wall mounted frame configured to accommodate cylinders, cylinder straps and pigtails, panel mounted valves, piping and components, and possibly a controller.

To scrubbed exhaust 1"- Purge gas vent header - CFOS 316L SS 1/

2" - CDA - CFOS

316L SS (pnuematics) From other NH racks

To other NH racks 3/8" - NH gas - EP 316L SS

3/8" - purge N2 - EP 316L SS 120 V 60 Hz 2A

Controller

Purge gas rack Purified N2/He purge gas cylinders with controller

FIGURE 25.3

120 V 60 Hz 2A

Controller

3/8" - NH gas - EP 316L SS

To other NH racks

Non-hazardous (NH) gas rack Dual process gas cylinders with controller

NH gas MVP

Inert specialty cylinder gas distribution diagram.

Downloaded from Digital Engineering Library @ McGraw-Hill (www.digitalengineeringlibrary.com) Copyright © 2004 The McGraw-Hill Companies. All rights reserved. Any use is subject to the Terms of Use as given at the website.

Geng(SMH)_CH25.qxd

04/04/2005

20:52

Page 25.9

SPECIALTY GAS AND CDA SYSTEMS SPECIALTY GAS AND CDA SYSTEMS

25.9

The nonhazardous gas (NH) racks may have capabilities such as semiautomatic purge and automated controls. Nonhazardous gases may be distributed to tools in several ways: • • • •

Direct feed from the NH rack to a single tool An AVMP to several tools Manual valve manifold panel to several tools (MVP, see Fig. 25.3 and Ref.13) Distribution to multiple tools via laterals similar to bulk gases

Automated valve manifold panels (AVMPs) include such features as a pressure monitoring (gauge or transmitters), pressure regulation, filtration, pneumatic valves, and a controller (see Fig. 25.4). Automated panels can also be capable of semiautomatic purging with a vacuum venturi. A manual valve panel is simply a back panel with a common supply to several (four to eight) manual valves (see Fig. 25.5). Manual valve panels (MVPs) while less expensive, require close coordination with tool personnel to enable proper commissioning, operation, and decommissioning.

25.4.2 Hazardous Gas Distribution Hazardous gases are supplied from dual-cylinder gas cabinets with automatic cylinder switchover, semiautomatic purge, gas detection, automated gas shutoff, and remote monitoring. Distribution is via coaxial tubing either directly to a single tool or through an automated valve manifold box (VMB) to multiple tools (typically four to eight). VMBs are exhausted enclosures with capabilities similar to a cabinet (see Fig. 25.6). HPM cabinets and VMBs are provided with programmable logic controllers (PLC) that monitor the operation of the equipment (e.g., pressure, exhaust flow, coaxial annular space pressure, status— on/off/purge) and provide alarms and source isolation. If any condition exceeds its set point, the controller will set off an alarm or shut down the gas flow as required. Controllers often include an LCD display of equipment schematic, valve positions, alarms, and operator prompts for routine sequences (e.g., cylinder change, gas stick purge).The controller can also take inputs from other systems (e.g., hazardous gas detection to shutdown gas flow) and provide outputs or information to remote monitoring and control systems (Sec. 25.4.5). Controllers may have several levels of security access.

FIGURE 25.4 A nonhazardous rack (purge) and automated valve manifold panel.

FIGURE 25.5

A manual valve panel.

Downloaded from Digital Engineering Library @ McGraw-Hill (www.digitalengineeringlibrary.com) Copyright © 2004 The McGraw-Hill Companies. All rights reserved. Any use is subject to the Terms of Use as given at the website.

Geng(SMH)_CH25.qxd

04/04/2005

20:52

Page 25.10

SPECIALTY GAS AND CDA SYSTEMS 25.10

GASES AND CHEMICALS Scrubbed exhaust Notes:

Purge gas vent to scrubbed exhaust or tool abatement

1. Gas detection report to hazardous gas detection system Utilities not shown Fire protection CDA N2

3/8 × 5/8"-Hgas

3/8"-Purge N2 - EP 316L SS Note 1 Controller

Controller

To other gas cabinets 1"-Purge gas vent-CFOS 316L SS

To other VMBs

To tools (typical of up to 8)

Note 1 Controller

Controller VMB

120 V 60 Hz 2A

Hazardous gas valve manifold box

Purge gas cabinet

Hazardous gas cabinet

Abatement unit

Purified N2/He purge gas cylinders with controller, each feed multiple cabinets of compatible gases

Dual process gas cylinders with controller

Thermal oxidation

FIGURE 25.6

1/4 × 1/2"-Hgas

Purge gas cabinet Located in subfab Purified N2/He purge gas cylinders with controller

Hazardous specialty cylinder gas distribution diagram.

25.4.3 General Distribution and Tool HookUp Considerations It is important to recognize that the preceding distribution approaches represent an overview of common configurations. There are many factors to consider in addition to the issues discussed, such as the gas characteristics, code requirements, desired flow and purity, and cost. Distribution concepts are also influenced by factors such as tool design and risk assessment. Many tools can be purchased with single points of connections for process gases. These tools will generally have a gas box where the gases are manifolded to several chambers. In cases where multiple connections of a single gas are required at the tool, several options are possible depending on the tool configuration. The service can be routed to several points of connection without isolation (valves independent of the tool). Where the isolation of hazardous gases is required, a gas box can be provided at the tool (some sites refer to this as a GIB—gas interface box). This would typically be an exhausted enclosure with exhaust monitoring and hazardous gas detection. Another method is to provide multiple lines from a distribution panel (e.g.,VMB, AVP, and MVP). Distribution concepts are highly influenced by the perceived risk to the process. That is, the more tools that are supplied from a single source, the greater the production impact of an interruption in supply. Some sites supply a single tool from a cabinet, while others provide 8 to 12 tools from a cabinet (based on the flow capacity). Some sites attempt to minimize costs and risks by maximizing the number of tools supplied from a single source and connecting the sources and tools such that only a portion of the same process tools are connected to the same source. In this way, a supply failure may bottleneck but not preclude production (for instance if two of the four tools performing a required process step are idled). The gas system design must consider the entire scope from sources to tools and include a detailed understanding of the tool (connection points, as well as flow and pressure) and the manufacturing process. 25.4.4 Gas Equipment Considerations Many of the design considerations discussed in the preceding paragraphs are summarized in Table 25.2. Specialty gas equipment should be designed to minimize the internal purge volumes and the number of mechanical connections to reduce the potential for contamination. The proper specification of gas equipment involves the consideration of numerous general and gas-specific details. The general requirements and basic operation of a gas cabinet or NH rack are available from most equipment suppliers and SEMI F13-93.14 Table 25.3 illustrates some of the many considerations and features of specialty gas supply equipment. A matrix of this sort would also include component Downloaded from Digital Engineering Library @ McGraw-Hill (www.digitalengineeringlibrary.com) Copyright © 2004 The McGraw-Hill Companies. All rights reserved. Any use is subject to the Terms of Use as given at the website.

AXO cabinet Dual outlet inert rack AXO inert rack AXO bulk rack

F2/Kr/ Ne Kr/Ne

Dual outlet cabinet

WF6

Corrosive gas room Corrosive gas room Corrosive gas room Corrosive gas room Flammable purifier room Subfab AVMB

AVMB

AVMB

AVMB

AVMB

AVMB

N N

Y

3/8" 1/4" × 1/2" 3/8" × 5/8"

3/8" 3/8" × 5/8"

N

N

1/4" × 1/2"

3/8" × 5/8" 3/8"

N

1/4" × 1/2"

3/8" × 5/8"

3/8"

N

3/8" × 5/8"

N

N

3/8"

N

3/8"

3/8"

1/2"

3/8"

N

CDO

CDO

PEA

PES

PES

PES

CDO

CDO

PES

PES

PES

PES

Purge gas vent (PGV)*

PES

PES

PEA

PES

PES

PES

PES

PES

N

N

N

PES

Exhaust†

Notes: 1. Gas cabinets, AVMBs, AVMPs, and racks require seismic restraint, 120V 20A UPS and network connections. 2. Gas cabinets, AVMBs, AVMPs, and racks require CDA for pneumatics. 3. Gas cabinets, AVMBs, and AVMPs require utility N2 for venturi. 4. MVPs may optionally use a purge header, with a valved VCR connection for purge cart hookup for their purge gas source. * Gas equipment with purge gas vent to abatement units (CDO or thermal decomposition) require interlock wiring. † Exhaust systems include PES—process exhaust to an acid scrubber and PEA—process exhaust to an ammonia (caustic) scrubber. ‡ Exhausts shall be set to obtain 200-fpm face velocity—this typically equates to 150 scfm. § Ductwork materials include TFE/SS—Teflon- or Halar-lined stainless steel and SS—welded stainless steel. ¶ Fire protection (FP) sprinklers in toxic and flammable cabinets.

SiH4

NH3

NF3

HCl

HBr

DCS

AXO cabinet Dual outlet cabinet AXO cabinet AXO cabinet AXO cabinet AXO cabinet Bulk

CH3F

AVMP

3/8"

3/8"

1/4" × 1/2"

3/8" × 5/8" 3/8"

N

Hookup line

Fit-up line

150

150

150

150

150

150

150

150

150

Exhaust flow (cfm)‡

TFE/SS

SS

TFE/SS

TFE/SS

TFE/SS

TFE/SS

TFE/SS

TFE/SS

TFE/SS

Exhaust duct§

N

N

Y

N

N

N

Y

Y

N

N

N

N

FP¶

Y

Y

N

Y

Y

Y

Y

Y

N

N

N

Y

Toxic gas monitoring

20:52

CF4 (bulk)

AVMP

MVP

Subfab Inert gas room Subfab support room Flammable gas room Subfab

AVMB

Subfab

Location

AVMB/ AVMP/ MVP

Heat trace and insulate (hookup line)

04/04/2005

CF4

Cabinet type

Gas type

Max cabinet flow rate (slpm)

TABLE 25.2 Specialty Gas Systems Design Matrix

Geng(SMH)_CH25.qxd Page 25.11

SPECIALTY GAS AND CDA SYSTEMS

Downloaded from Digital Engineering Library @ McGraw-Hill (www.digitalengineeringlibrary.com) Copyright © 2004 The McGraw-Hill Companies. All rights reserved. Any use is subject to the Terms of Use as given at the website.

25.11

Panel excess flow switch

Regulator

Cylinder scale

Cylinder size Cylinder shelf

Cylinder press monitor

Proc outlet size

Purifier

Safety relief

Process filter

Vent press monitor

Delivery press monitor

2 Cyl auto Yes 1/4" B No No crossover MVCR rack

CF 4

Downloaded from Digital Engineering Library @ McGraw-Hill (www.digitalengineeringlibrary.com) Copyright © 2004 The McGraw-Hill Companies. All rights reserved. Any use is subject to the Terms of Use as given at the website. No Yes No

Gauge Gauge 0-3000 0-200

No

Xducer Xducer No 0-3000 0-251

Xducer Xducer No 0-3000 0-250

Xducer Xducer No 0-1000 0-250

Vent switch

Toxic gas sensor port

He leak test port

Weld gas port

Coax pressure switch Proc out dual ISO

UVIR

No None

Yes Yes

No Class Yes Yes 1 div 2

Yes Yes

Z purge

Yes Yes No Yes 1/8 Yes 1/4 Yes Yes Yes No Class comp. 1 div 2 comp. union

No Yes 1/8 Yes 1/4 Yes Yes No comp. comp. union

Elec class No Class 1 div 2

Network

No Yes 3/8

No No 3/8

No No 3/8

No Yes No

No No No

No Yes No

No Yes No

Yes No

Yes No

Yes No

Yes No

No No

No No

No No

No No

No

No

No

No

No None

No None

No None

No None

No No

No Yes

No Yes

No Yes

No No 3/8 Yes Yes No Yes 1/8 Yes 1/4 Yes Yes Yes No Class COMP comp. 1 div 2 comp. COAX union

Yes Yes No 1/4

No

No

No

Xducer Xducer No 0-250

Xducer: pressure transducer COMP COAX: welded carrier line connection in coaxial compression connection COMP: compression MVCR: male VCR face seal connection UVIR: ultraviolet, infrared flame detector

B No No

No Yes No

No Yes No

2 Cyl auto Yes DISS crossover rack

Kr/Ne B No No

B No Yes No Yes No

2 Cyl auto Yes DISS crossover 716 rack

Xducer 0-250

Xducer Xducer Yes No No 3/8 0-250

No Yes Yes Xducer Xducer Xducer Yes No No 3/8 0-3000 0-250

A No Yes No Yes Yes Xducer 0-250

A No No

CHF 3

10% 2 Cyl auto Yes DISS crossover He/N 2 718 cabinet

Sprinkler head

A No Yes No Yes Yes Xducer Xducer Xducer Yes No No 3/8 No Yes 1/8 Yes 1/4 Yes Yes No 0-1000 0-250 COMP comp. comp. COAX union

A No Yes No Yes No

2 Cyl auto Yes DISS crossover 720 cabinet

NF 3

TEMP switch

B No Yes No Yes Yes Xducer Xducer Xducer Yes No No 3/8 Yes Yes No No Yes 1/8 Yes 1/4 0-1000 0-250 COMP comp. comp. COAX union

20:52

SiH 2 Cl 2 2 Cyl auto Yes DISS crossover 636 cabinet

2 Cyl auto Yes DISS crossover 640 cabinet

Gas

NF 3

System type 2 Cyl auto Yes DISS crossover 634 cabinet

Controller

HCl

Cylinder connection

2 Cyl auto Yes DISS crossover 634 cabinet

04/04/2005

HBr

Pneumatic cylinder valve

TABLE 25.3 Specialty Gas Equipment Consideration

Geng(SMH)_CH25.qxd Page 25.12

SPECIALTY GAS AND CDA SYSTEMS

Geng(SMH)_CH25.qxd

04/04/2005

20:52

Page 25.13

SPECIALTY GAS AND CDA SYSTEMS SPECIALTY GAS AND CDA SYSTEMS

25.13

pressure and flow ranges and manufacturers and model numbers. Special considerations may include the use of Hastalloy trim on regulators in corrosive gas service, solid stainless steel gaskets rather than nickel gaskets for face seal fittings in carbon monoxide service, and Vespel seats for components in nitrous oxide service. 25.4.5 Remote Monitoring, Control, and Management Remote monitoring and management systems are common, especially in large fabs. The gas management system is a human machine interface that allows monitoring, trending, and control of the gas equipment and cylinders. It is generally a PC-based system that is connected to the local UPS and emergency power. The gas management system monitors all of the information from the individual cabinets and valve panels via serial or Ethernet communications. It provides the operating status of the gas equipment, paging capabilities, equipment alarm status and history, and trending of gas usage. As shown in Fig. 25.7, the gas management system may share information with the facility monitoring and control system. The hazardous gas detection system can comprise several types of detectors. This system can provide outputs (e.g., gas shutdown, purge not available) to the gas equipment. The fire alarm system also interacts with the gas systems to monitor and alarm smoke and ultraviolet/infrared light detectors in the gas rooms. 25.4.6 High-Purity Tubing The distribution piping for specialty gases is generally 316L SS (stainless steel) electropolished tubing.15,16 This material is available in several grades and forms. Ten (10) microinch maximum Ra (arithmetic average roughness) surface finish tubing with automatic tube welded connections is commonly used. Final connections (to the tool and specialty gas equipment) are made with face seal connections. In the case of the coaxial tubing used for HPMs, the outer containment is cleaned for oxygen service 316L SS.17 Tubing is available in 20-ft lengths and coils of several hundred feet. Tubing sections are more commonly used in high-purity systems as the quality is more easily verified. Offsets LEGEND Control wiring (serial, analog, or discrete) Discrete wiring Facility monitoring and control system

Serial wiring TFE tubing

Hazardous gas detection system

FAS

Purge not available

Gas shutdown

Gas management system

Horn/strobe Ambient

In situ detectors

EGO UV/IR Smoke detectors Pull stations

FIGURE 25.7

Purge cabinet

Gas cabinet

VMB

Abatement unit

Ambient Tool

Tool Extractive gas detection analyzer

Gas management interface network diagram.

Downloaded from Digital Engineering Library @ McGraw-Hill (www.digitalengineeringlibrary.com) Copyright © 2004 The McGraw-Hill Companies. All rights reserved. Any use is subject to the Terms of Use as given at the website.

Geng(SMH)_CH25.qxd

04/04/2005

20:52

Page 25.14

SPECIALTY GAS AND CDA SYSTEMS 25.14

GASES AND CHEMICALS

are made with welded fittings or by bending the tubing. Bends for high-purity gas tubing are typically required to have a minimum radius of 10 times the tubing diameter. Some sites do not allow bending due to the potential for changes to the surface morphology, which could result in particle generation or corrosion. Coaxial tubing is monitored for integrity by one of several means: • Developing a vacuum in the annular space: The negative pressure is then monitored to ensure line integrity. This can be costly and complicated to develop and maintain. • Pressurization of the annular space: This can be done with nitrogen or a mixture of helium and nitrogen. The pressure in the annular space is set to below the process gas pressure (approximately 30 psi) and monitored to ensure line integrity. The use of a helium mix can facilitate leak checking. In some cases, such as with pyrophorics the annular space may be pressurized above the process gas pressure to ensure that the highly reactive process gas does not enter the annular space. • Gas detection: Monitoring for the specific process gas in the annular space is the easiest to do; however, the response time to a leak is the slowest and leak identification can take longer. The response time can be improved by using nitrogen to provide trickle flow purge toward the gas detector. These methods may not be appropriate for highly toxic and pyrophoric gases. Hastalloy C-22 tubing is sometimes considered for corrosive service. However, due to its higher cost, longer lead time, limited availability of components (e.g., valves), and surface finishes limited to 20 to 25 microinch Ra mechanical polish, it is rarely used. Electropolished 316L SS is used in fabs with special measures to prevent the introduction of moisture into the system. These measures include the specification of high purity, low moisture cylinder gas, the use of purified nitrogen purge gas, careful commissioning and desorption, and cylinder change and purge procedures.18

25.4.7 Purge Gas Supply Purge gas is used to evacuate hazardous gases for the protection of personnel prior to maintenance activities and to prevent the intrusion of atmospheric contaminants when the integrity of the gas system is broken (e.g., cylinder changes and component replacements). Purge gas can be provided to NH racks by several means. An NH rack with purge gas cylinders can be provided with piping to NH racks of the same, or compatible gases (refer to Fig. 25.3). House nitrogen may also be used for purging. Caution must be used in the design of such a system to prevent backflow or diffusion of the high pressure cylinder gas into the nitrogen system. Purge nitrogen systems can be separate, dedicated distribution networks with multiple backflow protection devices such as a pressure regulator, pressure relief valve, and/or a purifier. Purge gas for HPM gases is generally provided from purge gas cylinders in cabinets (refer to Fig. 25.6). In some cases, three cylinder cabinets are used, which include two process cylinders and one purge cylinder in a single cabinet. In the case of AVMBs, AVMPs, and MVPs, where purging is done less frequently, purge carts may be an economical choice (Fig. 25.8). A purge cart is simply a movable cart with a gas valve panel and a purge cylinder which can be connected to the distribution panel for tool commissioning, tool purging, and component replacements. The filtration and purification of purge gas sources should be considered relative to the source purity, process purity needs, gas characteristics, and cost. The type of gas used for purging should also be considered. For FIGURE 25.8 A purge cart. instance, cylinder purge gas allows the use of a helium/nitrogen mix,

Downloaded from Digital Engineering Library @ McGraw-Hill (www.digitalengineeringlibrary.com) Copyright © 2004 The McGraw-Hill Companies. All rights reserved. Any use is subject to the Terms of Use as given at the website.

Geng(SMH)_CH25.qxd

04/04/2005

20:52

Page 25.15

SPECIALTY GAS AND CDA SYSTEMS SPECIALTY GAS AND CDA SYSTEMS

25.15

which is more costly than nitrogen, but can be useful for leak checking final connections. Many laser gases require the use of helium for purging to preserve the laser optics. 25.4.8 Exhaust Abatement Abatement of the enclosure exhausts and purge vent gases to 1/2 IDLH can be provided by several means depending on the gas and volume. Exhausts from the gas rooms can be directed to a facility exhaust scrubber, or to a dedicated scrubber. In some cases, restrictive flow orifices may be specified with the gas cylinder to limit a potential release to manageable levels. Purge vent gases may be handled in several ways depending on the specific gas. Inerts and readily water-soluble gases may be directed to the scrubbed exhaust, toxic gas cabinet purge gases may vent to a separate dedicated abatement unit such as a thermal decomposition unit (CDO), while toxic and pyrophoric VMB purges may be integrated into the tool abatement units. 25.4.9 Special Considerations One of the challenges of specialty gas systems are the many special considerations based on gas properties, chemistry, and thermodynamics. For instance, fluorine passivation of tubing is often used for fluorinated services such as tungsten hexafluoride (WF6) and fluorine laser gas mixtures. Fluorine passivation provides a protective barrier that impedes the reaction of fluorine with stainless steel.19 In untreated tubing, fluorine will react with stainless steel to deplete the chromium enriched surface layer via the formation of chromium fluorides and oxyfluorides. The heat tracing and insulation shown in the matrix (Table 25.2) for these services are used for bake out (moisture desorption) prior to passivation. The delivery of liquefied, low vapor pressure gases such as WF6, C4F6, and dichlorosilane (DCS) involve unique approaches to prevent the condensation of gas in the delivery lines. The objective with gases of this type is to keep the gas conditions in the process tubing below the saturation point of the gas.18 This can be accomplished by one of three means: • Heat the distribution tubing: Providing uniform heating throughout the distribution system is difficult and expensive. The small coaxial lines and fittings are difficult to heat trace and insulate especially inside cabinets and valve boxes. Ensuring uniform temperature control is difficult (especially with coaxial tubing) and expensive even with the newer heat tracing and insulation products. • Cool the cylinder: Special cylinder cooling units are available. Heat tracing and insulation of the distribution lines are often included with this solution to provide a positive temperature gradient toward the tool. However, cooling the cylinder reduces the pressure and flow capacity of the supply source. • Reduce the delivery pressure to below the saturated vapor pressure: With the use of absolute pressure regulators and absolute mass-flow controllers from the cabinet to the tool, the condensation of gas in the lines can be prevented. Heat tracing and insulation may also be required with this solution depending on the gas line route. Gas flow from a cylinder can be limited by evaporative cooling, especially in the case of moderately low pressure gases (e.g., NH3, Cl2, and C4F8) used at high flows. As the gas flows out of the cylinder, the remaining gas expands (or liquid evaporates). In order to maintain a high flow, heat must be transferred through the cylinder walls into the gas. This heat transfer is limited by the convective transfer between the cylinder and its surroundings. If this heat transfer is not satisfied then the gas cools and flow is limited. This can be overcome by insulating and heating the cylinder to maintain the required gas temperature at the demand flow rate. Joule-Thomson (J-T) cooling can also occur at high flows. This effect, discovered in 1852 by William Thomson (later Lord Kelvin) and James Prescott Joule, describes the change in temperature that occurs when a gas expands into a region of lower pressure.20 The gas-specific J-T coefficient (uJT) determines the size of the effect. Generally the result is cooling on expansion (positive uJT). Downloaded from Digital Engineering Library @ McGraw-Hill (www.digitalengineeringlibrary.com) Copyright © 2004 The McGraw-Hill Companies. All rights reserved. Any use is subject to the Terms of Use as given at the website.

Geng(SMH)_CH25.qxd

04/04/2005

20:52

Page 25.16

SPECIALTY GAS AND CDA SYSTEMS 25.16

GASES AND CHEMICALS

The J-T coefficient is dependent on the temperature and molecular parameters, which account for energetic interactions and the size of the molecules. These parameters are predicted by the van der Waals equation of state. Molecules having stronger attractive interactions should show the larger temperature decrease. The amount of cooling also increases in proportion to the pressure difference at the throttle, and increases substantially when the starting temperature of the gas is decreased. In practice, this effect is mainly of concern at the regulator in the gas cabinet (or rack). The use of gas-specific thermodynamic data such as saturated vapor pressure versus temperature, cylinder pressure versus time at various flows, and pressure versus enthalpy are required to address these issues. This data is often available from the gas supplier or can be found in reference sources.1,2,21 One of the major challenges in the design of specialty gas equipment and systems is the diversity of gases and applications. This situation becomes even more challenging given the time constraints of a typical fab project.

25.5 IMPLEMENTATION 25.5.1 Strategic Planning The successful implementation of specialty gas systems involves the development of an overall strategic plan to include the integration and execution of several interdependent phases including: 1. 2. 3. 4. 5. 6. 7.

Design Equipment supply Cylinder gas supply Installation Test and commissioning Tool hookup Operation

It is in the fab owner’s interest to develop a strategic plan that encompasses all the project requirements. For instance, the trend in the semiconductor industry seems to be toward outsourcing of the specialty gas systems operation and maintenance. A likely party for this scope would be the gas equipment vendor. For cost reasons, this scope should be negotiated along with the gas equipment and gas cylinder supply contracts. Some gas vendors will also perform design/build scope, in which case all or major portions of the above seven phases would be performed by the gas vendor. Partnering with a gas vendor to perform multiple portions of work and provide a point of use guarantee has also become much more common. The decision as to how to execute the necessary work is very company and project specific. Many different approaches can yield the desired results. What’s important is to define the desired results and develop an overall strategic plan to accomplish them. Table 25.4 lists many elements of a specialty gas systems project and some of the options to achieve them. This table is designed with large projects in mind. In many cases, new equipment additions and small projects are handled almost entirely by the owner. The remainder of this section looks at specific implementation elements in greater detail. 25.5.2 Design The project design is generally the first step and is usually broken into several phases—programming or conceptual design, preliminary or initial design, detailed or final design, and construction services or support. These phases are not discrete and generally overlap. The conceptual design phase would have the following deliverables: • Definition of applicable codes, local requirements, and other considerations • Initial list of gases by area and possibly tool Downloaded from Digital Engineering Library @ McGraw-Hill (www.digitalengineeringlibrary.com) Copyright © 2004 The McGraw-Hill Companies. All rights reserved. Any use is subject to the Terms of Use as given at the website.

Geng(SMH)_CH25.qxd

04/04/2005

20:52

Page 25.17

SPECIALTY GAS AND CDA SYSTEMS SPECIALTY GAS AND CDA SYSTEMS

25.17

TABLE 25.4 Execution Scope and Alternative Execution Alternatives Design/Build Construction Local ThirdArchitectural Specialty Gas Specialty Specialty Engineering Management Supply Party Owner and Vendor Contractor(s) Fabricator Firm Firm Firm QA/QC Engineering Firm

Scope Design Project cost, schedule, and coordination Building and gas room construction Furnish equipment Cylinder gas supply Local cylinder storage delivery Installation of specialty gas systems Quality assurance and quality control POU guarantee Monitoring and control systems Gas detection Commissioning Acceptance testing Tool hookup design Tool hookup installation Tool hookup QA/QC Operation and maintenance Sustaining engineering

• • • •

Descriptions of equipment and distribution system configurations Initial gas room locations and sizing Initial feasibility assessment of bulk specialty gas supply Potential evacuation strategies

Preliminary design would finalize the above items and develop: • The proposed distribution concept including pipe routing and location of valve manifold boxes and panels • Purge and abatement solutions with space allocation • Initial process and instrumentation diagrams (P& IDs) for each type of gas system configuration • Preliminary specifications for: • • • • •

Gas equipment Abatement equipment Tubing materials Monitoring and control systems Gas detection systems

Downloaded from Digital Engineering Library @ McGraw-Hill (www.digitalengineeringlibrary.com) Copyright © 2004 The McGraw-Hill Companies. All rights reserved. Any use is subject to the Terms of Use as given at the website.

Geng(SMH)_CH25.qxd

04/04/2005

20:52

Page 25.18

SPECIALTY GAS AND CDA SYSTEMS 25.18

GASES AND CHEMICALS

• Coordination of required interfaces and system needs (e.g., power, and exhaust) • List of qualified vendors, installation contractors, and equipment and material lead times • Tool hookup concepts based on specific tool configurations Detailed design would involve the production of early material and equipment purchase documents, and construction drawings and specifications. Construction services may involve such activities as bid analysis, submittal review, construction observation, and commissioning support. The owner’s role in the design process is crucial to the success of the project. Providing appropriate, accurate, and timely information and decisions during this phase sets the stage for the remainder of the project. Another important aspect for owners is a process for change management. The need or desire for changes will arise. A process must be in place to assess the benefits and impacts, and then decide, track, and communicate changes. The later stages of the design activities will overlap with equipment supply and installation. 25.5.3 Installation Some of the critical aspects of the installation or construction phase are selecting qualified contractors, field issues, and field quality assurance. The availability of key resources is critical to successful implementation. The availability of qualified and experienced detailed designers, high-purity welders, field superintendents, project engineers, and managers will significantly influence the project. In addition to assessing and attracting qualified firms and personnel, it is important for the owner to ensure the accurate and timely resolution of field issues. Field productivity and quality are both important to a successful project. 25.5.4 Quality Assurance and Control A quality assurance program integrated into the execution strategy can help to achieve the project goals. In addition to ensuring conformance to the construction documents, a quality assurance program should strive to improve field execution by optimizing work methods and providing effective communication between the engineering staff and skilled trades in the field. A quality assurance program may involve several parties including a quality representative from the installing contractor known as the quality control representative (QCR), a third-party specialist, or owner’s personnel known as the quality assurance representative (QAR), and a quality manager (QM) who is the owner or owner’s representative (e.g., construction manager). Two key considerations are the qualifications of the quality assurance personnel and the extent of owner control over the performance of critical tasks. Quality assurance personnel, particularly the QARs, should have a technical background, several years of field experience, and be certified welding inspectors. Quality assurance tasks critical to the performance of the system should be executed by a firm whose only obligation is to the owner. Table 25.5—Quality Assurance/Quality Control Program Responsibilities Matrix—outlines many of the program activities and responsibilities. Again there are many different ways to successfully structure this scope depending upon the desired results, project size, and execution strategy. 25.5.5 Start-up, Commissioning, and System Acceptance Start-up, commissioning, and system acceptance activities occur at the end of the installation and before normal operation. The criteria for system acceptance must be included in the design specifications and will define the expectations for such items as: • Training • Operation and maintenance manuals • Checklist of prerequisites for operation including utilities, safety systems, signage, calibration records, Quality assurance/quality control (QA/QC) documents, sequences of operation, as built P & IDs • Purity testing

Downloaded from Digital Engineering Library @ McGraw-Hill (www.digitalengineeringlibrary.com) Copyright © 2004 The McGraw-Hill Companies. All rights reserved. Any use is subject to the Terms of Use as given at the website.

Geng(SMH)_CH25.qxd

04/04/2005

20:52

Page 25.19

SPECIALTY GAS AND CDA SYSTEMS SPECIALTY GAS AND CDA SYSTEMS

25.19

TABLE 25.5 QA/QC Program Responsibilities Matrix Activity Specification generation Vendor qualification Material and component testing prior to shipment Material and component inspection on receipt Equipment testing prior to shipment Equipment inspection on receipt Storage and handling areas and methods Contractor submittals Weld and test gas certification Weld qualification Weld QA Cleanroom protocol Cleanroom monitoring DI water quality monitoring Piping fab areas and operation Installation—inspection and testing Pressure test Acceptance testing Performance testing Out-of-spec action

Contractor (QCR)

Gas vendor

R R R P

R P

P P P P P S

S P P

S

M P W R V V V V

V V W V

QAR

Owner

R R V V V V W V W W P W P P V W W P W W

R P M M M M M R M M M M M M M M M M W M

Key: P—Perform, provide labor and material; S—supervise, coordinate, direct, and oversee activity; M—monitor, oversee, and review on periodic basis; W—witness, be present, and sign-off; V—verify, perform independent random testing; R—review and approve.

• Functional testing of equipment, components, instruments, control loops, alarm set points, and monitoring and control systems • Operational testing generally in fully automatic mode for a specified period of time Acceptance purity testing of specialty gas systems involves integrity and purity testing of the equipment prior to shipment, testing of the installed specialty gas system (source cabinet or rack to the VMB or MVP) at the outlet of the valve manifold, and testing of the gas lines to the final connection at the tool. This often includes pressure hold (process line and coaxial annular space), inboard helium leak to 1 × 10−9 cc/s, moisture, oxygen, and particle testing with acceptable contaminant levels and specifications for test equipment and procedures to be used. 25.5.6 Tool Hookup Tool hookup must be considered in the initial strategic plan and throughout the implementation phases, especially system design, installation, QA/QC, and commissioning. Considerations such as tool configuration (e.g., single drops per tool versus multiple) and the level of acceptable risk (e.g., number of tools per source) must be addressed in the system design in order for the hookup phase to be successful. The use of the same construction and QA/QC forces for system installation and hookup can be an important consideration in terms of expertise, resources, and schedule. Commissioning requirements should take the entire scope (source to tool) into consideration to avoid duplications or omissions. With appropriate planning the inherent challenges of an initial factory tool ramp can be limited. 25.5.7 Operations, Maintenance, and Sustaining Engineering Properly trained operations personnel are crucial to a successful specialty gas system. Operations and maintenance functions may be outsourced to a gas vendor, or other qualified firms. These services

Downloaded from Digital Engineering Library @ McGraw-Hill (www.digitalengineeringlibrary.com) Copyright © 2004 The McGraw-Hill Companies. All rights reserved. Any use is subject to the Terms of Use as given at the website.

Geng(SMH)_CH25.qxd

04/04/2005

20:52

Page 25.20

SPECIALTY GAS AND CDA SYSTEMS 25.20

GASES AND CHEMICALS

may also be performed by in-house personnel. In either case, training and sufficient staffing is crucial, especially during the start-up, and hookup of the initial tool sets. Consideration should be given to such issues as: • Necessary equipment and supplies (helium leak detectors, portable gas detectors, tools, carts, cleanroom garments, personal protective equipment) • Emergency response activities and supplies • Interface with gas detection systems • Parts and consumables inventory (e.g., gaskets, filters, regulators, and gas sticks) • Certifications (ISO 9000) • Regular reports, safety, and performance metrics (e.g., uptime, MTBF, and MTTR) • Documentation and coordination of procedures for the commissioning, energizing, and decommissioning of gases to tools • Cylinder inventory levels and shelf life22 • Incoming product quality monitoring The careful monitoring and planning of gases to tools is required to ensure the availability (connection points) and capacity (flow rate) of gases. This information is likely to change frequently and therefore should be regularly verified. Table 25.6 shows a simple example of such a tracking tool. This could be a spreadsheet or relational database depending on the needs of the users and customers and could also include such information as product specifications and links to process recipes. TABLE 25.6 Gas to Tool Management Area: Diffusion Building: FAB X Gas name Ammonia

Gas NH3 NH3 NH3 NH3 NH3 NH3 NH3 NH3 Total flow NH3 NH3 NH3 NH3 Total flow NH3 NH3

Max. source flow (slpm)

Stick flow (slpm)

Cabinet

Manifold

Stick

SGNHCRF2 SGNHCRF2 SGNHCRF2 SGNHCRF2 SGNHCRF2 SGNHCRF2 SGNHCRF2 SGNHCRF2

SGNHF10B SGNHF10B SGNHF10B SGNHF10B SGNHF10B SGNHF10B SGNHF10B SGNHF10B

A B C D E F G H

Tool

SGNHCRF3 SGNHCRF3 SGNHCRF3 SGNHCRF3

SGNHF10A SGNHF10A SGNHF10A SGNHF10A

A B C D

ABCD02B ABCD01DB

Available Available Inactive Active

SGNHG11B

A

RSTX02DA

Inactive

SGNHG11B

B

RSTX01DA

Inactive

XYZZ01DB

Revised

Status

12/4/03

Available Available Available Available Available Available Available Active

Notes

Was NZDZ01DB

Locked out at tool Locked out at tool

Total flow

Downloaded from Digital Engineering Library @ McGraw-Hill (www.digitalengineeringlibrary.com) Copyright © 2004 The McGraw-Hill Companies. All rights reserved. Any use is subject to the Terms of Use as given at the website.

Geng(SMH)_CH25.qxd

04/04/2005

20:52

Page 25.21

SPECIALTY GAS AND CDA SYSTEMS SPECIALTY GAS AND CDA SYSTEMS

25.21

Frequent communication with the equipment and process engineers and equipment planners will help to ensure equipment and product readiness by helping to forecast gas usage and anticipate potential changes (new gases or tools). The implementation of specialty gas systems will always be challenging given the semiconductor industry’s critical time to market. However a comprehensive implementation strategy can make this process better, faster, and cheaper provided the approach has the support of key stakeholders and management. 25.5.8 Sustaining Engineering While much of this chapter is devoted to developing and designing specialty gas systems for a new factory, these same principles and guidelines can be applied to smaller scale projects from retrofitting areas to adding a new gas system. In addition, this section highlights some of the opportunities to optimize specialty gas systems. The potential to reduce the cost and cycle time exists in every fab. Opportunities may exist in one or more areas including • Equipment and distribution: Configurations can be simplified, and the number of tool connections per unit of equipment can be increased to reduce costs. • Product: Synchronization of process requirements with product specifications, optimization of cylinder change criteria, shelf life, and inventory, and consideration of alternate suppliers and container sizes, and possibly bulk supply. • Inventory: Reduction of product as well as spare parts. • Manpower and processes: Streamlining of processes and reduction of the cycle time for new installations, commissioning, and decommissioning. In the case of these systems, there are technical and other obstacles to change, which must be overcome. The technical challenges are addressed throughout this chapter and include code and risk assessment, understanding the process requirements, and the specific gas properties and behavior. Other challenges involve the perceptions and preferences of various internal and external customers and stakeholders including suppliers (gas, gas equipment, and tool suppliers), equipment engineers, process engineers, operations, and environmental health and safety.

25.6 FUTURE TRENDS ON SPECIALTY GAS SYSTEMS Several noticeable trends in specialty gas systems include: • • • • •

The increasing use of bulk specialty gas systems for high-volume fabs A continued need for reduced cost and increased uptime The emergence of new gases as the manufacturing process evolves 300 mm wafer processing Integrated gas systems

Bulk specialty gas supply (BSGS) systems are standard vendor-supplied packaged systems to supply inert or hazardous specialty gases from bulk containers to valve manifolds (e.g., MVMBs, AVMPs, MVPs). Bulk containers can be “Y cylinders” (24-in diameter horizontal cylinders), isotainers, hydril tube trailers, or bulk tanks. The systems may be located in the fab building, remote from the fab at a back pad, or both. BSGS systems are typically easier to apply to new factories, unless provisions were made for future bulk systems. These systems can significantly reduce the capital and operating costs. Product cost savings based on bulk containers can range from 5 to 50 percent ($/lb basis). The use of bulk containers also significantly reduces the number of cylinder changes (one Y cylinder ~ eight A or B cylinders). Since

Downloaded from Digital Engineering Library @ McGraw-Hill (www.digitalengineeringlibrary.com) Copyright © 2004 The McGraw-Hill Companies. All rights reserved. Any use is subject to the Terms of Use as given at the website.

Geng(SMH)_CH25.qxd

04/04/2005

20:52

Page 25.22

SPECIALTY GAS AND CDA SYSTEMS 25.22

GASES AND CHEMICALS

most incidents occur during cylinder changes, bulk systems can be safer.23 An assessment of costs involves comparing the BSGS costs and savings from purchasing bulk material to the cost of gas cabinets. BSGS can be justified based on high volume usage (material savings) and/or a large number of points of use (driven by installed cabinet costs). Table 25.7 serves a foundation to evaluate the cost of BSGS for a new factory. Prior to performing this cost comparison it may be useful to develop a short list of likely gases by determining which have: • • • •

The highest usage per month The highest cost per month The highest number of points of use The largest product cost delta (cylinders versus bulk containers)

Typically, several gases will appear in more than one of these categories. These will have the highest potential for a favorable payback and should be evaluated first. The values for items A, B, and C in Table 25.7 should be readily available. The costs for BSGS systems (items D, E, and F) may require some additional investigation. The installation costs for a BSGS system can be especially difficult to define for an existing facility. In the case of an existing facility, it is also unlikely that the material savings will offset the total BSGS costs (except perhaps inerts). Once a solid design concept is developed, the cost assessment can be straightforward. However, the design must be carefully and thoroughly thought through to address issues such as weatherproofing of systems located outdoors and environmental and regulatory considerations with locating large HPM containers.24 In addition to the usual considerations of specialty gas system design, bulk systems have additional unique issues. For instance, some standard BSGS are designed strictly to replace the gas cabinet as the supply source and do not always address the distribution issues inherent to bulk supply. Usually a gas cabinet supplies a single VMB that is installed with the gas cabinet. With a bulk supply system, one or several VMBs may be installed with the BSGS and several more may be added in the future. Some consideration must be given to future expansion and modifications without service interruptions (e.g., standard VMBs, or custom engineered main valve boxes that can be used as “primary VMBs,” which supply other secondary VMBs). The number, location(s), and control functionality of these units require careful consideration, as they can be crucial to the performance of the system. Consider the inert BSGS system depicted in Fig. 25.9. With inert gases it is relatively easy to plan future build-out valves for back-up sources and future tools. With hazardous gases it is much more difficult to achieve these capabilities due to the need for exhausted enclosures, toxic gas monitoring, and automatic isolation of the supply. A bulk silane system is depicted in Fig. 25.10. This is a fully redundant system with future expansion capabilities from spare gas sticks in the primary VMBs. The operation of this system was revised, from its original design, to prevent interruption of service due to high process pressure, or leak detection in a single primary VMB. While BSGS can have significant cost advantages, they also involve a higher level of risk because a larger number of tools are dependent on a single system.25 The cost of an unplanned interruption could exceed several years of BSGS cost savings. This is especially important when considering the use of BSGS for multiple wafer processing tools. In the case of hazardous BSGS systems a formal analysis (e.g., failure mode and effect analysis, and fault tree analysis) of failure modes is warranted.

TABLE 25.7 Standard Cylinder Versus Bulk Container Gas Supply Assessment Summary

Gas

Points of connection

Number of cabinets required

X

YY

A

Cabinet unit cost

Cabinet install cost

BSGS unit cost

BSGS install cost

Annual bulk product savings

Cabinet total

BSGS total

First year BSGS cost delta

B

C

D

E

F

A(B + C) = G

D+E–F=H

H–G

Downloaded from Digital Engineering Library @ McGraw-Hill (www.digitalengineeringlibrary.com) Copyright © 2004 The McGraw-Hill Companies. All rights reserved. Any use is subject to the Terms of Use as given at the website.

04/04/2005

20:52

Page 25.23

SPECIALTY GAS AND CDA SYSTEMS SPECIALTY GAS AND CDA SYSTEMS

25.23

Gas room subfab Keyed notes 2 Purge requirements of inert gas trees to be satisfied by local purge header or mobile purge cart. 4 Regulator 5 Filter 7 Flex hose 8 Diss connector

1/2−dair Pes header gas header Purge vent

3/8−PN2

From other non-hazardous racks (typ) as required 1/4 To other Future non-hazardous racks (typ) as required 1/2−XXX

From purge cart

3/8−PN2 PN2 to other inert racks (typ) as required

3/8−PGV

1/4 1/4−PN2

1 × 3/8

1/4−dair 1/4−PN2

N2 purge vent

From dair

3/8−PGV

Geng(SMH)_CH25.qxd

Future

To IGT #2 as required 3/8−XXX

To tool typical up to 8 sticks

2 IGT Inert gas tree

Purge gas rack Purified N2 purge gas PT from cylinders 7 8 1/2−XXX PT PT 7 8 1/2−XXX

FIGURE 25.9

120 VAC 60Hz 2A 4

4

5

5

Inert BSGS system schematic.

Although not strictly a part of BSGS systems the use of bulk helium has become more common in recent years. The material cost of bulk helium is orders of magnitude less than cylinders. Helium can be supplied from a hydril tube trailer, “Y” cylinders, or a manifolded rack of cylinders (6 pak) and distributed similar to traditional process bulk gases (e.g., argon and oxygen). The purification of helium may be required depending on the application requirements. These examples highlight just a few of the many issues and opportunities that must be carefully considered with bulk specialty gas systems.

Fab building

Silane back pad

Secondary VMBs

Tube trailer B

Tube trailer A Side B controller

Primary VMB 1

Primary VMB 2

Side B process panel Side A controller

Crossover panel

FIGURE 25.10

Side A process panel

Bulk silane schematic.

Downloaded from Digital Engineering Library @ McGraw-Hill (www.digitalengineeringlibrary.com) Copyright © 2004 The McGraw-Hill Companies. All rights reserved. Any use is subject to the Terms of Use as given at the website.

Geng(SMH)_CH25.qxd

04/04/2005

20:52

Page 25.24

SPECIALTY GAS AND CDA SYSTEMS 25.24

GASES AND CHEMICALS

As the industry continues to mature, the trend toward reduced cost and increased uptime will continue. This is evident in the continued movement toward outsourcing through such means as expanding the role and scope of supply (partnering) with major gas suppliers and reducing initial capital investments (by simplifying specialty gas systems, supplying more tools from a single supply, using bulk specialty gas systems, and leasing of supply systems, among other measures.). Developments in the semiconductor industry have resulted in several new gases and delivery methods. Safety concerns with the use of highly toxic implantation materials have led to the use of low-pressure cylinder packages for gases such as BF3, AsH3, PH3, and others. This approach allows the delivery of the implant gas to the tool under vacuum from a cylinder package that is at a very low pressure (e.g., less than 30 psig to −4.7 psig26). This minimizes the potential for accidental releases. New gases and applications will continue to emerge for a variety of reasons. The highly reactive chlorine trifluoride has been proposed for more efficient chamber cleans. The substitution of gases such as C2F6 for CF4 and NF3 has been used to increase the chamber clean efficiency and decrease the environmental impact of fluorinated carbons (in terms of tons of carbon equivalents).27 Process requirements have led to the use of new reactive gases such as C3F8, C5F8, and C4F6. The drive toward smaller devices has also led to shorter wavelength lasers (248 to 193 and 157 nm) using argon rather than krypton-based reactive (Kr/F2/Ne, Ar/F2/Ne) and fill (Kr/Ne, Ar/Xe/Ne) gases. While these new gases and applications offer advantages, their characteristics must be carefully considered before designing the supply and distribution systems as they can be more toxic and difficult to distribute. Future developments may include the use of integrated gas system components in gas cabinets and particularly valve manifolds. Integrated gas systems (Fig. 25.11) involve the modular assembly of compact surface mount components (e.g., valves, regulators, and filters). They take up 30 to 60 percent less space, have 50 percent less wetted area, and can be faster and less costly to repair and replace (20 to 50 percent improvement in MTTR) than conventional welded assemblies.28 In some applications, flow and pressure drop may currently be a limitation. The initial cost of integrated gas systems is higher; however, this is expected to decrease as production volumes increase. These components are currently used in some of the new tools, especially 300 mm etch platforms. The industry’s move to 300 mm is unlikely to significantly change specialty gas systems, other than to perhaps expand the usage of bulk systems. This is due more to the fact that 300 mm fabs tend to be high-volume factories than to dramatically increased gas consumptions. 300 mm processes use higher volumes of gas, but it is no where near the 2 to 3× increase once predicted.

FIGURE 25.11

Integrated gas systems.

Downloaded from Digital Engineering Library @ McGraw-Hill (www.digitalengineeringlibrary.com) Copyright © 2004 The McGraw-Hill Companies. All rights reserved. Any use is subject to the Terms of Use as given at the website.

Geng(SMH)_CH25.qxd

04/04/2005

20:52

Page 25.25

SPECIALTY GAS AND CDA SYSTEMS SPECIALTY GAS AND CDA SYSTEMS

25.25

25.7 CLEAN DRY AIR 25.7.1 Introduction—CDA Semiconductor fab clean dry air (CDA) system designs have received increasing attention because of the requirements of photolithography tools. Technology developments in the integrated circuit (IC) industry have led to the use of lithography tools with higher purity, flow, and pressure requirements, placing new demands on CDA system design. This section discusses traditional CDA system design, the role of vendors in supplying CDA to fabs, and lithography tool requirements. It presents measures that existing and future fabs can take to meet their CDA needs and discusses the potential impact of those measures on the manufacturing process. 25.7.2 CDA System CDA is used in many applications throughout the fab and support areas, including in pneumatic controls and tools, purging equipment, air cylinders for machine actuation, product cleaners and blowoff devices, and air-driven pumps. A fab’s CDA system is typically located in the central utility plant and is configured along the lines of the simplified schematic in Fig. 25.12. The system is normally designed to provide –80 to –100°F dew-point air with 0.01-to -0.003-µm filtration. The delivered pressure to the point of use is generally 100 psig. Generally, multiple compressors are needed to generate CDA, and an additional unit (n + 1) serves as a standby. Design data from many fabs indicate that CDA consumption can vary significantly from 25 to 50 std cu ft/min per 1000 sq ft of production cleanroom area. CDA consumption in newer fabs seems to be closer to a nominal 40 std cu ft/min per 1000 sq ft of cleanroom area. Typically, more than 80 percent of the CDA system is used to support manufacturing equipment, while the remaining 20 percent is used for instrument air and utility applications (Fig. 25.13). In the manufacturing area, wet and lithography applications are the largest consumers of CDA, each using 15 percent of the facility’s total supply. Empirical data from one factory indicate that the actual nominal CDA consumption is 55 percent of the manufacturer’s design-flow requirements. Surprisingly, the correlation between wafer starts and CDA use is higher than that for many bulk process gases. 25.7.3 Requirements of Modern Photolithography Tools Photolithography is the most demanding of all fab processes. Depending on the specific model, a lithography tool can require low-parts-per-billion concentrations of organic and inorganic species, a high air-pressure supply, and high airflow.

Instrument air Receiver

CDA

Compressors (n + 1)

Dryers (n + 1)

After filters (n + 1)

Final filters (n + 1)

FIGURE 25.12 Schematic diagram of a typical central plant CDA system located inside the central utility building.

Downloaded from Digital Engineering Library @ McGraw-Hill (www.digitalengineeringlibrary.com) Copyright © 2004 The McGraw-Hill Companies. All rights reserved. Any use is subject to the Terms of Use as given at the website.

Geng(SMH)_CH25.qxd

04/04/2005

20:52

Page 25.26

SPECIALTY GAS AND CDA SYSTEMS 25.26

GASES AND CHEMICALS

Other fab areas 30%

Probe, assembly, and test 20%

Facilities 20%

Fab wets 15% FIGURE 25.13

Fab lithography 15% Breakdown of CDA used by fab area.

Some lithography steppers have high-purity requirements in order to prevent lens contamination caused by photochemical reactions. While lenses can be cleaned, the cleaning process is difficult, time-consuming, and disruptive to the production process. Moreover, lenses can be cleaned only a limited number of times before their coating is damaged and replacement becomes necessary. Lens replacement can cost several million dollars. As device geometries shrink, the potential for lens contamination increases. The shorter wavelengths and higher light intensities used to manufacture devices with small linewidths increase the incidence of photochemical reactions. While specific organic and inorganic species of concern differ from one manufacturer’s tool to another and from one technology generation to another, less than 1-ppm levels of total organic carbon or total hydrocarbons, low parts-per-billion levels of organic gases, and moderate parts-per-billion levels of specific volatile inorganic species can contaminate lenses. Species of concern include ammonia compounds, amines, sulfates, and phosphates. In many cases, finding a lab capable of performing contamination analyses can be challenging. Typically, CDA systems produce air at 100 psig. However, scanner tools require a higher CDA pressure of 125 psig for optimal performance. Furthermore, scanners require twice as much airflow as steppers because they use active air mounts for vibration isolation and air bearings for stage positioning. New-generation track tools, on the other hand, require five times more CDA than tools installed five years ago because they incorporate hot plates where CDA is used to remove heat rapidly. These data are based on the total design flow specifications rather than the actual tool data; preliminary data indicate that actual scanner-tool CDA use is approximately half of the tool’s rated airflow. Clearly, new fabs must consider the requirements of critical lithography tools when they set out to design a CDA supply system. However, even new fabs may be forced to procure such a system before they have detailed information on the process equipment they will be installing. When new tools are to be used in an existing facility, the CDA system may have to be upgraded or otherwise modified. The demand for increased CDA pressure and flow can be particularly challenging for systems that may already be highly utilized. 25.7.4 Supplying CDA to the Fab and the Tool CDA Distribution Systems. CDA piping distribution systems are commonly arranged in a centerspline configuration. Some older fabs use a perimeter-loop design, while some very large new fabs may use a double-spline design. Tubing is typically constructed of cleaned-for-oxygen-service (CFOS) copper, although CFOS stainless-steel tubing has become more common. While copper tubing is sufficient for the quality of air required, it is prone to quality problems (in part because of oxidation). Copper also requires brazing, but brazing should not be performed in clean areas. Although more expensive than copper tubing, stainless steel may be preferable because it is easier to install,

Downloaded from Digital Engineering Library @ McGraw-Hill (www.digitalengineeringlibrary.com) Copyright © 2004 The McGraw-Hill Companies. All rights reserved. Any use is subject to the Terms of Use as given at the website.

Geng(SMH)_CH25.qxd

04/04/2005

20:52

Page 25.27

SPECIALTY GAS AND CDA SYSTEMS SPECIALTY GAS AND CDA SYSTEMS

2

25.27

N2

Dryer

N2

Air compressor for N2 production

LN2 source 3 CDA compressor

1 CDA

FIGURE 25.14 A leased vendor CDA system located on a gas pad outside the facility. (The numbered boxes indicate the sequence of backup steps in the event of system failure.)

requiring orbital welding instead of brazing. Because stainless steel is easier to work with than copper, it is particularly advantageous for large-diameter tubing. The total installed cost of stainless steel can be similar to that of copper. The labor time and costs of installation seem to vary regionally depending on the experience of the local workforce. Leasing Vendor CDA Systems. Presumably because of the need to focus capital and personnel resources on the production of devices, fabs have increasingly leased CDA systems from gas vendors in recent years. While some fabs purchase CDA or N2 to back up an existing central plant CDA system, others receive all their CDA from outside suppliers (Fig. 25.14). In general, leased systems should be considered carefully to ensure that they meet all site requirements, such as noise levels, energy efficiency (particularly when an extended factory rampup is planned), and flexibility. In particular, leased systems can complicate the use of lithography tools. They tend to be less flexible than on-site systems, and because they are typically procured as a complete package of services, they have longer lead times than the traditional central plant system. Hence, fabs considering a vendor-supplied CDA system must define the required use and purity requirements ahead of time. Often, leased gas systems are not procured as part of the capital building and site construction project and therefore do not meet site construction requirements.

25.8 CONCLUSIONS In conclusion, specialty gas system design is somewhat complex due to the diverse character of gases used, difficulties in comprehending the many code requirements, and the interdependencies of the various execution phases. These issues become even more challenging given the perceptions of various stakeholders, and the ever changing nature of the manufacturing processes. Hopefully, a better understanding of the execution elements, considerations, options, and overall process will help to provide a more reliable, safe, consistent, timely, and cost-effective supply of specialty gases. The competitive DRAM semiconductor market manufactures small, high-capacity devices at a low cost per bit, leading the industry to develop lithography tools with high throughput and fine resolution. Such tools, in turn, require supplies of CDA at a higher flow, pressure, and purity than in the recent past. These requirements have driven and will continue to drive CDA system design. Many system design options exist. Leased vendor-supplied systems should be carefully considered, specified, and procured. Current and potential lithography tool requirements should be thoroughly

Downloaded from Digital Engineering Library @ McGraw-Hill (www.digitalengineeringlibrary.com) Copyright © 2004 The McGraw-Hill Companies. All rights reserved. Any use is subject to the Terms of Use as given at the website.

Geng(SMH)_CH25.qxd

04/04/2005

20:52

Page 25.28

SPECIALTY GAS AND CDA SYSTEMS 25.28

GASES AND CHEMICALS

documented. Ultimately, the most appropriate systems depend on specific site conditions and preferences, such as the products manufactured, whether the fab is a new or existing one, the availability and cost of capital, tool suppliers, internal lens-purge methods, the anticipated number of tools to be used, and energy costs.

ACKNOWLEDGMENTS I appreciate the contributions of many individuals, especially Ken Duffy and Pat Gibson (Air Products and Chemicals), Mike Baron (FST Consulting), Tom Stagg (Alliance Engineering), Mike Bridges (Swagelok Company) and Jeff Connelly, Clayton Forehand, Eric Holterman, Jim Toussaint, Will Morden, and Kirt Sederstrom of Infineon Technologies, Richmond.

REFERENCES 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. 16. 17. 18. 19.

20. 21. 22. 23.

Braker, W., and A. Mossman, Matheson Gas Data Book, 6th ed., 1980. Compressed Gas Association, Handbook of Compressed Gases, 3rd ed., 1990. Air Products, Specialty Gases and Equipment Catalog, 1998. Building Officials and Code Administrators International, Inc. (BOCA), National Building Code and National Fire Prevention Code, 1996. International Conference of Building Officials, Uniform Fire Code (UFC), International Fire Code Institute, 1996. International Building Code (IBC), 2003. “Toxic Gas Ordinance” (TGO), Santa Clara County, 1990. NFPA 704, Standard for the Identification of the Fire Hazards of Materials, National Fire Protection Association, 1990. NFPA 318, Standard System for the Protection of Cleanrooms, National Fire Protection Association, 1992. Factory Mutual Engineering Corp, “Loss Prevention Data 7-7,” Semiconductor Fabrication Facilities, 1991. Factory Mutual Engineering Corp, “Loss Prevention Data 1-56,” Cleanrooms, February 1988. SEMI F6-92, Guide for Secondary Containment of Hazardous Gas Piping Systems, 1996. Curcie, W., “Designing a Specialty Gas System,” Semicond. Int., November 2003. SEMI F13-93, Guide for Gas Source Control Equipment, 1996. SEMI F16, Specification for 316L SS Tubing Which Is to Be Finished and Electropolished for High Purity Semiconductor Manufacturing Applications. ASTM A269, Specification for Seamless and Welded Austenitic Stainless Steel Tubing for General Service. ASTM B280, Standard Practice for Cleaning Methods for Material and Equipment Used in OxygenEnriched Environments. George, M., D. Bohling, W. Bailey, T. DelPrato, K. Harlan, and C. Magnella, “Minimizing System Contamination Potential from Gas Handling,” Semicond. Int., July 1993. George, M., B. Felker, and D. Bohling, “Controlling Surface Interactions of WF6 with 316L SS through Fluorine Passivation and Selection of Alternate Materials,” Conference Proceedings ULSI, Materials Research Society, 1994. Magnin, E., “Joule-Thomson Effect Module,” www.nd.edu, July 10, 2001. Hui, H., D. Ruppert, and G. Hoban, “Streamlining Gas Delivery Systems Design and Management,” SEMI Technical Symposium, SEMICON West, 2002. SEMI C52-0301, Specification for the Shelf Life of a Specialty Gas, 2001. Frausto, J., and D. Quadrini, “Bulk Silane Systems for Semiconductor Manufacturing,” Future Fab Int., Vol. 1, No. 3, pp. 309–313, 2000.

Downloaded from Digital Engineering Library @ McGraw-Hill (www.digitalengineeringlibrary.com) Copyright © 2004 The McGraw-Hill Companies. All rights reserved. Any use is subject to the Terms of Use as given at the website.

Geng(SMH)_CH25.qxd

04/04/2005

20:52

Page 25.29

SPECIALTY GAS AND CDA SYSTEMS SPECIALTY GAS AND CDA SYSTEMS

25.29

24. Ford, R., and B. Hertzler, “300 mm Fabs and the Role of Bulk Specialty Gas Supply,” Solid State Technol., October 2001. 25. Ruppert, D., W. Preller, and M. Marmaro, “Principles and Design Issues of Bulk Specialty-Gas Systems,” Solid State Technol., May 2003. 26. Hart, J., J. Irven, R. Parise, R. Pearlstein, and J. Van Ommeren, “Incorporating More Gas Control Within Cylinders,” Solid State Technol., 2003. 27. Johnson, A., R. Pearce, M. Sistern, M. Kencel, R. Sward, and H. Winzig, “C2F6—Based Chamber Clean for Silane PECVD,” Semicond. Int., March 2004. 28. Culwell, B., ”Modular Gas System Solutions,” Future Fab Int., No. 5, pp. 299–305.

FURTHER READING Baxter, J., “Gas Systems Undergo Radical Design Modifications, Resulting in Significant Serviceability Improvements,” Cleanrooms, Nashua, New Hampshire, 2003.

Downloaded from Digital Engineering Library @ McGraw-Hill (www.digitalengineeringlibrary.com) Copyright © 2004 The McGraw-Hill Companies. All rights reserved. Any use is subject to the Terms of Use as given at the website.

Geng(SMH)_CH25.qxd

04/04/2005

20:52

Page 25.30

SPECIALTY GAS AND CDA SYSTEMS

Downloaded from Digital Engineering Library @ McGraw-Hill (www.digitalengineeringlibrary.com) Copyright © 2004 The McGraw-Hill Companies. All rights reserved. Any use is subject to the Terms of Use as given at the website.

Geng(SMH)_CH26.qxd

04/04/2005

20:53

Page 26.1

Source: SEMICONDUCTOR MANUFACTURING HANDBOOK

CHAPTER 26

WASTE GAS ABATEMENT SYSTEMS Joseph D. Sweeney ATMI Danbury, Connecticut

26.1 INTRODUCTION During the manufacture of semiconductor devices, significant concentrations of toxic and hazardous gases can be present in the effluent streams of the various process tools. These gases are by-products of reactions occurring within the tool or are feed gases that make it through the tool unreacted. Abatement systems are necessary in order to remove these compounds from the exhaust streams. Although many liquid chemicals are also used in semiconductor device manufacturing, this chapter focuses primarily on the abatement of gas species. Abatement systems generally fall into three categories—(1) point of use (POU) systems, (2) house systems, and (3) emergency release scrubbers (ERS). Point of use abatement units are usually dedicated to one process tool and sometimes to a single process chamber (a tool may have anywhere from one to six chambers). These scrubbers are relatively small and are almost always installed in the subfab within proximity to the process tool. In contrast to these units, house abatement systems are much larger and handle high flow rates of effluents (usually low in concentration) from a wide range of sources such as fume hoods, wet benches, and the general fab exhaust. Due to their size, house units are often placed outside the fab. The third type of abatement system—ERS—is needed to handle a large, sudden release of a toxic species. For example, these units are often dedicated to the exhaust ventilation of gas cylinder storage areas. The three types of abatement systems are usually not in competition with each other. That is, a POU system could not be used as a house scrubber nor would a house scrubber be used at the POU. In addition, the use of one type of scrubber system usually does not greatly impact the use of another type. For example, the presence of a house scrubber will not eliminate the need for POU systems or vice versa. The various advantages of each abatement type are described next.

26.1.1 Point of Use Scrubbers Increased Tool Productivity. POU scrubbers help keep semiconductor process tools running. For example, POU systems remove reactive gas species before they can form solids within the ductwork. In the case of metal etch, POU water scrubbers effectively remove BCl3 and AlCl3. Both materials are reactive with air and water, while AlCl3 is also condensable. In the absence of a POU scrubber, these compounds will react with water vapor and/or air at the location where the tool exhaust combines with the house exhaust system (note that the house exhaust mostly comprises air

Downloaded from Digital Engineering Library @ McGraw-Hill (www.digitalengineeringlibrary.com) Copyright © 2004 The McGraw-Hill Companies. All rights reserved. Any use is subject to the Terms of Use as given at the website.

26.1

Geng(SMH)_CH26.qxd

04/04/2005

20:53

Page 26.2

WASTE GAS ABATEMENT SYSTEMS 26.2

GASES AND CHEMICALS

from the fab). Over time, the solid by-products will tend to accumulate and corrode the duct. In the case when a house exhaust duct becomes clogged or forms a leak, not only is expensive maintenance required, many production tools within the fab are likely to be affected. One option to avoid clogging is to run a dedicated process exhaust line all the way from the tool to the house scrubber. The disadvantage of this method is primarily cost due to the long lengths of tubing often required—a process tool might be a couple of hundred feet or more away from an available house scrubber. Also, for processes with condensable species, the entire line length must be heated. This is expensive from both a capital and operating expense standpoint. Additionally, using a house scrubber as the primary method of abatement for many process tools may be risky. Not only must the house scrubber be appropriately sized, it also must run 100 percent of the time. Any required maintenance of the house scrubber would cause many process tools to be shut down (as opposed to only one tool for a POU scrubber). For these reasons, it is often found that POU scrubbers are the least expensive method of abatement and provide the highest level of process tool utilization. Improved Safety. POU scrubbers remove toxic and hazardous compounds at or near the location of their use. This reduces the chance of incompatible compounds (e.g., SiH4 and NF3, H2 and O2) mixing within the house exhaust system, avoiding the possibility of an explosion or fire. Additionally, the removal of these compounds at the POU contains these species to specific locations within the fab, reducing the risk of exposure to workers. Environmental Compliance. Because POU systems are usually tailored to specific semiconductor manufacturing processes, they commonly remove between 99 and 99.99 percent of effluent gases, allowing the fab to meet regulatory requirements. For example, water scrubbers are often used for metal etch where the effluent gases are water reactive or soluble. In the case of plasma-enhanced chemical vapor deposition (PECVD), an integrated system containing a burner chamber and water scrubbing tower is common. For ion implant, dry adsorption scrubbers are usually best suited. A second reason for the high efficiency is that the total effluent flow is relatively small at the point of use, and is not highly diluted by ventilation air unlike the house exhaust ducts that lead to the house scrubber. One specific area where POU scrubbers are used primarily for environmental compliance is for PFC (perfluorinated compound) abatement. PFCs are known to be very strong infrared absorbers making them suspected agents of global warming. Due to the semiconductor industry’s strong stance against the emission of potential global warming compounds, many fabs are using scrubbers specifically designed to treat PFCs. Note that many PFCs are inert from a health and safety perspective. 26.1.2 House Scrubbers Environmental Compliance. The primary purpose of house scrubbers is to ensure that regulatory requirements associated with the emission of toxic and hazardous air pollutants are met. House scrubbers are often used in applications where the exhaust flow is relatively high (greater than 10 to 100 SCFM, depending on the process). Typically, the ventilation from fume hoods, wet benches, gas cabinets, and process tools goes to a house scrubber. The exhaust stream usually has a relatively small concentration of toxic species, and there is minimal chance of clogging or corrosion. In these applications, exhausts from multiple tools/hoods can be manifolded to a single scrubber with only a small risk of downtime. Additionally, (with a few exceptions) the exhaust of POU scrubbers is plumbed into the house exhaust system, eventually making its way to the house scrubber. If any small concentrations of toxic species are present in the POU exhaust, the house scrubber can be designed to further remove these compounds. 26.1.3 Emergency Release Scrubbers Safety. ERS units are often placed in locations where the potential exists for a large release of a toxic or hazardous gas. The most common application is in the exhaust ventilation of cylinder storage bunkers.

Downloaded from Digital Engineering Library @ McGraw-Hill (www.digitalengineeringlibrary.com) Copyright © 2004 The McGraw-Hill Companies. All rights reserved. Any use is subject to the Terms of Use as given at the website.

Geng(SMH)_CH26.qxd

04/04/2005

20:53

Page 26.3

WASTE GAS ABATEMENT SYSTEMS WASTE GAS ABATEMENT SYSTEMS

26.3

ERS units are also sometimes installed on gas cabinet exhausts. In the event of a leak, the ERS units are typically sized to remove the full contents of a given cylinder. For highly toxic compounds such as arsine, phosphine, and diborane (among others), a significant release to the environment could pose a serious health and safety hazard to employees and residents in the vicinity. Emergency release scrubbers dramatically reduce this risk. Environmental Compliance. Although safety is probably the primary purpose of the ERS, complying with environmental regulations in the event of a leak or release is also a critical reason for installing these scrubbers.

26.2 FUNDAMENTALS AND PRINCIPLES In the semiconductor industry, there are generally five types of chemical or physical unit operations utilized in the abatement or removal of toxic and hazardous gases from waste streams. A given abatement system may utilize one unit operation or may integrate two or more. The unit operations are described next. 26.2.1 Thermal Oxidation and/or Decomposition These units rely on high temperatures to chemically oxidize or reduce semiconductor exhaust gases to less toxic or more manageable by-products. For example, to abate silane gas, air or oxygen is flowed into the abatement unit to create an oxygen-rich environment that oxidizes silane to silicon dioxide and water vapor. The silicon dioxide particulate is later removed by a filter, cyclone separator, or gas absorption tower. In another example, fluorine gas is reacted with hydrogen fuel to yield hydrogen fluoride (HF), which is easier to remove in a subsequent gas absorption scrubber. In the case of PFCs, water vapor is often added to produce HF and CO2 by-products. The thermal abatement scrubber operates on the premise that chemical reaction rates increase with temperature. For a given reaction between species X and Y, the rate can be expressed as1 r = k[X]x[Y]y

(26.1)

k = AT bexp(−Ea/RT)

(26.2)

where r = chemical reaction rate k = rate constant [X] = concentration of species X [Y] = concentration of species Y x = empirical factor y = empirical factor A = preexponential factor T = temperature (K) b = empirical parameter Ea = activation energy R = universal gas constant In most semiconductor processes, the concentration of effluent species is largely dictated by the process recipe and cannot be changed to suit the abatement device. Therefore, the only way to controllably increase a reaction rate is to increase the reaction rate constant (see Eqs. (26.1) and (26.2)). For a given chemistry, the only way to do this is by increasing the temperature. As shown in Eq. (26.2), the reaction rate constant increases exponentially with temperature. Of course, some species will react or decompose faster at a given temperature than others. Approximate temperatures required for four compounds are shown in Table 26.1.

Downloaded from Digital Engineering Library @ McGraw-Hill (www.digitalengineeringlibrary.com) Copyright © 2004 The McGraw-Hill Companies. All rights reserved. Any use is subject to the Terms of Use as given at the website.

Geng(SMH)_CH26.qxd

04/04/2005

20:53

Page 26.4

WASTE GAS ABATEMENT SYSTEMS 26.4

GASES AND CHEMICALS

TABLE 26.1 Approximate Decomposition Temperatures for Four Perfluorinated Compounds2 Gas species

Decomposition temperature (°C)

CF4 C2F6 SF6 NF3

~1120 842 ~800 300

It is important to note that thermal scrubbers are only useful for reactions in which the thermodynamics favor the products. For example, one could not use a thermal scrubber to create hydrogen and oxygen from water. 26.2.2 Gas Absorption Also known as water scrubbing, gas absorption is the process of removing gaseous species from an effluent stream by contacting the effluent stream with a liquid—usually water or an aqueous solution. This can be achieved by using a structured or random bed of packing media to provide the contact surface area, or the abatement device can simply be a spray tower or a bubble column (where the gas is dispersed directly into a liquid bath). The process of y absorption works best for cases where the effluent gas species is either water soluble or water reactive. yi Additives can also be metered into the liquid solution to react with the effluent gas species. xi x The mechanism of gas absorption is described in Refs. 3, 4, and 5 and will only be summarized Gas Liquid here. To get from the gas phase to the liquid phase, the effluent species must travel through an interfacial region composed of gas and liquid films as Gas Liq. shown in Fig. 26.1. The concentration of the gas film film species, y in the bulk fluid, decreases across the gas FIGURE 26.1 A simplified concentration profile of a film until it reaches a value of yi at the interface. given effluent species between liquid and gas phases. This concentration is in thermodynamic equilibrium with the concentration of the effluent species in the liquid film, xi. The expression relating the two values is that of the vapor liquid equilibrium. For low concentrations, the curve is usually linear and is termed Henry’s law. yi = Hxi

(26.3)

where H is Henry’s law constant. The effluent concentration continues to decrease until it reaches the bulk concentration in the liquid phase, x. Note that the actual values of y, yi, xi, and x, as well as the film profiles, are functions of the effluent gas, liquid composition, and the fluid dynamics of the system. The rate at which effluent gases transfer from the gas phase to the liquid phase can be shown to be equal to the following:3 NA = KG (y − y*) = KL(x* − x) where NA = rate of mass transfer (per unit area of interfacial surface) KG = overall gas phase mass transfer coefficient KL = overall liquid phase mass transfer coefficient y* = Hx = theoretical equilibrium vapor concentration over bulk liquid phase x* = y/H = theoretical equilibrium liquid concentration under bulk gas phase

Downloaded from Digital Engineering Library @ McGraw-Hill (www.digitalengineeringlibrary.com) Copyright © 2004 The McGraw-Hill Companies. All rights reserved. Any use is subject to the Terms of Use as given at the website.

(26.4)

Geng(SMH)_CH26.qxd

04/04/2005

20:53

Page 26.5

WASTE GAS ABATEMENT SYSTEMS WASTE GAS ABATEMENT SYSTEMS

26.5

Therefore, to improve the rate of absorption, it is necessary to have one or more of the following—(i) a large concentration gradient, (ii) a large mass transfer coefficient, or (iii) a large amount of interfacial surface area. A large concentration gradient is often obtained with highly soluble gases. This is because high solubility is synonymous with a low Henry’s law constant, yielding a low value of y*. One example is hydrogen chloride (HCl). At room temperature, a 2-wt percent solution of HCl (equivalent to a pH near zero), only has an HCl vapor pressure of 8.4E-5 torr, which is equivalent to approximately 0.1 ppm in air. The Henry’s law constant is 1E-5. Contrary to this, chlorine gas has a Henry’s law constant of about 60 for dilute streams, and is therefore much more difficult to remove in a water scrubber unless a reactive agent is added to the liquid. The reactive agent works by effectively reducing the Henry’s law constant to zero. Alternatively, a larger makeup water flow rate can be employed in order to keep the liquid phase concentration of chlorine low enough such that a driving force is still present in the gas-phase film. An interesting aside is that gas solubility generally increases as the temperature decreases. Therefore, heat exchangers in small absorbers or evaporative cooling in larger units can actually improve scrubbing efficiency. The overall mass transfer coefficients are functions of the fluid properties (e.g., viscosity and density of the liquid and gas phases), the liquid and gas flux rates, the gas species diffusivity, and the packing or water droplet diameter among other things. For example, because the diffusivity of a gas increases with decreasing molecular weight, compounds such as HCl and HF will tend to yield higher mass transfer coefficients than WF6 or BF3. Another example is that scrubbers with larger liquid flux rates will tend to be more effective. Good correlations for gas and liquid phase mass transfer coefficients have been determined by Onda et al.6 The third method to improve the overall rate of mass transfer is to increase the amount of interfacial area between the gas and liquid phases. This can be accomplished through the use of smaller packing media or, in the case of a spray tower, can be done by reducing the droplet size without reducing the liquid flow rate. So far, only the rate of mass transfer has been discussed (NA). The total amount of effluent mass transfer (removal) will also depend on the depth of the absorber unit. The simplified expressions are shown below: Z = NTU × HTU HTU a

1 K

NTU = ln(yin/yout)

(26.5) (26.6) (26.7)

where Z = bed depth of absorber NTU = number of transfer units HTU = height of a transfer unit K = overall mass transfer coefficient yin = inlet gas phase effluent concentration yout = outlet gas phase concentration desired From Eqs. (26.5) to (26.7), the following observations can be made: 1. The outlet concentration from the absorber decreases exponentially with increasing bed depth. 2. The outlet concentration from the absorber decreases exponentially with increasing overall mass transfer coefficient. 3. The outlet concentration from the absorber decreases linearly with decreasing inlet concentration. It is important to note that the expression shown in Eq. (26.7) is only valid for the case of highly soluble or reactive gases, where the Henry’s law constant approaches zero. When this condition is not satisfied (e.g., chlorine or ammonia scrubbing with water), Eq. (26.7) is modified3 to account for the Henry’s law constant as well as the liquid and gas flow rates. In this regime, the makeup water flow rate to the absorber unit can become the critical performance parameter.

Downloaded from Digital Engineering Library @ McGraw-Hill (www.digitalengineeringlibrary.com) Copyright © 2004 The McGraw-Hill Companies. All rights reserved. Any use is subject to the Terms of Use as given at the website.

Geng(SMH)_CH26.qxd

04/04/2005

20:53

Page 26.6

WASTE GAS ABATEMENT SYSTEMS 26.6

GASES AND CHEMICALS

26.2.3 Gas Adsorption Adsorption-based scrubbers, sometimes referred to as “dry scrubbers,” utilize a solid, high-surfacearea adsorbent medium to capture effluent species. The process can either be physisorption in which the effluent species reversibly adsorbs onto the adsorbent surfaces, or, more commonly, it is chemisorption in which the effluent not only adsorbs but also chemically reacts (irreversibly) with the adsorbent Gas path (Fig. 26.2). There are a number of transport processes that an effluent molecule must undergo during the process of adsorption. These include Reaction zone

i. Transport from the bulk effluent stream to the adsorbent particle outer surface ii. Diffusion from the surface to within the interior of the highly porous adsorbent particles iii. Transport from the gas phase to the surface (adsorption) iv. Reaction with the surface

Additionally, any product gases must desorb from the surface and make their way to the bulk flow stream. Processes (i) and (ii) can generally be improved by decreasing the particle size of the adsorbent, although FIGURE 26.2 A view into an adsorbent parthis leads to a higher pressure drop across the bed. ticle. Process (iii) is usually not rate limiting, while the speed of process (iv) is dictated by the chemistry of the adsorbent and of the adsorbate (effluent species). Also, because process (iv) is a chemical reaction, temperature can be used to increase the rate as is done in thermal scrubbers. The performance of a given adsorbent is often characterized by the amount of adsorbate removed per unit volume of adsorbent. An arbitrary adsorbate concentration at the outlet of the adsorbent scrubber must be chosen as the endpoint condition. In the semiconductor industry, this is commonly chosen to be the OSHA permissible exposure limit (PEL),7 the NIOSH recommended exposure limit (REL),8 or the ACGIH threshold limit value (TLV)9 of the particular species of interest—see Table 26.2 for a list of PELs and RELs. Figure 26.3 shows the progression of the reaction zone through the adsorbent bed as a function of time. Although much work has been performed in the field of adsorption, it is difficult to estimate the absolute performance of a given adsorbent for a given effluent gas—although the trends described previously relating to processes (i) through (iv) are valid. Therefore, the performance is often characterized experimentally as a function of the inlet species concentration and the total gas-space velocity through the adsorbent bed.

26.2.4 Plasma-Enhanced Reaction A relatively new technique for abating PFCs, plasma units create electrically excited ions and electrons to bombard the PFC molecules, creating unstable intermediates and radicals. These intermediates and radicals then react with additive gases such as H2, O2, or H2O to form CO2 and HF. Whereas all other types of abatement systems are commonly operated at atmospheric pressure, many plasma scrubbers operate at pressures close to that of the semiconductor processing tool (less than 10 torr). In these units, the effluent gas is in nonthermal equilibrium with the electrons. That is, the electrons have energies equivalent to temperatures nearing 10,000°C, while the effluent gas temperature remains relatively low (room temperature to approximately 100°C). Because the plasma scrubber does not heat the effluent gas significantly, the energy used to abate the PFC molecule can be an order of magnitude less than that associated with a thermal scrubber.

Downloaded from Digital Engineering Library @ McGraw-Hill (www.digitalengineeringlibrary.com) Copyright © 2004 The McGraw-Hill Companies. All rights reserved. Any use is subject to the Terms of Use as given at the website.

Si2H6 F2 CH3F GeH4 GeF4 He H2 HBr

Disilane

Fluorine Fluoromethane

Germane

Germanium tetrafluoridek Helium Hydrogen Hydrogen bromide

N.E. S.A. S.A. 3

N.E.

0.1 N.E.

N.E.

N.E. N.E. N.E.

N.E. S.A. S.A. 3j

0.2

0.1 N.E.

N.E.

N.E. S.A. S.A. N.E.

N.E.

N.E. N.E.

N.E.

N.E. S.A. S.A. 30

N.E.

25 N.E.

N.E.

N.E. N.E. N.E.

SiH2Cl2 CH2F2 SiH2(CH3)2

Dichlorosilanek Difluoromethane Dimethylsilane

N.E. N.E. N.E.

15

N.E.

0.1

B2H6

Diborane

3.9-78 percent N.A. N.A. N.A. N.A. N.A. N.A. 12.5-74 percent N.A. N.A. N.A. N.A. Unknown; flashpoint is 80°C11 0.8-98 percent; pryophoric 2.5-98.8 percent 14–31 percent LEL = 1.3 percent; unknown UEL LEL = 0.2 percent; unknown UEL; pyrophoric N.A. UEL = 22.2 percent; unknown LEL UEL = 100 percent; unknown LEL pyrophoric N.A. N.A. 4-75 percent N.A.

Downloaded from Digital Engineering Library @ McGraw-Hill (www.digitalengineeringlibrary.com) Copyright © 2004 The McGraw-Hill Companies. All rights reserved. Any use is subject to the Terms of Use as given at the website.

(Continued)

B, C Not abated A B, C

A, C

A, B, C A, C*, D

A, C

A, B, C A,C*, D A, C

A, C

A, B, C B, C B, C B, C B, C B, C Not abated A, C B, C B, C B, C B, C C, E

Not abated Varies

A, B, C Varies

Abatement methodsg

20:53

N.E. N.E. N.E.

3 N.E. N.E. 25 3 N.E. 40,000 1200 2 N.E. 10 20 15 mg/m3

0.002i mg/m3 N.E. N.E. N.E. 0.3 N.E. 30,000 200 0.2i 5 0.5i N.E. 0.15

N.E. 1j N.E. 1j 0.1 0.1 5000 35 0.1 2 N.E. 0.1j 0.05

0.05 N.E. N.E 1j 0.1 N.E. 5000 50 0.1 N.E. N.E. 0.1j 0.05

AsH3 BBr3 BCl3 BF3 Br2 BrF5 CO2 CO COCl2 COF2 Cl2 ClF3 B10H14

N.A. N.A.

15–30 percent N.A.

Flammable limitsf

04/04/2005

0.1

S.A. 5 mg/m3

S.A. 0.002i mg/m3

S.A. N.E.

S.A. 0.01 mg/m3

Ar As

300 50 mg/m3

IDLHe (ppm)h

35 N.E.

STELd (ppm)h

25 0.5 mg/m3

RELc (ppm)h

50 0.5 mg/m3

PELb (ppm)h

NH3 Sb

Formula

Ammonia Antimony and compounds as Sb, except stibine Argon Arsenic (inorganic compounds as As, except arsine) Arsine Boron tribromide Boron trichloridek Boron trifluoride Bromine Bromine pentafluoride Carbon dioxide Carbon monoxide Carbonyl chloride Carbonyl fluoride Chlorine Chlorine trifluoride Decaborane

Compound

TABLE 26.2 Exposure Limits, Flammability Ranges, and Abatement Methods for Commonly Used Chemicals in the Semiconductor Industrya

Geng(SMH)_CH26.qxd Page 26.7

WASTE GAS ABATEMENT SYSTEMS

26.7

26.8 SiCl4 SiF4

Silicon tetrachloridek Silicon tetrafluoridek

Downloaded from Digital Engineering Library @ McGraw-Hill (www.digitalengineeringlibrary.com) Copyright © 2004 The McGraw-Hill Companies. All rights reserved. Any use is subject to the Terms of Use as given at the website. N.E. N.E.

N.E. N.E.

N.E. N.E.

3 mg/m3 0.5 N.E. 0.5 N.E. N.E. N.E.

1 mg/m3 0.1 1 mg/m3 0.2 N.E. N.E. 5

1 mg/m3 N.E. 1 mg/m3 0.5 N.E. N.E. N.E.

H3PO4 POCl3 PCl5 PCl3 PF5 PF3 SiH4

Phosphoric acid Phosphorus oxychloride Phosphorus pentachloride Phosphorus trichloride Phosphorus pentafluoridek Phosphorus trifluoridek Silane

N.E. N.E. N.E. N.E. N.E. 1

0.05j 0.1j N.E. N.E. N.E. 0.3

0.05 0.1 N.E. N.E. N.E. 0.3

OF2 O3 CF4 C2F6 C3F8 PH3

N.E. N.E.

1000 mg/m3 N.E. 70 mg/m3 25 N.E. N.E. N.E.

0.5 5 N.E. N.E. N.E. 50

25 100 S.A. 20 1000 N.E. N.E N.E N.E.

100 S.A. N.E. S.A. 2

50 50 30 1

IDLHe (ppm)h N.A. 5.6-41 percent N.A. Unknown, but flammable 4-46 percent N.A. 1.3-88.9 percent N.A. LEL = 2 percent; unknown UEL N.A. N.A. N.A. N.A. N.A. N.A. N.A. N.A. Unknown, but flammable N.A. N.A. N.A. N.A. N.A. LEL = 1 percent; unknown UEL N.A. N.A. N.A. N.A. N.A. N.A. 0.8-98 percent; pyrophoric N.A. N.A.

Flammable limitsf

B, C B, C

B B, C B, C B, C B, C B, C A, C

B, C A, C A, C*, D A, C*, D A, C*, D A, B, C

B B, C Not abated B, C A, C* A A, C*, D A, C*, D A

A, B, C Not abated A, C Not abated A, C

B, C A, B, C B, C A, C

Abatement methodsg

20:53

4 N.E. S.A. 1 N.E. N.E N.E. N.E. N.E

10m S.A. N.E. S.A. N.E.

N.E. 4.7 6i N.E.

STELd (ppm)h

04/04/2005

2 25 S.A. N.E. 10 25n N.E. N.E. N.E

2 25 S.A. 5j 10 N.E N.E. N.E. N.E

HNO3 NO N2 NO2 NF3 N 2O C4F8 C5F8 C8H24O4Si4

Nitric acid Nitric oxide Nitrogen Nitrogen dioxide Nitrogen trifluoride Nitrous oxide Octafluorocyclobutane Octafluorocyclopenteneo Octamethylcyclotetrasiloxanep Oxygen difluoride Ozone Perfluoromethane Perfluoroethane Perfluoropropane Phosphine

N.E. S.A. N.E. S.A. 0.001

20 j, 50l S.A. N.E. S.A. 0.001

H 2S Kr SiH3CH3 Ne Ni(CO)4

Hydrogen sulfide Krypton Methylsilane Neon Nickel carbonyl

5j N.E. 3 0.05

RELc (ppm)h

5j 10 3 0.05

PELb (ppm)h

HCl HCN HF H2Se

Formula

Hydrogen chloride Hydrogen cyanide Hydrogen fluoride Hydrogen selenide

Compound

TABLE 26.2 Exposure Limits, Flammability Ranges, and Abatement Methods for Commonly Used Chemicals in the Semiconductor Industrya (Continued )

Geng(SMH)_CH26.qxd Page 26.8

WASTE GAS ABATEMENT SYSTEMS

SO2 SF6 SF4 H2SO4 Si(OC2H5)4 C4H16Si4O4 Si(CH3)4 TiCl4 SiHCl3 CHF3 SiH(CH3)3 WF6 Xe

Sulfur dioxide Sulfur hexafluoride Sulfur tetrafluoride Sulfuric acid Tetraethylorthosilicate Tetramethylcyclotetrasiloxane

Tetramethylsilane Titanium tetrachloridek Trichlorosilanek Triflouromethane Trimethylsilane Tungsten hexafluoridek Xenon N.E. N.E. N.E. N.E. N.E. N.E. S.A.

5 1000 N.E 1 100 N.E.

0.1

N.E. N.E. N.E. N.E. N.E. N.E. S.A.

2 1000 0.1j 1 10 N.E.

0.1

N.E. N.E. N.E. N.E. N.E. N.E. S.A.

5 N.E. N.E. N.E. N.E. N.E.

N.E.

N.E. N.E. N.E. N.E. N.E. N.E. S.A.

100 N.E. N.E. 15 mg/m3 700 N.E.

5

Unknown, but flammable N.A. N.A. N.A. N.A. 1.4-23 percent Unknown, but flammable 0.9-37.9 percent N.A. 1.2–90.5 percent N.A. 1.3-44 percent N.A. N.A. A, C B, C A, B, C A, C*, D A, C B, C Not abated

B, C A, C*, D B, C B A, B, C A

A, C

04/04/2005 20:53

a Table notes are dictated by lettered superscripts. Source references for the data are shown as bracketed numbers. Abbreviations: “N.E.” means not established (but the compound could still be highly toxic); “N.A.” means not applicable; and “S.A.” means that the compound is a simple asphyxiant. b PEL stands for permissible exposure limit. These values are generated by the Occupational Safety & Health Administration (OSHA)7 and correspond to time-weighted-average (TWA) exposure limit concentrations for an 8-h workday of a 40-h workweek. c REL stands for recommended exposure limit. These values are generated by the National Institute for Occupational Safety and Health (NIOSH)8 and correspond to TWA exposure limit concentrations for up to a 10-h workday of a 40-h workweek. d STEL stands for short-term exposure limit. These values are generated by NIOSH and correspond to TWA exposure limit concentrations in a 15-min period that are not to be exceeded any time during the workday. e IDLH stands for immediately dangerous to life and health. These values are generated by NIOSH and correspond to concentrations that could cause permanent injury or death if the exposure duration is 30 min. NIOSH recommends that every effort be made to immediately leave an IDLH environment if proper respiratory and other necessary protective equipment is not being used. f Flammability limits are given as volume percents. “N.A.” means not applicable and infers that the compound will not propagate a flame in air. However, the compound could still be highly reactive with air (e.g., BCl3). Data represent the most conservative values found for the lower and upper explosion limits (LEL and UEL, respectively). g Abatement methods are as follows—A = thermal oxidation or decomposition; B = gas absorption (water scrubbing); C = gas adsorption (dry scrubbing); C* = heated gas adsorption (e.g., hot catalyst bed); D = plasma; E = condensation/cold trap; F = filtration. The table shows what abatement method types have been used for successful treatment of the particular compounds, and does not necessarily mean that other types would not work as well. Conversely, just because a given abatement system has been successfully used in the past does not guarantee that it will work for all applications. It is important that for each application of interest the abatement system be designed or specified by someone trained and experienced in the art. For example, water scrubbers can be used to abate arsine gas, but they must be properly sized and be outfitted with a means for injection of specific oxidizing agents (e.g., KMnO4 or NaOCl). h Units are in parts per million (ppm) by volume unless specified otherwise. i This is a 15-min ceiling value. j This is a ceiling value that should not be exceeded at any time. k Although no exposure limits are provided, it is important to note that this compound will tend to react with moisture in air. Therefore, it will be at least as toxic as its hydrolysis by-products. For example, BCl3 + 3H2O → H3BO3 + 3HCl. In this case, one should be aware of the exposure limits for HCl and H3BO3 and take care to consider the reaction stoichiometry. l This is a 10-min max peak. m This is a 10-min ceiling value. n This is a TWA over the time exposed. o One manufacturer recommended an 8-h TWA of 2 ppm. p One manufacturer recommended an 8-h TWA of 5 ppm.

SbH3

Stibine

Geng(SMH)_CH26.qxd Page 26.9

WASTE GAS ABATEMENT SYSTEMS

Downloaded from Digital Engineering Library @ McGraw-Hill (www.digitalengineeringlibrary.com) Copyright © 2004 The McGraw-Hill Companies. All rights reserved. Any use is subject to the Terms of Use as given at the website.

26.9

Geng(SMH)_CH26.qxd

04/04/2005

20:53

Page 26.10

WASTE GAS ABATEMENT SYSTEMS 26.10

GASES AND CHEMICALS

Outlet Inlet

Sensor tube

Mass transfer zone

Breakthrough detection

Fully reacted zone

Increasing time FIGURE 26.3

Adsorbent bed usage.

Certain types of plasma can be operated at atmospheric pressure. The effluent gas temperature in these units can be relatively low (100°C) to very high (10,000°C). Plasma can be created in various ways. One method is to use RF or microwaves to induce the plasma (frequencies in the range of MHz to GHz). Other methods include various types of discharge plasma in which a high voltage source is brought into proximity with ground. Usually, a dielectric material can be used to distribute the electrical discharges uniformly throughout the reactor. Alternatively, the plasma can be designed in the shape of a nozzle to create a plasma gun. To further enhance chemical reaction rates, a catalyst or adsorbent can be placed within the plasma reactor. 26.2.5 Condensation Although not strictly an abatement method, condensation techniques have been used to remove condensable, low vapor pressure species from gas streams. Examples include AlCl3, WOF4, and elemental phosphorus. Typically, devices promoting condensation are referred to as cold traps. These units almost always utilize an active cooling agent such as cooling water in order to reduce the temperature of the effluent gas stream. As the effluent temperature is reduced, the equilibrium vapor pressure of condensable species also decreases. If the concentration of the condensable species entering the trap is higher than the vapor pressure within the trap, a phase change from gas to solid (or liquid) will occur. Removing condensable species from the effluent stream helps to reduce or eliminate clogging at the inlet of the primary abatement system, downstream from the cold trap. The theoretical maximum rate of effluent removed by a cold trap is equal to mc =

mt ( Pin − Pc ) Ptot

where mc = rate of condensation mt = total mass flow rate of effluent gas (including diluents) Pin = partial pressure of condensable species at inlet to cold trap Pc = equilibrium vapor pressure of condensable species within cold trap Ptot = total pressure of effluent stream The vapor pressure of the condensable species increases with temperature. Therefore, the rate of condensation will improve for colder cooling agents. Additionally, depending on the emission rate of condensable species from the tool, the inlet tubing to the condenser may have to be heated to avoid unwanted condensation prior to the cold trap.

Downloaded from Digital Engineering Library @ McGraw-Hill (www.digitalengineeringlibrary.com) Copyright © 2004 The McGraw-Hill Companies. All rights reserved. Any use is subject to the Terms of Use as given at the website.

Geng(SMH)_CH26.qxd

04/04/2005

20:53

Page 26.11

WASTE GAS ABATEMENT SYSTEMS WASTE GAS ABATEMENT SYSTEMS

26.11

26.3 MAJOR COMPONENTS Table 26.3 lists many of the components and features available within each of the five gas abatement technologies. A description of these components and features for each technology follows. 26.3.1 Thermal Oxidation and/or Decomposition The following is a list of components usually associated with thermal scrubbing systems. 1. Multiple entries: Allows the effluent from more than one chamber to be processed. 2. Smart entry design: Reduces the frequency of solids clogging the entry structures, which would result in tool downtime. 3. Inlet pressure switch: Indicates clogging anywhere within the abatement system. 4. Fuel and/or additive gas inlets: Necessary to promote the reaction and/or decomposition of effluent species. 5. Flow indicators or controllers on fuel and additive inlet lines: Ensures that the proper fuel and additive flow is being delivered to the abatement system. 6. Reaction zone: Location where the effluent species are reacted or decomposed. The zone could be electrically heated or might use fuel. Generally, electrically heated units require between 1 to 10 kW of power, while fuel units may require 10 to 50 slpm (standard liters per minute) of hydrogen or natural gas. 7. Temperature controller: A thermocouple or other temperature measurement device is used to monitor the reaction zone temperature. Many units have feedback control to adjust the electrical or fuel input flow rate accordingly.

TABLE 26.3 Typical Components and Features of Five Gas Abatement Technologies Component or feature

Thermal

Absorption

Adsorption

Multiple inlets Low clogging inlets Inlet self-cleaning mechanism Inlet pressure switch or gauge Gas-phase reaction zone Gas-liquid contact zone Gas-solid contact zone Adsorbent media Temperature monitor/controller Pressure control Liquid sump with level control Pump Thermal quench section Particulate removal device Interface and control system Toxic gas sensor Scrubbed bypass Fuel and/or oxidizer injection Liquid chemical injection Cooling water Makeup water

X X X X X

X X X X

X

*

Plasma

Condensation

X X

X

X

X* X* X X X

X X X X

X X X*

X X X

X X X X

X X X X

X

X

X X X

Present for the case of an integrated thermal-gas absorber abatement system.

Downloaded from Digital Engineering Library @ McGraw-Hill (www.digitalengineeringlibrary.com) Copyright © 2004 The McGraw-Hill Companies. All rights reserved. Any use is subject to the Terms of Use as given at the website.

X

Geng(SMH)_CH26.qxd

04/04/2005

20:53

Page 26.12

WASTE GAS ABATEMENT SYSTEMS 26.12

GASES AND CHEMICALS

8. Self-cleaning mechanism: Some thermal abatement units have a device that automatically cleans the entries and reaction zone when oxide deposits form. Note that many oxidation by-products are solids. Examples include silicon and tungsten oxides. 9. Quench section: Just downstream of the reaction zone, this is a section in which by-product gases are mixed with air or water to reduce the temperature. 10. Particulate removal device: As previously mentioned many by-products of oxidation or decomposition are solids. One or more devices to remove much of this particulate are often located after the quench section. Examples include cyclone separators, gas absorption towers, filter elements, electrostatic precipitators, and spray towers. 11. Acid gas removal: Acids such as HF and HCl are common by-products formed in the reaction zone. A gas absorption tower (water scrubber) is often integrated with the thermal scrubber to remove these gases. In fact, the most prolific POU abatement systems on the market comprise a thermal unit followed by a gas absorption tower. 12. Human machine interface (HMI) and programmable logic controller (PLC): Allows for operation of the scrubber. Ensures that the unit is shut down or sends alarms under the appropriate circumstances. May also allow for automated logging of critical data.

26.3.2 Gas Absorption Common components found in water scrubbers are listed below. 1. Multiple entries: Allow the effluent from more than one chamber to be processed. 2. Smart entry design: Reduces the frequency of solids clogging the entry structures, which would result in tool downtime. Some entries are designed to automatically clean themselves. 3. Inlet pressure switch: Indicates clogging anywhere within the abatement system. 4. Gas/liquid contacting chamber: May contain a high-surface-area packing material, or may simply be a spray tower. Usually, multiple spray nozzles distribute the water into the chamber. The nozzles may be part of a rotating hub or may individually move in order to cover the full cross section of the chamber. The chamber is normally constructed of acid resistant plastics, although some chambers are made from stainless steel. 5. Liquid collection sump: Below the gas/liquid contact chamber, a sump is present to collect the liquid. The gas outlet is located above the liquid level to avoid liquid entrainment. 6. Recirculation pump: A pump is located below the sump. It recirculates the scrubbing liquid back to the spray nozzle assembly at the top of the gas/liquid contacting chamber. 7. Sump level switches: If the sump is not drained via gravity, level switches are necessary to control the sump liquid level. The switches send signals to a PLC, which then controls a wastewater valve. 8. Chemical injection: For the abatement of certain species, chemical additives must be used. These may be aqueous acid or base solutions or might be defoaming agents. A control system is necessary to inject the appropriate quantity of chemical. 9. Secondary gas/liquid contacting chamber/s: Additional scrubbing chambers further reduce the effluent species concentration levels. These chambers are outfitted with spray nozzles or liquid injection devices and will often times contain high-surface-area packing materials, although this is not always the case. 10. Makeup water flow meter or controller: Controls the flow rate of freshwater to the scrubber. 11. Demister: Located near the scrubber outlet, this device helps remove small droplets of entrained water. 12. HMI and PLC: Allows for the operation of the scrubber. Ensures that the unit is shut down or sends alarms under the appropriate circumstances. May also allow for automated logging of critical data.

Downloaded from Digital Engineering Library @ McGraw-Hill (www.digitalengineeringlibrary.com) Copyright © 2004 The McGraw-Hill Companies. All rights reserved. Any use is subject to the Terms of Use as given at the website.

Geng(SMH)_CH26.qxd

04/04/2005

20:53

Page 26.13

WASTE GAS ABATEMENT SYSTEMS WASTE GAS ABATEMENT SYSTEMS

26.13

26.3.3 Gas Adsorption Figures 26.4 and 26.5 show schematics of POU adsorption scrubber units. Typical components are: 1. Adsorbent-containing vessels: One or more canisters containing the adsorbent material/s are usually placed within a cabinet containing a tubing manifold that directs the flow of effluent gases. Within the vessels themselves are sometimes found flow distributors, subcompartments for different adsorbents, and a sensing tube to sample the concentration of toxic species in the outlet of the vessel. It is important to note that different adsorbents are usually required for different gas types. For example, an acid gas (e.g., HCl) adsorbent will usually not work well on hydride gases (e.g., AsH3) and vice versa. 2. Pressure control: Due to the possibility of solids accumulating within the adsorbent bed, a venturi or small blower is sometimes installed downstream of the adsorbent vessels in order to maintain a given pressure at the inlet of the vessels. 3. Gas sensor: Determines the concentration of the toxic species in the adsorbent vessel outlet. Determines when the adsorbent requires replacement. 4. Temperature sensor: Measures the temperature within the adsorbent bed for safety purposes. 5. Temperature control: Some adsorption units are heated to enhance the decomposition rates of effluent gases. This is particularly true in the abatement of PFC gases with adsorption scrubbers. 6. Flow meters or controllers: Allow the flow control of nitrogen purge gas and in some cases for clean dry air, which is used for regenerating adsorbent materials. 7. Bypass: Used when canisters are off-line. Sometimes a small additional canister is installed within the bypass line to ensure a 100 percent scrubbing efficiency. 8. HMI and PLC: Allows for the operation of the scrubber. Ensures that the unit is shut down or sends alarms under the appropriate circumstances. May also allow for automated logging of critical data. 26.3.4 Plasma Reactor 1. Reaction chamber: Due to the fast reaction rates in a plasma device, this section is usually rather small and is often composed of a ceramic, nonelectrically conductive, corrosion resistant material. 2. Additive injection: To decompose many PFC materials, both an oxidizer and a reducer are often utilized. The oxidizer reacts with carbon to yield CO2, while the reducer reacts with fluoride to form HF. Some units use O2 and H2 gases as the oxidizer and reducer respectively, while others use water vapor. 3. Plasma control system: Many units have specific electronics to ensure the plasma is optimized. There may also be a visual indicator confirming when the plasma is on and off.

House exhaust 1 Inlet pressure transducer Process

Pressure controller 2

Eductor (Venturi pump)

Effluent Process pump

3

FIGURE 26.4 Effluent path from a vacuum pump through a POU adsorbent scrubber unit.

Downloaded from Digital Engineering Library @ McGraw-Hill (www.digitalengineeringlibrary.com) Copyright © 2004 The McGraw-Hill Companies. All rights reserved. Any use is subject to the Terms of Use as given at the website.

Geng(SMH)_CH26.qxd

04/04/2005

20:53

Page 26.14

WASTE GAS ABATEMENT SYSTEMS 26.14

GASES AND CHEMICALS

FIGURE 26.5

A look inside a POU adsorbent scrubber cabinet.

26.3.5 Condensation 1. Contact chamber: Condensers try to maximize the area of contact between the effluent gas and the cooling surfaces. The cooling surfaces may be in the form of coils, fins, plates, and the like. The chamber must be large enough to collect sufficient solids, yet not too large to avoid difficulty in handling. 2. Cooling fluid: Often this is house cooling water. 26.3.6 General Comments 1. Most abatement systems require power. This is most often 110 or 120 to 240 VAC single phase (50 or 60 Hz). Some units will use 208 VAC or higher three-phase power. Downloaded from Digital Engineering Library @ McGraw-Hill (www.digitalengineeringlibrary.com) Copyright © 2004 The McGraw-Hill Companies. All rights reserved. Any use is subject to the Terms of Use as given at the website.

Geng(SMH)_CH26.qxd

04/04/2005

20:53

Page 26.15

WASTE GAS ABATEMENT SYSTEMS WASTE GAS ABATEMENT SYSTEMS

26.15

2. The design and operational compliance is often in accordance with SEMI and CE standards. 3. Inlet connections to POU abatement systems are usually common NW25, NW40, or NW50 vacuum flanges. Outlet connections may be any of these but may also be 4 in (100 mm) or 6 in (150 mm) in diameter.

26.4 IMPORTANT CONSIDERATIONS A number of issues should be reviewed and analyzed when choosing and operating abatement equipment. These are separated into the following categories—efficiency and waste streams, reliability, cost of ownership, installation requirements, and operational factors. 26.4.1 Efficiency and Waste Streams 1. What is the effluent removal efficiency of the abatement equipment? Is it enough to meet regulations and fab safety guidelines? One example is the abatement of arsine. Due to its very high level of toxicity, many fabs require that POU abatement systems remove arsine to less than 50 ppb (OSHA PEL). 2. Usually POU abatement system vendors will require general process information in order to quote an appropriate scrubber and efficiency. The typical information required includes the flow rate of each process gas, the amount of time the gas is flowed per day or week, the concentration of the gas in the source bottle, as well as the total nitrogen gas flow rate coming to the abatement system. Note that the nitrogen flow predominately comes from the vacuum pumps. The house scrubber vendors will likely require information detailing the typical hazardous gas concentration at the scrubber inlet as well as the total air flow rate. 3. If the abatement unit incorporates an absorption tower, it is important to avoid local minima points in the exhaust ducting after the scrubber. Even if the absorption unit is 99 percent efficient, the concentration of acid gases and water vapor in the scrubber exhaust may be sufficient to cause corrosion problems in the house ducting. 4. Does the abatement system produce any waste? For example, the adsorbent media from dry scrubbers must be disposed of when it has become spent. If the adsorbent media contains certain compounds (e.g., arsenic, selenium, chromium, lead, or other regulated materials), the adsorbent may be considered hazardous waste. For water scrubbers, or a thermal unit with a gas absorption tower, the wastewater will likely need some type of treatment. This could range from pH treatment to fluoride treatment to arsenic treatment depending on the application. 5. Plasma-based scrubbers produce F2, HF, and/or COF2 depending on the additives used. It may be important to use a POU wet scrubber after a plasma unit or at the minimum one should be aware of the added load the acid gases place on the house scrubber. 6. Thermal scrubbers may produce solid particulate through the combustion of certain effluent gases. Does the scrubber have a means for removing these particles? Are these compounds toxic and will they require special protective equipment to be worn by the employees during maintenance? Additionally, thermal scrubbers may produce NOx and/or CO as by-products, depending on the application. 26.4.2 Reliability 1. Components historically requiring the most maintenance include the inlet of water scrubbers due to clogging, the reaction chambers of thermal units and plasma scrubbers due to clogging or corrosion, and the recirculation pumps used in gas absorption systems (as well as integrated units containing wet sections) due to corrosion. In addition, dry scrubbers require the replacement of the adsorbent beds with a frequency in proportion to the effluent gas load to the scrubber. Condensation units must be cleaned on a regular basis. Most vendors of abatement equipment can provide the mean time between failure (MTBF) data for their equipment. Downloaded from Digital Engineering Library @ McGraw-Hill (www.digitalengineeringlibrary.com) Copyright © 2004 The McGraw-Hill Companies. All rights reserved. Any use is subject to the Terms of Use as given at the website.

Geng(SMH)_CH26.qxd

04/04/2005

20:53

Page 26.16

WASTE GAS ABATEMENT SYSTEMS 26.16

GASES AND CHEMICALS

2. How long does it take to repair a unit that requires maintenance? This is known as mean time to repair (MTTR). Often times it is possible to install a spare component in order to get the abatement system up and running as soon as possible. The damaged or clogged component can then be repaired or cleaned off-line. 3. The reliability of abatement units is one of the most important factors when making a procurement decision. Any time a scrubber undergoes maintenance, the process tool that it serves must be shut down. Because process tools are the most expensive investment for a fab, any length of time they are not operating is very costly. 4. One option to reduce the cost of scrubber downtime is to install a small bypass system to be used during the maintenance of the primary scrubber. 26.4.3 Cost of Ownership 1. What consumables does the scrubber require? Examples include fuel, nitrogen, air, oxygen, electricity, cooling water, makeup water, ventilation, adsorbent media, and chemical injection agents. A good source that provides a list of some of these consumables with their associated costs is Sematech.10 2. How much fab space does the scrubber take up? 3. How much will it cost to install the abatement equipment? 4. How much will it cost to maintain the equipment? 26.4.4 Installation Requirements 1. What facilities are required by the abatement unit (e.g., air, N2, fuel, electricity, water)? Does the fab have access to all of these? 2. What is the size of the abatement system and how much clearance space is required around the unit for maintenance? 3. Most abatement units are installed downstream of the vacuum pump. However, some of the newer plasma systems need to operate upstream. It can be a very costly mistake to install a low-pressure plasma abatement unit downstream of the vacuum pump. 4. For POU units installed downstream of the pump, will the tubing connecting the pump to the abatement device need to be heated or require a cold trap? Processes that may require such action are metal etch, tungsten CVD, MOCVD, and LPCVD nitride because they produce condensable species as by-products. 5. What is the exhaust draw requirement of the abatement system and can the fab supply this? Most abatement equipment operates at slightly negative pressure (−0.5 in wc to −5 in wc). 6. How many chambers or tools will be plumbed to one POU scrubber unit? More chambers or tools per scrubber can save on scrubber expenditures, but could have serious consequences on tool downtime in the future. 26.4.5 Operational Factors 1. What type of control system and interface does the scrubber utilize? Is it straightforward to operate? Many POU scrubbers have PLCs and HMIs that offer a large number of capabilities. 2. Will the abatement system need to be controlled or accessed remotely? If so, does the system have these capabilities? 3. Sometimes fabs require that if the abatement unit fails, it sends a signal to automatically shut down the semiconductor process tool. To do this, the abatement system must be capable of sending an output signal.

Downloaded from Digital Engineering Library @ McGraw-Hill (www.digitalengineeringlibrary.com) Copyright © 2004 The McGraw-Hill Companies. All rights reserved. Any use is subject to the Terms of Use as given at the website.

Geng(SMH)_CH26.qxd

04/04/2005

20:53

Page 26.17

WASTE GAS ABATEMENT SYSTEMS WASTE GAS ABATEMENT SYSTEMS

26.17

4. For many systems it is critical that noncompatible gases are not mixed together before or within the unit. An example is a water scrubber treating the effluent from a silicon EPI process. Byproducts consist predominantly of H2, HCl, N2, and chlorosilanes. If more than one tool or chamber is connected to a given scrubber, there is the real chance that during maintenance of one of the tools, air could get into the system and create an explosive mixture in the scrubber. Although still needing an ignition source to create an explosion, it is highly desirable to always avoid creating explosive mixtures.

26.5 FUTURE TRENDS Three items have the focus of most abatement vendors. First, a large amount of effort is currently being expended to make abatement systems more reliable, while at the same time increasing their capacity for effluent gases. Significant progress has been made in this endeavor in the past few years and we are likely to see additional improvements. A second focused effort is in the area of PFC abatement. Many companies have developed plasma scrubbers specifically for this purpose since they use less energy to effect the same removal efficiency. A third focus is in the area of new product concepts. For example, some work is being done on ways to concentrate the hazardous components of the effluent streams and then abate or recycle the materials. Abating a concentrated stream almost always requires less energy for a POU unit. Additionally, there is some interest in ways to catalytically crack ammonia into N2 and H2 and then to use H2 as a fuel or source to generate electricity.

REFERENCES 1. Turns, S. R., “Chemical Rinetics,” (chap. 4), in An Introduction to Combustion—Concepts and Applications, McGraw-Hill, New York, 2000, p. 117. 2. Getty, J. D., “Oral Presentation,” Semicon Korea, 1998. 3. Perry, R. H., D. W. Green, and J. O. Maloney, “Mass Transfer and Gas Absorption,” (sec. 14), “Liquid-Gas Systems” (sec. 18), in Perry’s Chemical Engineers’ Handbook, 6th ed., McGraw-Hill, New York, 1984. 4. Treybal, R. E., “Equipment of Gas-Liquid Operations,” (chap. 6), “Gas Absorption,” (chap. 8), in Mass Transfer Operations, 3rd ed. McGraw-Hill, New York, 1980. 5. Bird, R. B., W. E. Stewart, and E. L. Lightfoot, Transport Phenomena. John Wiley & Sons, New York, 1960. 6. Onda, K., H. Takeuchi, and Y. Okumoto, “Mass Transfer Coefficients Between Gas and Liquid Phases in Packed Columns,” J. Chem. Eng. Jpn. Vol. 1, pp. 56–62, 1968. 7. Occupational Safety & Health Administration, 1910.1000 Table Z-1, “Limits for Air Contaminants.” Available at: www.osha.gov. 8. National Institute for Occupational Safety and Health, “Pocket Guide to Chemical Hazards,” 1997. Available at: www.cdc.gov. 9. American Conference of Governmental Industrial Hygienists, “Guide to Occupational Exposure Values,” 2004. Available at: www.acgih.org. 10. O’Halloran, M., “Fab Utility Cost Values for Cost of Ownership (COO) Calculations,” International Sematech Technology Transfer #02034260A-TR, March 29, 2002. 11. United Stated Environmental Protection Agency (EPA), Chemical Profiles. Available at: www.epa.gov.

Downloaded from Digital Engineering Library @ McGraw-Hill (www.digitalengineeringlibrary.com) Copyright © 2004 The McGraw-Hill Companies. All rights reserved. Any use is subject to the Terms of Use as given at the website.

Geng(SMH)_CH26.qxd

04/04/2005

20:53

Page 26.18

WASTE GAS ABATEMENT SYSTEMS

Downloaded from Digital Engineering Library @ McGraw-Hill (www.digitalengineeringlibrary.com) Copyright © 2004 The McGraw-Hill Companies. All rights reserved. Any use is subject to the Terms of Use as given at the website.

Geng(SMH)_CH27.qxd

04/04/2005

20:54

Page 27.1

Source: SEMICONDUCTOR MANUFACTURING HANDBOOK

CHAPTER 27

PFC ABATEMENT James C. Cox Hitachi High Technologies America Dallas, Texas

27.1 PERFLUOROCARBON COMPOUNDS 27.1.1

What Are PFCs? Perfluorocompounds (PFCs) are a class of compounds with all the bonding sites of the primary atom or atom chain occupied by fluorine atoms. Perfluorocarbon compounds are a subclass of perfluorocompounds with carbon as the primary atom or atom chain. Hydrofluorocompounds are not being completely fluorinated but instead have a mixture of hydrogen and fluorine in the bonding sites. Because of their useful properties, these classes of compounds have found wide acceptance in a range of industrial applications. In this chapter we will primarily concern ourselves with the use of and issues surrounding the applications of these compounds within the semiconductor (SC) industry. The acronym, PFC, is often loosely used in practice in the semiconductor industry to identify a class of chemical compounds utilized in chemical vapor deposition (CVD) and/or in etch processes. The problem within the SC industry is that there are examples of these chemical compounds that technically do not adhere to either the perfluorocompound or perfluorocarbon definition. The perfluorocompound definition is the broadest and encompasses an entire range of completely fluorinated chemicals. However, in the SC industry CHF3 (trifluoromethane) is often considered to be a PFC gas though it is technically a hydrofluorocompound. The perfluorocarbon definition is more specific in that it defines a completely fluorinated carbon or carbon chain molecule. However, just as trifluoromethane would not fit this definition either, the SC industry typically categorizes SF6 (sulfur hexafluoride) as a PFC gas. The reader must recognize that the PFC definition is far from perfect and that daily practices tend to override technical correctness. In this chapter the acronym PFC will be used in terms of the SC manufacturing environment to indicate any “highly” fluorinated compound typically used in CVD and/or etch processes to manufacture SC integrated circuits (ICs) unless specifically written as either perfluorocarbon or perfluorocompound.

27.1.2

Chemical and Physical Properties Chemicals in the PFC family are uniquely distinguished by their stability and inertness. The most commonly used PFCs for the SC industry (CF4, C3F8, C4F8, CHF3, and SF6) are gaseous in nature. They are colorless, odorless gases that are nonflammable and practically nontoxic. In addition, they are thermally stable and very chemically inert. In an industrial manufacturing environment that is filled with a myriad of acids, bases, and solvents that are quite harmful to people and the environment, Downloaded from Digital Engineering Library @ McGraw-Hill (www.digitalengineeringlibrary.com) Copyright © 2004 The McGraw-Hill Companies. All rights reserved. Any use is subject to the Terms of Use as given at the website.

27.1

Geng(SMH)_CH27.qxd

04/04/2005

20:54

Page 27.2

PFC ABATEMENT 27.2

GASES AND CHEMICALS

PFCs were viewed very favorably. PFCs meet the stringent process performance requirements while having almost minimum regulations. This was an extraordinary and quite uncommon position for SC manufacturing facilities. It meant that the PFC gas vendors could sell PFC gases cheaply to wafer fabs that used them to manufacture products but yet would incur little or no disposal costs.

27.1.3

Industrial PFC Usage Obviously, the major industrial benefit for using PFC gases is the absence of safety-related issues where people are involved in processes utilizing these gases. Due to such nonvolatility and nontoxicity, these compounds have, in the past, been much less restricted in usage than some of their counterparts used in other fab process applications. In fact, only since the mid-1990s have issues regarding the usage of these gases come to light. PFC gases are suspected of contributing to global warming. Prior to that, PFCs were the perfect industrial gases. PFCs do not attack the ozone layer, they are not at risk of exploding or reacting violently when mixed with other chemicals, and other than asphyxiation, these chemicals were not a threat coming into contact with operators or equipment technicians. All safety issues aside, PFC gases also offered the process performance and stability necessary for wafer fabs to build functional integrated circuits (ICs) and continually optimize processes for smaller and smaller geometries thus fueling the SC industry growth. Usage in the SC Industry. PFCs find two primary uses within the SC industry. The first is as a carbon and/or fluorine source in plasma etching and the second as a cleaning gas in CVD systems. In a typical SC wafer fab, the CVD clean operation is the larger consumer of PFCs compared to etch. In CVD clean processes, PFC gases are injected into a CVD chamber with no production wafers present. Radicals are created from the PFC clean gases in the presence of the plasma. The radicalized gases combine with solid residues coating the CVD chamber parts to form by-products that are gaseous in phase. These gaseous by-products are pumped from the chamber thus reducing or eliminating any remaining solid residue within the chamber. Solid residue is unwanted because it may pose a yield loss potential if particles from the residue get onto the wafer surface. Etch process usage of PFC gases is somewhat different in that the actual production wafers are created through the use of PFC gases that become etchants for a given material on the wafer. In the etch process fluorine radicals are generated in the plasma. The fluorine radicals attack the sacrificial layer on the wafer to remove unwanted areas that define the desired pattern on the wafer. At the same time the etch process may be designed so that liberated carbon will coat some wafer features creating a passivation layer that reduces the etch rate of features that are not desired to be etched. This is common in the case of defining very vertical structures. The carbon passivation layer is formed on the feature sidewalls as the etch progresses thus keeping the reactive species from continuing to erode the already etched features. Etch processes are very carefully designed to optimize the amount of radical etch compared to passivation so that well-controlled patterns are created. The choice of PFC is very often determined by the amount of available fluorine to carbon ratio in the etchant gas mixture to optimize the etch results to meet the criteria necessary for high-yielding functional IC devices. Emissions. The current amount of PFC gases emitted by the semiconductor industry is actually a very minute amount when compared to all PFC emission sources. The amount of PFC emissions attributed to the semiconductor industry is well under 10 percent and only approximately 0.1 percent of the United States total greenhouse gas emissions.1 The need to address SC manufacturing PFC emissions really did not stem from the amount already being emitted. One of the primary factors that drove the consideration was a review of SC industry growth rates as a whole. Through the late 1990s the semiconductor industry maintained a high growth rate and with it PFC emissions have tracked that growth. There was a concern that as the industry continued to grow the emissions levels of PFC gases would continue to swell with that growth. From this extrapolation began the idea that PFC emissions should be investigated for reduction in the SC industry.

Downloaded from Digital Engineering Library @ McGraw-Hill (www.digitalengineeringlibrary.com) Copyright © 2004 The McGraw-Hill Companies. All rights reserved. Any use is subject to the Terms of Use as given at the website.

Geng(SMH)_CH27.qxd

04/04/2005

20:54

Page 27.3

PFC ABATEMENT PFC ABATEMENT

27.1.4

27.3

Environmental Issues—Global Warming Potential The major disadvantage to the usage of PFCs is their influence in global warming potential (GWP). Though emissions are expected to increase over time, the amount of PFCs emitted into the atmosphere are almost minuscule when compared to other global warming gas emissions. In fact, carbon dioxide (CO2) by far makes up the largest global warming gas emission.2 So, why should we be concerned with the smallest volumetric greenhouse gas contribution? The trouble with PFCs are their extremely efficient infrared radiation (IR) absorption property and their extremely long atmospheric lifetime owed to chemical stability. PFCs have a unique property in the infrared spectrum for gases in the atmosphere. PFC gases have an absorption band in the 800 to 1200 cm−1 range where thermal energy would normally not be absorbed by atmospheric gases. By absorbing within this region PFC gases actually trap the heat energy that would normally leave the atmosphere into space.1 Essentially the greenhouse gases form an unintentional insulating layer above the earth trapping more warmth than would be considered normal. The entire greenhouse gas problem is further compounded for PFC gases because of properties so respected by the SC industry. The inertness of these chemical compounds makes them unlikely to decompose once emitted into the atmosphere. PFC gases are proven to have extremely long atmospheric lifetime values. Hence, a PFC gas in the atmosphere creating an insulating layer may last for hundreds or even thousands of years.2 Therefore, PFC gas emissions today are additive to those in the past and what will occur in the future. It’s this runaway potential that is garnering environmental concern. To understand the real significance of the global warming capacity of PFC gases, they are often compared in terms of carbon dioxide to indicate GWP. By definition CO2 is given a GWP of unity. Refer to Table 27.1 for a comparison of GWP and atmospheric lifetime for common PFC gases used in the SC industry. GWP is often provided in a 100-year integrated value. This value relates a given volume of a gas against the same volume of carbon dioxide. Thus, the GWP provides a multiplier for a PFC gas against carbon dioxide indicating how much more IR energy that gas will absorb within 100 years. To get the total global warming capacity of a given PFC gas the atmospheric lifetime must also be considered since most of the PFC gases have lifetime values much larger than 100 years. Refer to Table 27.1 for a comparison of lifetimes. By a quick review of these gases, the reader can easily surmise that PFC gases pose a significant greenhouse gas potential that will last forever, in terms of human time on earth. SC and EPA Endorse MOU. Recognizing the potential contribution that PFCs make to global warming, 160 nations agreed to the Kyoto Protocol in December 1997. This protocol was to become to PFC gases what the Montreal Protocol is to ozone depleting substances. Essentially, the Kyoto TABLE 27.1 Atmospheric Lifetime Values and Global Warming Potential for Selected PFC and HFC Gases3,4 Gas

Atmospheric lifetime (years)

GWP

Carbon Dioxide CHF3 CF4 C2F6 C3F8 C4F8 C5F8 NF3 SF6

50 – 200 264 50,000 10,000 2,600 3,200 1 740 3,200

1∗ 11,700 6,500 9,200 7,000 8,700 90 11,700 23,900



By definition carbon dioxide is given a GWP of one.

Downloaded from Digital Engineering Library @ McGraw-Hill (www.digitalengineeringlibrary.com) Copyright © 2004 The McGraw-Hill Companies. All rights reserved. Any use is subject to the Terms of Use as given at the website.

Geng(SMH)_CH27.qxd

04/04/2005

20:54

Page 27.4

PFC ABATEMENT 27.4

GASES AND CHEMICALS

Protocol requires an average 5.2 percent reduction in emissions of six key greenhouse gases by the 38 participating industrialized countries. The six gases specified by the Kyoto Protocol are:5 Carbon dioxide (CO2) Nitrous oxide (N2O) Methane (CH4) Perfluorocarbons (PFCs) Sulfur hexafluoride (SF6) Hydrofluorocarbons (HFCs) The Kyoto Protocol directly impacts the SC industry because half of the targeted compounds are typical emissions from an SC fab, these being PFCs, HFCs, and SF6. In 1999 the World Semiconductor Council (WSC), with members from the United States, Europe, Japan, Taiwan, and South Korea met and agreed to reduce PFC emissions by at least 10 percent below 1995 levels (1997 for Korea) by 2010. Members of the WSC produce over 90 percent of the world’s semiconductors.6 In the United States, the Semiconductor Industry Association (SIA), partially in order to preempt any government enforced regulations, entered into a Memorandum of Understanding (MOU) with the United States Environmental Protection Agency (US-EPA) to reduce PFC emissions. On March 13, 2001 the SIA signed this new voluntary partnership with the US-EPA with the intention of reducing emissions of perfluorocompounds by 10 percent of 1995 emissions levels by the year 2010. The agreement sets reductions to occur for all perfluorocompounds, including perfluorocarbons, hydrofluorocarbons, and sulfur hexafluoride. These gases were specifically targeted for reduction due to their GWP and their extremely long atmospheric lifetimes derived from their chemically stable nature. The partnership agreement further complemented efforts by the WSC/SC trade organizations to reduce emission levels of PFC gases.7

27.2 PFC EMISSION REDUCTION STRATEGIES In an effort to meet the MOU goals agreed to by the major SC companies in the United States, investigations to Pareto PFC emissions began. This step simply determined which processes utilized PFCs and at what volumes in order to locate the largest emission processes within the SC industry. From there research got underway to review reduction options that would allow a given company to meet their emission goals relative to the 1995 baseline. Several different strategies for PFC reduction will be discussed in this section. Strategies being considered or in place, at least to some degree, by SC manufacturers include process optimization, chemical substitution, capture and recycle, and abatement. In many cases, companies will need more than a single strategy to meet their reduction goals. It may take a combination of strategies applied to a single emission process or multiple strategies that are process dependent. 27.2.1 Process Optimization The first PFC reduction strategy to consider is process optimization. This strategy simply targets a particular process to determine if emissions can be reduced with minimal or no adverse performance impact. Essentially, this strategy reviews emissions looking for ways to reduce the volume through either reduced PFC flow rates and/or reduced PFC gas flow times. Although this strategy will not eliminate PFC emissions, if successful, it will reduce the overall volume of PFC emissions from a given process or at least reduce the global warming impact. It is theoretically possible that process optimization could reduce emissions levels below the target threshold of today, but as the SC industry continues to grow even those optimized emissions would eventually exceed the allowable levels.

Downloaded from Digital Engineering Library @ McGraw-Hill (www.digitalengineeringlibrary.com) Copyright © 2004 The McGraw-Hill Companies. All rights reserved. Any use is subject to the Terms of Use as given at the website.

Geng(SMH)_CH27.qxd

04/04/2005

20:54

Page 27.5

PFC ABATEMENT PFC ABATEMENT

27.5

Process optimization had its greatest success on the CVD side due to less stringent requirements of the CVD cleaning process and prior lack of concern for the large quantities of PFC gases consumed. During initial investigations, SC companies found that CVD cleaning processes emitted large quantities of PFC gases (typically 60 to 70 percent of a fab’s overall PFC emissions) that are flowed into the chamber but do not react in the plasma cleaning process. These unreacted PFCs once removed from the chamber simply became an emission to the atmosphere. Through process investigation and optimization, engineers found that it was possible to reduce the amounts of PFC gases flowing into the chamber and/or reduce the total cleaning time while still achieving the desired chamber cleaning result. This provided a simple and often cost-effective method of reducing overall PFC emissions. Unfortunately, process optimization could not be as effective for etch processes. In etch processes PFC gases are used during actual production wafer processing, and thus play a critical role in the final chip performance and company profit. Any changes in gas flows, pressures, and the like have the potential to cause process shifts that can lead to low yield or even process-related scrap material. Since yield is of utmost importance in a SC fabrication facility, process engineers are extremely hesitant to consider such far-reaching process changes. Other PFC emissions reduction techniques are necessary for etch processes. 27.2.2 Chemical Substitution Chemical substitution is another seemingly simple strategy to reduce or eliminate PFC emissions from wafer fabs. This strategy proposes different gases that have either no adverse or lesser environmental impact. In theory the ideal alternative process gas would have an atmospheric lifetime and global warming potential of approaching zero while still being nontoxic and nonflammable. Obviously such an ideal gas must also possess properties that will allow it to be reactive with silicon compounds in a plasma environment while being cost-effective for the manufacturing process. To date, no ideal alternative gases are available. Although no ideal gas is currently available, the chemical substitution strategy is responsible for some major successes in the reduction of overall global warming potential through the exchange of larger GWP PFC gases with ones with much lower GWPs. Again, the greatest strides occurred in the CVD clean application for PFC reduction through the use of alternative chemistries. The most common CVD clean alternative chemistry is NF3. At first glance in Table 27.1 it would appear that NF3 is not at all a good candidate for an alternative chemistry aimed at reducing GWP emissions. Compared with the CVD cleaning gas reference standard of C2F6, nitrogen trifluoride does have a much shorter atmospheric lifetime. However, NF3 actually has a larger GWP than the C2F6 standard. The benefit comes in the mechanics of the CVD chamber clean and not in the alternative chemistry itself. One of the most successful NF3 chamber cleans is the remote chamber clean implemented by Applied Materials, Inc. The benefit for PFC gas emissions is that a remote chamber utilizing a microwave plasma source dissociates the cleaning gas into neutral and charged species. These species are injected into the CVD deposition chamber where the fluorine radicals react with siliconbased deposition residue (typically SiO2 or SiN4) to form volatile silicon tetrafluoride by-products that have no global warming potential. The SiF4 by-products are pumped out of the CVD chamber and are removed from the exhaust using conventional scrubbing technologies. Since the utilization efficiency of NF3 in these systems approaches 100 percent, there is zero or at least minimal global warming gas emission from this CVD chamber clean process. As a side benefit, the NF3 clean process is more gentle on internal CVD chamber materials than the standard C2F6 clean process.8,9 The NF3 chamber clean provides a major reduction in overall PFC emissions by essentially eliminating emissions from wafer fab processes that account for 60 to 70 percent of facilities’ PFC emissions. The only practical drawback to this new clean process is the fact that older wafer fabs would have to make significant capital outlays in order to purchase new equipment or equipment modifications to take advantage of the NF3 clean. Each wafer fab has to weight the return on investment (ROI) of such a project in order to determine if this is the most cost-effective solution to their PFC emissions.

Downloaded from Digital Engineering Library @ McGraw-Hill (www.digitalengineeringlibrary.com) Copyright © 2004 The McGraw-Hill Companies. All rights reserved. Any use is subject to the Terms of Use as given at the website.

Geng(SMH)_CH27.qxd

04/04/2005

20:54

Page 27.6

PFC ABATEMENT 27.6

GASES AND CHEMICALS

27.2.3 Capture and Reuse Capture and reuse is another strategy being considered to help wafer fabs reduce or eliminate their PFC emissions. This strategy is often called the environmental friendly option since it seeks to capture unreacted PFC gases from an exhaust stream. The unreacted gases would be purified and reused creating, in theory, a closed-loop process where no PFC gases are emitted and smaller volumes of new stock is necessary.10 There are several technical and economical issues that make the wide acceptance of the capture and reuse strategy unfavorable. The capture portion is relatively straight forward. The difficulty lies in handling the waste gas once it is collected. Several companies pursued methods to reclaim the PFC gas, C2F6 in most cases because of its large volumetric usage in CVD chamber cleans. Unfortunately, the required measures necessary to get reclaimed PFC waste gas purified for reuse are not trivial. In the end, the economics of the strategy are such that under current regulations it is cost prohibitive for a typical SC fab to consider this option. The purification step also provides a great technical challenge. If done on-site, it requires equipment to store and purify the gas(es). After purification most SC fabs would then require extensive testing to ensure that a high level of purity is obtained before they would allow a reclaimed batch of gas to reenter the manufacturing process. Outsourced reclamation also does not offer significant improvements in technical or commercial solutions due to the need to purify waste gas batches from multiple facilities that may have significantly different chemical component makeups. The added cost of transportation must also be considered. Essentially, under the current level of regulations a PFC gas such as C2F6 is much cheaper to purchase as new stock than to attempt to capture and reuse waste gas. However, only the future will tell if the economic balance shifts to make the capture and recovery technique more accepted. 27.2.4 Abatement Finally, abatement is a final option to the issue of PFC emissions. Abatement is a strategy that seeks to decompose the PFC molecules by breaking them down into by-products that are allowable as emissions or that can be further treated by common fab treatment facilities. Abatement schemes add energy to the waste gas exhaust containing PFC gases in the attempt to dissociate the molecules into non-PFC by-products. There are several different techniques utilized in abatement that differ mainly in the way energy is provided. Some abatement methods, called point of use (POU) abatement, simply add heat to burn the PFC gas components. These may use ignition gases such as methane or even hydrogen to provide the necessary heat energy. A second approach also utilizes heating but requires lower temperatures, achievable through electrical heating, in the presence of a catalyst. The catalyst aids in reducing the activation energy required to dissociate PFC molecules into easily treatable by-products. Finally, a third approach utilizes a plasma source to provide the necessary energy of dissociation. Although abatement is effective at reducing or even eliminating PFC emissions, the SC industry may approach abatement as a last option because it requires capital dollars that do not go directly into the production of profit or wafers. Processes of this nature that have an associated cost but provide no profit benefit are said to be nonvalue-added costs. This being said, abatement for some companies is a necessary step toward reducing their PFC emissions to their goal levels.

27.3 PFC ABATEMENT THEORY 27.3.1 Chemistry As discussed earlier, PFC gases are extremely stable substances. Much of this stability is owed to the carbon-fluorine bond that is one of the strongest single bonds encountered in organic chemistry and by far the strongest of the alkyl halide family. Bond dissociation energies for the carbon-fluorine bond indicate that significant energy must be imparted to the PFC molecule in order to abate the PFC gas molecules from the process waste stream. Downloaded from Digital Engineering Library @ McGraw-Hill (www.digitalengineeringlibrary.com) Copyright © 2004 The McGraw-Hill Companies. All rights reserved. Any use is subject to the Terms of Use as given at the website.

Geng(SMH)_CH27.qxd

04/04/2005

20:54

Page 27.7

PFC ABATEMENT PFC ABATEMENT

Potential energy

CF4 + 2H2O

27.7

CO2 + 4HF ∆E

Reactants Products Reaction coordinate

FIGURE 27.1

Reaction energy diagram.11,12

Figure 27.1 illustrates how the reaction takes place for the dissociation of CF4 into carbon dioxide and hydrofluoric acid. This diagram is the typical kinetic pathway for reactants to products found in most general chemistry texts. The reactants begin at an elevated energy level relative to the products. There is, however, a significant energy hill (represented by the activation energy ∆E) to climb before the reaction is carried to completion. This energy hill necessitates the use of an energy source in order to abate PFC gases. 27.3.2 Abatement Methods Combustion. A combustion abatement system for PFC emissions reduction typically involves using a flammable gas injected into a reaction chamber with an ignition source. PFC gases for abatement flow into the reaction chamber and are essentially burned to facilitate PFC abatement. Water scrubbers are normally employed in a combustion system to remove the HF by-product from the exhaust gas where it can be easily treated as an aqueous solution. Combustion is not necessarily the perfect PFC emission reduction solution because CO2 is a by-product and also a global warming gas. The benefit comes in the fact that abatement with CO2 by-products trades high GWPs of PFC gases for much lower GWPs of CO2. Finally, combustion abatement is also not considered the ideal solution to PFC emissions reduction because combustion may produce NOx compounds that are considered hazardous air pollutants (HAP). When the combustion reaction takes place in the nitrogen rich gas stream many SC process tools use large amounts of nitrogen in their dry pumps. However, companies that specialize in building combustion abatement systems have optimized their reactor designs to generate the lowest NOx emissions possible. Overall, the combustion technique has been well accepted by the SC industry for PFC abatement due to the familiarity of these systems. SC facilities have long relied on combustion systems for abating emissions of other process wastes. Combustion abatement systems are normally capable of handling the PFC exhausts from several process tools and only limited by a maximum exhaust gas flow rate. The combustion technique is particularly suited for the high flow rates of PFC gases from a CVD process but has been viewed as overkill for the much smaller volumes generated by etch processes. Catalytic Decomposition. A new twist to the combustion technique is catalytic PFC abatement. Instead of simply adding raw energy to overcome the bond dissociate energies required to break down the PFC molecules, a catalytic system utilizes a catalyst that reduces the amount of energy required to drive the reaction from reactants (PFC) to products (normally CO2 and HF). A catalyst is a substance that initiates or accelerates a chemical reaction without itself changing chemically or physically (i.e., reacting). Companies that specialize in building catalytic abatement systems have developed proprietary catalysts that reduce the activation energy necessary for the PFC dissociation reaction thereby accelerating the reaction and helping to drive the reaction to completion so that PFC destruction rates (destruction removal efficiencies, or DRE) approach 100 percent. Figure 27.2 Downloaded from Digital Engineering Library @ McGraw-Hill (www.digitalengineeringlibrary.com) Copyright © 2004 The McGraw-Hill Companies. All rights reserved. Any use is subject to the Terms of Use as given at the website.

Geng(SMH)_CH27.qxd

04/04/2005

20:54

Page 27.8

PFC ABATEMENT GASES AND CHEMICALS

CF4 + 2H2O Potential energy

27.8

CO2 + 4HF ∆E

Reactants

∆E catalyst Products Reaction coordinate

FIGURE 27.2 Reaction energy diagram with reduced activation energy requirement for a catalytic reaction.11,12

illustrates how the activation energy hill is reduced for the catalytic-aided reaction compared to the standard reaction pathway. Typical catalytic abatement systems for PFC destruction in SC facilities utilize electrical heating to accomplish the reaction since lower energy is required and in most cases SC facilities prefer to utilize electricity over flammable gases whenever possible. Catalytic abatement systems normally have a water scrubber similar to combustion systems to remove HF from the exhaust gas stream and make it an aqueous solution that is easily treated by the SC facility. Catalytic systems also have the downside of producing CO2 as a by-product; however, NOx emissions are negligible at the lower operating temperature of catalytic systems. Again, although CO2 is a global warming gas, it is considered more desirable for emissions when compared to the extremely efficient GWP PFC gases. Catalytic PFC abatement systems are also widely accepted for PFC abatement needs in SC manufacture. A typical catalytic PFC abatement system will treat exhausts from several chambers and/or several process tools and like combustion systems is only limited by a maximum flow rate of exhaust gas. Plasma Abatement. Plasma PFC abatement systems are considerably different compared to combustion or catalytic abatement techniques. A plasma abatement system utilizes energy from plasma source to dissociate the PFC molecules. These plasma systems are also different because they are installed in the process tool’s foreline between an etch chamber’s turbo molecular pump (TMP) and the roughing or dry pump whereas combustion and catalytic abatement tools are installed downstream from the roughing pumps. For this reason, a separate plasma abatement unit is necessary for each chamber on a process tool platform and there must be a separate facilities scrubbing system to remove any HAPs from the abated exhaust. Etch platforms may have anywhere from one up to four process chambers and each chamber would require a separate plasma abatement system. However, plasma abatement units are much less expensive than a single combustion or catalytic abatement systems and because combustion and catalytic systems depend on maximum exhaust flows, each application can be different as to which is the most cost-effective. Plasma abatement units are targeted only for etch process PFC abatement since CVD PFC flow rates are much too high to achieve acceptable PFC DRE values.13 As with combustion and catalytic abatement, plasma systems also emit CO2, a global warming gas, but with a much lower GWP than the PFC gases being abated. Plasma abatement systems like catalytic systems generate negligible NOx emissions. Plasma systems typically use a gas or water injection system to ensure that the PFC abatement by-products do not recombine back to PFC gases downstream in the exhaust. Essentially, the PFC gas molecules enter the plasma area and are dissociated but continue to flow toward the dry pump. At some point without a countermeasure there is a potential for recombination back to a PFC molecule. To overcome this effect, plasma abatement system makers incorporate a water or oxygen injection system just prior to the plasma area. This injection

Downloaded from Digital Engineering Library @ McGraw-Hill (www.digitalengineeringlibrary.com) Copyright © 2004 The McGraw-Hill Companies. All rights reserved. Any use is subject to the Terms of Use as given at the website.

Geng(SMH)_CH27.qxd

04/04/2005

20:54

Page 27.9

PFC ABATEMENT PFC ABATEMENT

27.9

provides a source of hydrogen and oxygen (in the water case) or simply oxygen for the dissociated PFC by-products to combine with forming stable species such as HF, CO, and CO2 in the case of injected water and HF, CO2, COF2, and F2 in the case of injected oxygen. Due to the related hazards of COF2 and F2, the water injection method is preferred. Plasma abatement systems are also accepted in SC fabs for abatement of PFCs from etch tools because they are efficient and inexpensive. However, some SC fabs are hesitant to place any constrictive devices into the process tool foreline due to specter of unknown process drifts or backflows that always have the potential to occur.

27.4 CATALYTIC ABATEMENT 27.4.1 System Description The following sections will provide much greater detail about a catalytic abatement system for SC PFC abatement manufactured by Hitachi, Ltd., Japan (see Fig. 27.3). This family of abatement systems is the super catalytic decomposition system (SCDS) and can be installed in four sizes depending on the total flow treatment necessary. The smallest POU unit will handle 60 Lpm of the total flow while the largest will handle 3000 Lpm and is meant as an entire SC fab abatement system instead of a POU strategy. Other POU models available handle 120 and 200 Lpm. Pre-Treatment. In the Hitachi SCDS catalytic abatement system, the first stage is a water scrubber designed to remove any particulate matter that would potentially clog the catalyst bed and ensures the removal of any acidic and/or water soluble gases. The pretreatment consists of a packed tower where water cascades down while exhaust gases flow up the tower. The packing provides the necessary contact surface area of water and gas to create an efficient scrub. Since at this point the gas stream entering the system is the dirtiest that it will be, the water utilized in the pretreatment stage is recycled from other stages to reduce the total system water consumption. The remaining gases after leaving the prepacked tower go through a prespray section as a last water contact for further acid removal. The fresh facilities water in the prespray removes any acidic gases and flows down into the prepacked tower as the prespray is located overhead of the prepacked tower. The water leaving the prepacked tower is collected in a wastewater tank where at steady state a given amount continues to be recycled and some exits the system to the facility drain. After the pretreatment stage the gas stream should only contain nonwater soluble gases and the PFC gases of interest as they enter the reactor stage.

Fresh H2O Waste H2O

Ejector

Air

PFC gas Air Pre-spray

Post-packed tower Cyclone Heater Exhaust gas

From etcher

Pre-packed tower

Catalyst Water tank Cooling room

Pump

DI H2O Waste H2O

H2O

FIGURE 27.3

Hitachi SCDS catalytic abatement system diagram.11,12

Downloaded from Digital Engineering Library @ McGraw-Hill (www.digitalengineeringlibrary.com) Copyright © 2004 The McGraw-Hill Companies. All rights reserved. Any use is subject to the Terms of Use as given at the website.

Geng(SMH)_CH27.qxd

04/04/2005

20:54

Page 27.10

PFC ABATEMENT 27.10

GASES AND CHEMICALS

Reactor. The reactor stage is where PFC decomposition occurs. In this stage the PFC gas stream enters through the top along with a small trickle of high purity (1 MΩ) water. The first stage of the reactor is a preheater where the water trickle is converted to steam and the PFC gas stream is heated prior to entering the heated catalyst bed. The reactor temperature is normally set at 750°C since experimental data shows that all common PFC gases used in SC manufacturing are decomposed to nearly 100 percent at that temperature.11,12 The next stage of the reactor is the catalyst bed. Here the gas stream enters the packed catalyst bed along with the steam generated in the prereactor. The Hitachi catalyst is a proprietary mixture designed to effectively decompose PFC gases. The addition of the steam ensures that the decomposed PFC molecules do not recombine after exiting the catalyst bed. Finally the Hitachi catalyst contains a specialized catalyst that ensures that carbon monoxide (CO) is converted to carbon dioxide (CO2) due to health considerations of carbon monoxide. The final section of the reactor stage is the cooling room. Here the hot decomposed gases exiting the reactor are contacted with recycled water in order to cool the gases back to a manageable temperature. The cooling room consists of multiple water spray curtains that the gases contact on their way to the next section. Posttreatment and Separation. The final stage of the Hitachi SCDS catalytic abatement system is the posttreatment and separation section. After the decomposed gases are cooled by water spray in the cooling room they enter another packed tower called the postpacked tower where the gases contact water for separation. In this stage the gases of concern are the PFC abatement by-products, HF, and CO2. The desire is to remove 100 percent of the HF from the gas stream so that it does not become a HAP emission in the facility exhaust system. Fresh facilities water in the postpacked tower provides the water contact to create an aqueous HF solution that is collected in the wastewater tank. The CO2 gas continues on into a cyclone apparatus that ensures complete drying of the gas before it leaves the system. All the gases are pulled through the system by an ejector, essentially a venturi pump that discharges the CO2 into the facilities exhaust along with all the other atmospheric makeup gases (e.g., N2, O2, and Ar). The aqueous HF solution is collected in the wastewater tank that is below the postpacked tower. This solution is recycled through the system into the prepacked tower for particle removal and into the cooling room. At steady state the SCDS pump siphons off an amount of the wastewater to keep the proper wastewater level in the tank. The siphoned wastewater goes to the facility HF treatment plant for subsequent treatment. Facilities Requirements. Table 27.2 indicates the facilities requirements for the Hitachi SCDS by model.

TABLE 27.2 Utilities Requirements for the Hitachi SCDS Catalytic Abatement System11,12

Abatement volume Water usage Particles Calcium (Ca) Temperature Process water Power Footprint Reactor temperature Cabinet exhaust Catalyst lifetime Warranty period

CD-60

CD-120

CD-200

60 L/min 0.4 gpm