2,657 189 135MB
Pages 1404 Page size 547.146 x 686 pts Year 2011
Springer
Handbook of Electronic and Photonic Materials Safa Kasap, Peter Capper (Eds.) With CD-ROM, 930 Figures and 168 Tables
13
Editors: Safa Kasap University of Saskatchewan Department of Electrical Engineering Saskatoon, SK, S7N 5A9 Canada Peter Capper SELEX Sensors and Airborne Systems Infrared Ltd. Millbrook Industrial Estate Southampton, Hampshire SO15 0EG United Kingdom Assistant Editor: Cyril Koughia University of Saskatchewan Canada
Library of Congress Control Number:
ISBN-10: 0-387-26059-5 ISBN-13: 978-0-387-26059-4
2006921596
e-ISBN: 0-387-29185-7 Printed on acid free paper
c 2006, Springer Science+Business Media, Inc. All rights reserved. This work may not be translated or copied in whole or in part without the written permission of the publisher (Springer Science+ Business Media, Inc., 233 Spring Street, New York, NY 10013, USA), except for brief excerpts in connection with reviews or scholarly analysis. Use in connection with any form of information storage and retrieval, electronic adaptation, computer software, or by similar or dissimilar methodology now known or hereafter developed is forbidden. The use in this publication of trade names, trademarks, service marks, and similar terms, even if they are not identified as such, is not to be taken as an expression of opinion as to whether or not they are subject to proprietary rights. The use of designations, trademarks, etc. in this publication does not imply, even in the absence of a specific statement, that such names are exempt from the relevant protective laws and regulations and therefore free for general use. Product liability: The publisher cannot guarantee the accuracy of any information about dosage and application contained in this book. In every individual case the user must check such information by consulting the relevant literature. Production and typesetting: LE-TeX GbR, Leipzig Handbook coordinator: Dr. W. Skolaut, Heidelberg Typography, layout and illustrations: schreiberVIS, Seeheim & Hippmann GbR, Schwarzenbruck Cover design: eStudio Calamar Steinen, Barcelona Cover production: WMXDesign GmbH, Heidelberg Printing and binding: Stürtz GmbH, Würzburg SPIN 11051855 9065/3141/YL 5 4 3 2 1 0
V
Foreword
The Editors, Authors, and Publisher are to be congratulated on this distinguished volume, which will be an invaluable source of information to all workers in the area of electronic and photonic materials. Having made contributions to earlier handbooks, I am well aware of the considerable, and sustained work that is necessary to produce a volume of this kind. This particular handbook, however, is distinguished by its breadth of coverage in the field, and the way in which it discusses the very latest developments. In such a rapidly moving field, this is a considerable challenge, and it has been met admirably. Previous handbooks and encyclopaedia have tended to concentrate on semiconducting materials, for the understandable reason of their dominance in the electronics field, and the wide range of semiconducting materials and phenomena that must be covered. Few have been courageous enough to predict future trends, but in 1992 Mahajan and Kimerling attempted this in the Introduction to their Concise Encyclopaedia of Semiconducting Materials and Related Technologies (Pergamon), and foresaw future challenges in the areas of nanoelectronics, low dislocation-density III-V substrates, semi-insulating III-V substrates, patterned epitaxy of III-Vs, alternative dielectrics and contacts for silicon technology, and developments in ion-implantation and diffusion. To a greater or lesser extent, all of these have been proved to be true, but it illustrates how difficult it is to make such a prediction. Not many people would have thought, a decade ago, that the III-nitrides would occupy an important position in this book. As high melting point materials, with the associated growth problems, they were not high on the list of favourites for light emitters at the blue end of the spectrum! The story is a fascinating one – at least as interesting as the solution to the problem of the short working life of early solid-state lasers at the red end of the spectrum. Optoelectronics and photonics, in general, have seen one of the most spectacular advances over the last decade, and this is fully reflected in the book, ranging from visible light emitters, to infra-red materials. The book covers a wide range of work in Part D, including III-V and IIVI optoelectronic materials and band-gap engineering, as well as photonic glasses, liquid crystals, organic
photoconductors, and the new area of photonic crystals. The whole Part reflects materials for light generation, processing, transmission and detection – all the essential elements for using light instead of electrons. In the Materials for Electronics part (Part C) the book charts the progress in silicon – overwhelmingly the dominant material for a whole range of electronic func- Prof. Arthur Willoughby tions and circuitry – including new Materials Research Group, University of Southampton, dielectrics and other issues asso- UK ciated with shrinking geometry of circuits and devices to produce ever higher packing densities. It also includes areas rarely covered in other books – thick films, high-temperature electronic materials, amorphous and microcrystalline materials. The existing developments that extend the life of silicon technology, including silicon/germanium alloys, appear too, and raise the question again as to whether the predicted timetable for the demise of silicon has again been declared too early!! Ferroelectrics – a class of materials used so effectively in conjunction with silicon – certainly deserve to be here. The chapters in Part E (Novel Materials and Selected Applications), break new ground in a number of admirable ways. Most of us are aware of, and frequently use, information recording devices such as CDs, videos, DVDs etc., but few are aware of the materials, or principles, involved. This book describes magnetic information storage materials, as well as phase-change optical recording, keeping us fully up-to-date with recent developments. The chapters also include applications such as solar cells, sensors, photoconductors, and carbon nanotubes, on which such a huge volume of work is presently being pursued worldwide. Both ends of the spectrum from research to applications are represented in chapters on molecular electronics and packaging materials. A particular strength of this book is that it ranges from the fundamental science (Part A) through growth and characterisation of the materials (Part B) to
VI
applications (Parts C–E). Virtually all the materials covered here have a wide range of applications, which is one of the reasons why this book is going to be so useful. As I indicated before, few of us will be successful in predicting the future direction and trends,
occupying the high-ground in this field in the coming decade, but this book teaches us the basic principles of materials, and leaves it to us to adapt these to the needs of tomorrow. I commend it to you most warmly.
VII
Preface
Other handbooks in various disciplines such as electrical engineering, electronics, biomedical engineering, materials science, etc. are currently available and well used by numerous students, instructors and professionals. Most libraries have these handbook sets and each contains numerous (at least 50) chapters that cover a wide spectrum of topics within each well-defined discipline. The subject and the level of coverage appeal to both undergraduate and postgraduate students and researchers as well as to practicing professionals. The advanced topics follow introductory topics and provide ample information that is useful to all, beginners and researchers, in the field. Every few years, a new edition is brought out to update the coverage and include new topics. There has been no similar handbook in electronic and photonic materials, and the present Springer Handbook of Electronic and Photonic Materials (SHEPM) idea grew out of a need for a handbook that covers a wide spectrum of topics in materials that today’s engineers, material scientists, physicists, and chemists need. Electronic and photonic materials is a truly interdisciplinary subject that encompasses a number of traditional disciplines such as materials science, electrical engineering, chemical engineering, mechanical engineering, physics and chemistry. It is not unusual to find a mechanical engineering faculty carrying out research on electronic packaging and electrical engineers carrying out characterization measurements on semiconductors. There are only a few established university departments in electronic or photonic materials. In general, electronic materials as a “discipline” appears as a research group or as an interdisciplinary activity within a “college”. One could argue that, because of the very fact that it is such an interdisciplinary field, there is a greater need to have a handbook that covers not only fundamental topics but also advanced topics; hence the present handbook. This handbook is a comprehensive treatise on electronic and photonic materials with each chapter written by experts in the field. The handbook is aimed at senior undergraduate and graduate students, researchers and professionals working in the area of electronic, optoelectronic and photonic materials. The chapters provide the necessary background and up-to-date knowledge
in a wide range of topics. Each chapter has an introduction to the topic, many clear illustrations and numerous references. Clear explanations and illustrations make the handbook useful to all levels of researchers. All chapters are as self-contained as possible. There are both fundamental and advanced chapters to appeal to readers with different backgrounds. This is particularly important for this handbook since the subject matter Dr. Peter Capper Materials Team Leader, is highly interdisciplinary. For ex- SELEX Sensors and Airborne ample, there will be readers with Systems, a background (first degree) in chem- Southampton, UK ical engineering and working on semiconductor processing who need to learn the fundamentals of semiconductors physics. Someone with a first degree in physics would need to quickly update himself on materials science concepts such as liquid phase epitaxy and so on. Difficult mathematics has been avoided and, whenever possible, the explanations have been given semiquantitatively. There is a “Glossary of Defining Prof. Safa Kasap Terms” at the end of the handbook, Professor and Canada which can serve to quickly find the Research Chair, definition of a term – a very nec- Electrical Engineering essary feature in an interdisciplinary Department, University of Saskatchewan, handbook. Canada The editors are very grateful to all the authors for their excellent contributions and for their cooperation in delivering their manuscripts and in the various stages of production of this handbook. Sincere thanks go to Greg Franklin at Springer Boston for all his support and help throughout the long period of commissioning, acquiring the contributions and the production of the handbook. Dr. Werner Skolaut at Springer Heidelberg has very skillfully handled the myriad production issues involved in copy-editing, figure redrawing and proof preparation and correction and our sincere thanks go to him also for all his hard
VIII
work in making the handbook attractive to read. He is the most dedicated and efficient editor we have come across. It is a pleasure to thank Professor Arthur Willoughby for his many helpful suggestions that made this a better handbook. His wealth of experience as editor of the Journal of Materials Science: Materials in Electronics played an important role not only in selecting chapters but also in finding the right authors.
Finally, the editors wish to thank all the members of our families (Marian, Samuel and Thomas; and Nicollette) for their support and particularly their endurance during the entire project.
Peter Capper and Safa Kasap Editors
IX
List of Authors
Martin Abkowitz 1198 Gatestone Circle Webster, NY 14580, USA e-mail: [email protected], [email protected] Sadao Adachi Gunma University Department of Electronic Engineering, Faculty of Engineering Kiryu-shi 376-8515 Gunma, Japan e-mail: [email protected] Alfred Adams University of Surrey Advanced Technology Institute Guildford, Surrey, GU2 7XH, Surrey, UK e-mail: [email protected] Guy J. Adriaenssens University of Leuven Laboratorium voor Halfgeleiderfysica Celestijnenlaan 200D B-3001 Leuven, Belgium e-mail: [email protected] Wilfried von Ammon Siltronic AG Research and Development Johannes Hess Strasse 24 84489 Burghausen, Germany e-mail: [email protected] Peter Ashburn University of Southampton School of Electronics and Computer Science Southampton, SO17 1BJ, UK e-mail: [email protected]
Mark Auslender Ben-Gurion University of the Negev Beer Sheva Department of Electrical and Computer Engineering P.O.Box 653 Beer Sheva 84105, Israel e-mail: [email protected] Darren M. Bagnall University of Southampton School of Electronics and Computer Science Southampton, SO17 1BJ, UK e-mail: [email protected] Ian M. Baker SELEX Sensors and Airborne Systems Infrared Ltd. Southampton, Hampshire SO15 OEG, UK e-mail: [email protected] Sergei Baranovskii Philipps University Marburg Department of Physics Renthof 5 35032 Marburg, Germany e-mail: [email protected] Mark Baxendale Queen Mary, University of London Department of Physics Mile End Road London, E1 4NS, UK e-mail: [email protected] Mohammed L. Benkhedir University of Leuven Laboratorium voor Halfgeleiderfysica Celestijnenlaan 200D B-3001 Leuven, Belgium e-mail: MohammedLoufti.Benkhedir @fys.kuleuven.ac.be
X
List of Authors
Monica Brinza University of Leuven Laboratorium voor Halfgeleiderfysica Celestijnenlaan 200D B-3001 Leuven, Belgium e-mail: [email protected] Paul D. Brown University of Nottingham School of Mechanical, Materials and Manufacturing Engineering University Park Nottingham, NG7 2RD, UK e-mail: [email protected] Mike Brozel University of Glasgow Department of Physics and Astronomy Kelvin Building Glasgow, G12 8QQ, UK e-mail: [email protected] Lukasz Brzozowski University of Toronto Sunnybrook and Women’s Research Institute, Imaging Research/ Department of Medical Biophysics Research Building, 2075 Bayview Avenue Toronto, ON, M4N 3M5, Canada e-mail: [email protected] Peter Capper SELEX Sensors and Airborne Systems Infrared Ltd. Materials Team Leader Millbrook Industrial Estate, PO Box 217 Southampton, Hampshire SO15 0EG, UK e-mail: [email protected] Larry Comstock San Jose State University 6574 Crystal Springs Drive San Jose, CA 95120, USA e-mail: [email protected]
Ray DeCorby University of Alberta Department of Electrical and Computer Engineering 7th Floor, 9107-116 Street N.W. Edmonton, Alberta T6G 2V4, Canada e-mail: [email protected] M. Jamal Deen McMaster University Department of Electrical and Computer Engineering (CRL 226) 1280 Main Street West Hamilton, ON L8S 4K1, Canada e-mail: [email protected] Leonard Dissado The University of Leicester Department of Engineering University Road Leicester, LE1 7RH, UK e-mail: [email protected] David Dunmur University of Southampton School of Chemistry Southampton, SO17 1BJ, UK e-mail: [email protected] Lester F. Eastman Cornell University Department of Electrical and Computer Engineering 425 Phillips Hall Ithaca, NY 14853, USA e-mail: [email protected] Andy Edgar Victoria University School of Chemical and Physical Sciences SCPS Kelburn Parade/PO Box 600 Wellington, New Zealand e-mail: [email protected]
List of Authors
Brian E. Foutz Cadence Design Systems 1701 North Street, Bldg 257-3 Endicott, NY 13760, USA e-mail: [email protected] Mark Fox University of Sheffield Department of Physics and Astronomy Hicks Building, Hounsefield Road Sheffield, S3 7RH, UK e-mail: [email protected] Darrel Frear RF and Power Packaging Technology Development, Freescale Semiconductor 2100 East Elliot Road Tempe, AZ 85284, USA e-mail: [email protected] Milan Friesel Chalmers University of Technology Department of Physics Fysikgränd 3 41296 Göteborg, Sweden e-mail: [email protected] Jacek Gieraltowski Université de Bretagne Occidentale 6 Avenue Le Gorgeu, BP: 809 29285 Brest Cedex, France e-mail: [email protected] Yinyan Gong Columbia University Department of Applied Physics and Applied Mathematics 500 W. 120th St. New York, NY 10027, USA e-mail: [email protected] Robert D. Gould† Keele University Thin Films Laboratory, Department of Physics, School of Chemistry and Physics Keele, Staffordshire ST5 5BG, UK
Shlomo Hava Ben-Gurion University of the Negev Beer Sheva Department of Electrical and Computer Engineering P.O. Box 653 Beer Sheva 84105, Israel e-mail: [email protected] Colin Humphreys University of Cambridge Department of Materials Science and Metallurgy Pembroke Street Cambridge, CB2 3!Z, UK e-mail: [email protected] Stuart Irvine University of Wales, Bangor Department of Chemistry Gwynedd, LL57 2UW, UK e-mail: [email protected] Minoru Isshiki Tohoku University Institute of Multidisciplinary Research for Advanced Materials 1-1, Katahira, 2 chome, Aobaku Sendai, 980-8577, Japan e-mail: [email protected] Robert Johanson University of Saskatchewan Department of Electrical Engineering 57 Campus Drive Saskatoon, SK S7N 5A9, Canada e-mail: [email protected] Tim Joyce University of Liverpool Functional Materials Research Centre, Department of Engineering Brownlow Hill Liverpool, L69 3BX, UK e-mail: [email protected]
XI
XII
List of Authors
M. Zahangir Kabir Concordia University Department of Electrical and Computer Engineering Montreal, Quebec S7N5A9, Canada e-mail: [email protected] Safa Kasap University of Saskatchewan Department of Electrical Engineering 57 Campus Drive Saskatoon, SK S7N 5A9, Canada e-mail: [email protected] Alexander Kolobov National Institute of Advanced Industrial Science and Technology Center for Applied Near-Field Optics Research 1-1-1 Higashi, Tsukuba Ibaraki, 305-8562, Japan e-mail: [email protected] Cyril Koughia University of Saskatchewan Department of Electrical Engineering 57 Campus Drive Saskatoon, SK S7N 5A9, Canada e-mail: [email protected] Igor L. Kuskovsky Queens College, City University of New York (CUNY) Department of Physics 65-30 Kissena Blvd. Flushing, NY 11367, USA e-mail: [email protected] Geoffrey Luckhurst University of Southampton School of Chemistry Southampton, SO17 1BJ, UK e-mail: [email protected]
Akihisa Matsuda Tokyo University of Science Research Institute for Science and Technology 2641 Yamazaki, Noda-shi Chiba, 278-8510, Japan e-mail: [email protected], [email protected] Naomi Matsuura Sunnybrook Health Sciences Centre Department of Medical Biophysics, Imaging Research 2075 Bayview Avenue Toronto, ON M4N 3M5, Canada e-mail: [email protected] Kazuo Morigaki University of Tokyo C-305, Wakabadai 2-12, Inagi Tokyo, 206-0824, Japan e-mail: [email protected] Hadis Morkoç Virginia Commonwealth University Department of Electrical and Computer Engineering 601 W. Main St., Box 843072 Richmond, VA 23284-3068, USA e-mail: [email protected] Winfried Mönch Universität Duisburg-Essen Lotharstraße 1 47048 Duisburg, Germany e-mail: [email protected] Arokia Nathan University of Waterloo Department of Electrical and Computer Engineering 200 University Avenue W. Waterloo, Ontario N2L 3G1, Canada e-mail: [email protected]
List of Authors
Gertrude F. Neumark Columbia University Department of Applied Physics and Applied Mathematics 500W 120th St., MC 4701 New York, NY 10027, USA e-mail: [email protected] Stephen K. O’Leary University of Regina Faculty of Engineering 3737 Wascana Parkway Regina, SK S4S 0A2, Canada e-mail: [email protected] Chisato Ogihara Yamaguchi University Department of Applied Science 2-16-1 Tokiwadai Ube, 755-8611, Japan e-mail: [email protected] Fabien Pascal Université Montpellier 2/CEM2-cc084 Centre d’Electronique et de Microoptoélectronique de Montpellier Place E. Bataillon 34095 Montpellier, France e-mail: [email protected] Michael Petty University of Durham Department School of Engineering South Road Durham, DH1 3LE, UK e-mail: [email protected] Asim Kumar Ray Queen Mary, University of London Department of Materials Mile End Road London, E1 4NS, UK e-mail: [email protected]
John Rowlands University of Toronto Department of Medical Biophysics Sunnybrook and Women’s College Health Sciences Centre S656-2075 Bayview Avenue Toronto, ON M4N 3M5, Canada e-mail: [email protected] Oleg Rubel Philipps University Marburg Department of Physics and Material Sciences Center Renthof 5 35032 Marburg, Germany e-mail: [email protected] Harry Ruda University of Toronto Materials Science and Engineering, Electrical and Computer Engineering 170 College Street Toronto, M5S 3E4, Canada e-mail: [email protected] Edward Sargent University of Toronto Department of Electrical and Computer Engineering ECE, 10 King’s College Road Toronto, M5S 3G4, Canada e-mail: [email protected] Peyman Servati Ignis Innovation Inc. 55 Culpepper Dr. Waterloo, Ontario N2L 5K8, Canada e-mail: [email protected] Derek Shaw Hull University Hull, HU6 7RX, UK e-mail: [email protected]
XIII
XIV
List of Authors
Fumio Shimura Shizuoka Institute of Science and Technology Department of Materials and Life Science 2200-2 Toyosawa Fukuroi, Shizuoka 437-8555, Japan e-mail: [email protected] Michael Shur Renssellaer Polytechnic Institute Department of Electrical, Computer, and Systems Engineering CII 9017, RPI, 110 8th Street Troy, NY 12180, USA e-mail: [email protected] Jai Singh Charles Darwin University School of Engineering and Logistics, Faculty of Technology, B-41 Ellengowan Drive Darwin, NT 0909, Australia e-mail: [email protected] Tim Smeeton Sharp Laboratories of Europe Edmund Halley Road, Oxford Science Park Oxford, OX4 4GB, UK e-mail: [email protected] Boris Straumal Russian Academy of Sciences Institute of Sold State Physics Institutskii prospect 15 Chernogolovka, 142432, Russia e-mail: [email protected] Stephen Sweeney University of Surrey Advanced Technology Institute Guildford, Surrey GU2 7XH, UK e-mail: [email protected] David Sykes Loughborough Surface Analysis Ltd. PO Box 5016, Unit FC, Holywell Park, Ashby Road Loughborough, LE11 3WS, UK e-mail: [email protected]
Keiji Tanaka Hokkaido University Department of Applied Physics, Graduate School of Engineering Kita-ku, N13 W8 Sapporo, 060-8628, Japan e-mail: [email protected] Charbel Tannous Université de Bretagne Occidentale LMB, CNRS FRE 2697 6 Avenue Le Gorgeu, BP: 809 29285 Brest Cedex, France e-mail: [email protected] Ali Teke Balikesir University Department of Physics, Faculty of Art and Science Balikesir, 10100, Turkey e-mail: [email protected] Junji Tominaga National Institute of Advanced Industrial Science and Technology, AIST Center for Applied Near-Field Optics Research, CAN-FOR Tsukuba Central 4 1-1-1 Higashi Tsukuba, 3.5-8562, Japan e-mail: [email protected] Dan Tonchev University of Saskatchewan Department of Electrical Engineering 57 Campus Drive Saskatoon, SK S7N 5A9, Canada e-mail: [email protected] Harry L. Tuller Massachusetts Institute of Technology Department of Materials Science and Engineering, Crystal Physics and Electroceramics Laboratory 77 Massachusetts Avenue Cambridge, MA 02139, USA e-mail: [email protected]
List of Authors
Qamar-ul Wahab Linköping University Department of Physics, Chemistry, and Biology (IFM) SE-581 83 Linköping, Sweden e-mail: [email protected] Robert M. Wallace University of Texas at Dallas Department of Electrical Engineering M.S. EC 33, P.O.Box 830688 Richardson, TX 75083, USA e-mail: [email protected] Jifeng Wang Tohoku University Institute of Multidisciplinary Research for Advanced Materials 1-1, Katahira, 2 Chome, Aobaku Sendai, 980-8577, Japan e-mail: [email protected] David S. Weiss NexPress Solutions, Inc. 2600 Manitou Road Rochester, NY 14653-4180, USA e-mail: [email protected] Rainer Wesche Swiss Federal Institute of Technology Centre de Recherches en Physique des Plasmas CRPP (c/o Paul Scherrer Institute), WMHA/C31, Villigen PS Lausanne, CH-5232, Switzerland e-mail: [email protected]
Roger Whatmore Tyndall National Institute Lee Maltings, Cork , Ireland e-mail: [email protected] Neil White University of Southampton School of Electronics and Computer Science Mountbatten Building Highfield, Southampton SO17 1BJ, UK e-mail: [email protected] Magnus Willander University of Gothenburg Department of Physics SE-412 96 Göteborg, Sweden e-mail: [email protected] Jan Willekens University of Leuven Laboratorium voor Halfgeleiderfysica Celestijnenlaan 200D B-3001 Leuven, Belgium e-mail: [email protected]
XV
XVII
Contents
List of Abbreviations .................................................................................
XXIX
Introduction 1 Perspectives on Electronic and Optoelectronic Materials.................. 1.1 The Early Years .............................................................................. 1.2 The Silicon Age .............................................................................. 1.3 The Compound Semiconductors...................................................... 1.4 From Faraday to Today .................................................................. References...............................................................................................
3 4 4 8 14 14
Part A Fundamental Properties 2 Electrical Conduction in Metals and Semiconductors ........................ 2.1 Fundamentals: Drift Velocity, Mobility and Conductivity .................. 2.2 Matthiessen’s Rule ........................................................................ 2.3 Resistivity of Metals ....................................................................... 2.4 Solid Solutions and Nordheim’s Rule .............................................. 2.5 Carrier Scattering in Semiconductors .............................................. 2.6 The Boltzmann Transport Equation................................................. 2.7 Resistivity of Thin Polycrystalline Films ........................................... 2.8 Inhomogeneous Media. Effective Media Approximation .................. 2.9 The Hall Effect ............................................................................... 2.10 High Electric Field Transport ........................................................... 2.11 Avalanche ..................................................................................... 2.12 Two-Dimensional Electron Gas....................................................... 2.13 One Dimensional Conductance ....................................................... 2.14 The Quantum Hall Effect ................................................................ References...............................................................................................
19 20 22 23 26 28 29 30 32 35 37 38 39 41 42 44
3 Optical Properties of Electronic Materials:
Fundamentals and Characterization .................................................. 3.1 Optical Constants........................................................................... 3.2 Refractive Index ............................................................................ 3.3 Optical Absorption ......................................................................... 3.4 Thin Film Optics............................................................................. 3.5 Optical Materials ........................................................................... References...............................................................................................
47 47 50 53 70 74 76
XVIII
Contents
4 Magnetic Properties of Electronic Materials ...................................... 4.1 Traditional Magnetism ................................................................... 4.2 Unconventional Magnetism ........................................................... References...............................................................................................
79 81 93 99
5 Defects in Monocrystalline Silicon ...................................................... 5.1 Technological Impact of Intrinsic Point Defects Aggregates .............. 5.2 Thermophysical Properties of Intrinsic Point Defects........................ 5.3 Aggregates of Intrinsic Point Defects............................................... 5.4 Formation of OSF Ring ................................................................... References...............................................................................................
101 102 103 104 115 117
6 Diffusion in Semiconductors ............................................................... 6.1 Basic Concepts .............................................................................. 6.2 Diffusion Mechanisms ................................................................... 6.3 Diffusion Regimes ......................................................................... 6.4 Internal Electric Fields ................................................................... 6.5 Measurement of Diffusion Coefficients............................................ 6.6 Hydrogen in Semiconductors ......................................................... 6.7 Diffusion in Group IV Semiconductors ............................................. 6.8 Diffusion in III–V Compounds......................................................... 6.9 Diffusion in II–VI Compounds......................................................... 6.10 Conclusions ................................................................................... 6.11 General Reading and References .................................................... References...............................................................................................
121 122 122 123 126 126 127 128 130 131 133 133 133
7 Photoconductivity in Materials Research ........................................... 7.1 Steady State Photoconductivity Methods ........................................ 7.2 Transient Photoconductivity Experiments ....................................... References...............................................................................................
137 138 142 146
8 Electronic Properties of Semiconductor Interfaces............................ 8.1 Experimental Database .................................................................. 8.2 IFIGS-and-Electronegativity Theory ................................................ 8.3 Comparison of Experiment and Theory ........................................... 8.4 Final Remarks ............................................................................... References...............................................................................................
147 149 153 155 159 159
9 Charge Transport in Disordered Materials.......................................... 9.1 General Remarks on Charge Transport in Disordered Materials ......... 9.2 Charge Transport in Disordered Materials via Extended States.......... 9.3 Hopping Charge Transport in Disordered Materials via Localized States ....................................................................... 9.4 Concluding Remarks ...................................................................... References...............................................................................................
161 163 167 169 184 185
Contents
10 Dielectric Response .............................................................................. 10.1 Definition of Dielectric Response .................................................... 10.2 Frequency-Dependent Linear Responses ........................................ 10.3 Information Contained in the Relaxation Response......................... 10.4 Charge Transport ........................................................................... 10.5 A Few Final Comments ................................................................... References...............................................................................................
187 188 190 196 208 211 211
11 Ionic Conduction and Applications ..................................................... 11.1 Conduction in Ionic Solids.............................................................. 11.2 Fast Ion Conduction....................................................................... 11.3 Mixed Ionic–Electronic Conduction................................................. 11.4 Applications .................................................................................. 11.5 Future Trends ................................................................................ References...............................................................................................
213 214 216 221 223 226 226
Part B Growth and Characterization 12 Bulk Crystal Growth – Methods and Materials .................................. 12.1 History .......................................................................................... 12.2 Techniques ................................................................................... 12.3 Materials Grown ............................................................................ 12.4 Conclusions ................................................................................... References...............................................................................................
231 232 233 240 251 251
13 Single-Crystal Silicon: Growth and Properties................................... 13.1 Overview....................................................................................... 13.2 Starting Materials .......................................................................... 13.3 Single-Crystal Growth .................................................................... 13.4 New Crystal Growth Methods ......................................................... References...............................................................................................
255 256 257 258 266 268
14 Epitaxial Crystal Growth: Methods and Materials ............................. 14.1 Liquid-Phase Epitaxy (LPE)............................................................. 14.2 Metalorganic Chemical Vapor Deposition (MOCVD)............................ 14.3 Molecular Beam Epitaxy (MBE) ....................................................... References...............................................................................................
271 271 280 290 299
15 Narrow-Bandgap II–VI Semiconductors: Growth .............................. 15.1 Bulk Growth Techniques ................................................................ 15.2 Liquid-Phase Epitaxy (LPE)............................................................. 15.3 Metalorganic Vapor Phase Epitaxy (MOVPE) ..................................... 15.4 Molecular Beam Epitaxy (MBE) ....................................................... 15.5 Alternatives to CMT ........................................................................ References...............................................................................................
303 304 308 312 317 320 321
XIX
XX
Contents
16 Wide-Bandgap II–VI Semiconductors:
Growth and Properties ........................................................................ 16.1 Crystal Properties .......................................................................... 16.2 Epitaxial Growth ........................................................................... 16.3 Bulk Crystal Growth ....................................................................... 16.4 Conclusions ................................................................................... References...............................................................................................
325 326 328 333 339 340
17 Structural Characterization.................................................................. 17.1 Radiation–Material Interactions..................................................... 17.2 Particle–Material Interactions ........................................................ 17.3 X-Ray Diffraction ........................................................................... 17.4 Optics, Imaging and Electron Diffraction ......................................... 17.5 Characterizing Functional Activity................................................... 17.6 Sample Preparation ....................................................................... 17.7 Case Studies – Complementary Characterization of Electronic and Optoelectronic Materials ......................................................... 17.8 Concluding Remarks ...................................................................... References...............................................................................................
343 344 345 348 351 362 362
18 Surface Chemical Analysis.................................................................... 18.1 Electron Spectroscopy .................................................................... 18.2 Glow-Discharge Spectroscopies (GDOES and GDMS) .......................... 18.3 Secondary Ion Mass Spectrometry (SIMS) ......................................... 18.4 Conclusion ....................................................................................
373 373 376 377 384
364 370 370
19 Thermal Properties and Thermal Analysis:
Fundamentals, Experimental Techniques and Applications .................................................................................. 19.1 Heat Capacity ................................................................................ 19.2 Thermal Conductivity ..................................................................... 19.3 Thermal Expansion ........................................................................ 19.4 Enthalpic Thermal Properties ......................................................... 19.5 Temperature-Modulated DSC (TMDSC) ............................................. References...............................................................................................
385 386 391 396 398 403 406
20 Electrical Characterization of Semiconductor Materials
and Devices........................................................................................... 20.1 Resistivity ..................................................................................... 20.2 Hall Effect ..................................................................................... 20.3 Capacitance–Voltage Measurements............................................... 20.4 Current–Voltage Measurements ..................................................... 20.5 Charge Pumping ............................................................................ 20.6 Low-Frequency Noise .................................................................... 20.7 Deep-Level Transient Spectroscopy................................................. References...............................................................................................
409 410 418 421 426 428 430 434 436
Contents
Part C Materials for Electronics 21 Single-Crystal Silicon: Electrical and Optical Properties ................... 21.1 Silicon Basics ................................................................................ 21.2 Electrical Properties ....................................................................... 21.3 Optical Properties .......................................................................... References...............................................................................................
441 441 451 472 478
22 Silicon–Germanium: Properties, Growth and Applications .............. 22.1 Physical Properties of Silicon–Germanium ...................................... 22.2 Optical Properties of SiGe ............................................................... 22.3 Growth of Silicon–Germanium ....................................................... 22.4 Polycrystalline Silicon–Germanium ................................................ References...............................................................................................
481 482 488 492 494 497
23 Gallium Arsenide .................................................................................. 23.1 Bulk Growth of GaAs ...................................................................... 23.2 Epitaxial Growth of GaAs ............................................................... 23.3 Diffusion in Gallium Arsenide ........................................................ 23.4 Ion Implantation into GaAs ............................................................ 23.5 Crystalline Defects in GaAs ............................................................. 23.6 Impurity and Defect Analysis of GaAs (Chemical) ............................. 23.7 Impurity and Defect Analysis of GaAs (Electrical) ............................. 23.8 Impurity and Defect Analysis of GaAs (Optical) ................................ 23.9 Assessment of Complex Heterostructures ........................................ 23.10 Electrical Contacts to GaAs ............................................................. 23.11 Devices Based on GaAs (Microwave)................................................ 23.12 Devices based on GaAs (Electro-optical) ......................................... 23.13 Other Uses for GaAs ....................................................................... 23.14 Conclusions ................................................................................... References...............................................................................................
499 502 507 511 513 514 517 518 521 522 524 524 527 532 532 533
24 High-Temperature Electronic Materials:
Silicon Carbide and Diamond .............................................................. 24.1 Material Properties and Preparation............................................... 24.2 Electronic Devices .......................................................................... 24.3 Summary ...................................................................................... References...............................................................................................
537 540 547 557 558
25 Amorphous Semiconductors: Structure, Optical,
and Electrical Properties...................................................................... 25.1 Electronic States ............................................................................ 25.2 Structural Properties ...................................................................... 25.3 Optical Properties .......................................................................... 25.4 Electrical Properties ....................................................................... 25.5 Light-Induced Phenomena ............................................................ 25.6 Nanosized Amorphous Structure..................................................... References...............................................................................................
565 565 568 570 573 575 577 578
XXI
XXII
Contents
26 Amorphous and Microcrystalline Silicon ............................................ 26.1 Reactions in SiH4 and SiH4 /H2 Plasmas ........................................... 26.2 Film Growth on a Surface .............................................................. 26.3 Defect Density Determination for a-Si:H and µc-Si:H ...................... 26.4 Device Applications ....................................................................... 26.5 Recent Progress in Material Issues Related to Thin-Film Silicon Solar Cells........................................................................... 26.6 Summary ...................................................................................... References...............................................................................................
591 594 594
27 Ferroelectric Materials ......................................................................... 27.1 Ferroelectric Materials ................................................................... 27.2 Ferroelectric Materials Fabrication Technology ................................ 27.3 Ferroelectric Applications............................................................... References...............................................................................................
597 601 608 616 622
28 Dielectric Materials for Microelectronics ............................................ 28.1 Gate Dielectrics ............................................................................. 28.2 Isolation Dielectrics ....................................................................... 28.3 Capacitor Dielectrics ...................................................................... 28.4 Interconnect Dielectrics ................................................................. 28.5 Summary ...................................................................................... References...............................................................................................
625 630 647 647 651 653 653
29 Thin Films ............................................................................................. 29.1 Deposition Methods ...................................................................... 29.2 Structure ....................................................................................... 29.3 Properties ..................................................................................... 29.4 Concluding Remarks ...................................................................... References...............................................................................................
659 661 682 692 708 711
30 Thick Films ............................................................................................ 30.1 Thick Film Processing ..................................................................... 30.2 Substrates ..................................................................................... 30.3 Thick Film Materials....................................................................... 30.4 Components and Assembly ............................................................ 30.5 Sensors ......................................................................................... References...............................................................................................
717 718 720 721 724 728 731
581 581 583 589 590
Part D Materials for Optoelectronics and Photonics 31 III-V 31.1 31.2 31.3 31.4
Ternary and Quaternary Compounds.......................................... Introduction to III–V Ternary and Quaternary Compounds ............... Interpolation Scheme .................................................................... Structural Parameters .................................................................... Mechanical, Elastic and Lattice Vibronic Properties..........................
735 735 736 737 739
Contents
31.5 Thermal Properties ........................................................................ 31.6 Energy Band Parameters ................................................................ 31.7 Optical Properties .......................................................................... 31.8 Carrier Transport Properties............................................................ References...............................................................................................
741 743 748 750 751
32 Group III Nitrides.................................................................................. 32.1 Crystal Structures of Nitrides .......................................................... 32.2 Lattice Parameters of Nitrides ........................................................ 32.3 Mechanical Properties of Nitrides ................................................... 32.4 Thermal Properties of Nitrides ........................................................ 32.5 Electrical Properties of Nitrides ...................................................... 32.6 Optical Properties of Nitrides.......................................................... 32.7 Properties of Nitride Alloys............................................................. 32.8 Summary and Conclusions ............................................................. References...............................................................................................
753 755 756 757 761 766 777 791 794 795
33 Electron Transport Within the III–V Nitride Semiconductors,
GaN, AlN, and InN: A Monte Carlo Analysis ........................................
805
33.1
Electron Transport Within Semiconductors and the Monte Carlo Simulation Approach ..................................................................... 33.2 Steady-State and Transient Electron Transport Within Bulk Wurtzite GaN, AlN, and InN .......................................... 33.3 Electron Transport Within III–V Nitride Semiconductors: A Review .... 33.4 Conclusions ................................................................................... References...............................................................................................
810 822 826 826
34 II–IV Semiconductors for Optoelectronics: CdS, CdSe, CdTe............... 34.1 Background .................................................................................. 34.2 Solar Cells ..................................................................................... 34.3 Radiation Detectors ....................................................................... 34.4 Conclusions ................................................................................... References...............................................................................................
829 829 829 834 840 840
35 Doping Aspects of Zn-Based Wide-Band-Gap Semiconductors ....... 35.1 ZnSe ............................................................................................. 35.2 ZnBeSe.......................................................................................... 35.3 ZnO............................................................................................... References...............................................................................................
843 843 848 849 851
36 II–VI 36.1 36.2 36.3 36.4 36.5 36.6
855 858 860 864 866 867 867
Narrow-Bandgap Semiconductors for Optoelectronics ............ Applications and Sensor Design...................................................... Photoconductive Detectors in HgCdTe and Related Alloys ................. SPRITE Detectors ............................................................................ Photoconductive Detectors in Closely Related Alloys ........................ Conclusions on Photoconductive HgCdTe Detectors .......................... Photovoltaic Devices in HgCdTe ......................................................
806
XXIII
XXIV
Contents
36.7 Emission Devices in II–VI Semiconductors ....................................... 36.8 Potential for Reduced-Dimensionality HgTe–CdTe ........................... References...............................................................................................
882 883 883
37 Optoelectronic Devices and Materials................................................. 37.1 Introduction to Optoelectronic Devices ........................................... 37.2 Light-Emitting Diodes and Semiconductor Lasers ............................ 37.3 Single-Mode Lasers ....................................................................... 37.4 Optical Amplifiers .......................................................................... 37.5 Modulators ................................................................................... 37.6 Photodetectors .............................................................................. 37.7 Conclusions ................................................................................... References...............................................................................................
887 888 890 904 906 907 911 914 915
38 Liquid Crystals ...................................................................................... 38.1 Introduction to Liquid Crystals ....................................................... 38.2 The Basic Physics of Liquid Crystals ................................................. 38.3 Liquid-Crystal Devices ................................................................... 38.4 Materials for Displays .................................................................... References...............................................................................................
917 917 924 931 940 949
39 Organic Photoconductors..................................................................... 39.1 Chester Carlson and Xerography ..................................................... 39.2 Operational Considerations and Critical Materials Properties ............ 39.3 OPC Characterization ...................................................................... 39.4 OPC Architecture and Composition .................................................. 39.5 Photoreceptor Fabrication ............................................................. 39.6 Summary ...................................................................................... References...............................................................................................
953 954 956 965 967 976 977 978
40 Luminescent Materials......................................................................... 40.1 Luminescent Centres...................................................................... 40.2 Interaction with the Lattice ........................................................... 40.3 Thermally Stimulated Luminescence ............................................... 40.4 Optically (Photo-)Stimulated Luminescence .................................... 40.5 Experimental Techniques – Photoluminescence.............................. 40.6 Applications .................................................................................. 40.7 Representative Phosphors ............................................................. References...............................................................................................
983 985 987 989 990 991 992 995 995
41 Nano-Engineered Tunable Photonic Crystals in the Near-IR
and Visible Electromagnetic Spectrum ............................................... 41.1 PC Overview .................................................................................. 41.2 Traditional Fabrication Methodologies for Static PCs ........................ 41.3 Tunable PCs................................................................................... 41.4 Summary and Conclusions ............................................................. References...............................................................................................
997 998 1001 1011 1014 1015
Contents
42 Quantum Wells, Superlattices, and Band-Gap Engineering............. 42.1 Principles of Band-Gap Engineering and Quantum Confinement ................................................................................. 42.2 Optoelectronic Properties of Quantum-Confined Structures ............. 42.3 Emitters ........................................................................................ 42.4 Detectors ...................................................................................... 42.5 Modulators ................................................................................... 42.6 Future Directions ........................................................................... 42.7 Conclusions ................................................................................... References...............................................................................................
1022 1024 1032 1034 1036 1037 1038 1038
43 Glasses for Photonic Integration ........................................................ 43.1 Main Attributes of Glasses as Photonic Materials ............................. 43.2 Glasses for Integrated Optics .......................................................... 43.3 Laser Glasses for Integrated Light Sources ....................................... 43.4 Summary ...................................................................................... References...............................................................................................
1041 1042 1050 1053 1057 1059
44 Optical Nonlinearity in Photonic Glasses ........................................... 44.1 Third-Order Nonlinearity in Homogeneous Glass ............................. 44.2 Second-Order Nonlinearity in Poled Glass....................................... 44.3 Particle-Embedded Systems........................................................... 44.4 Photoinduced Phenomena ............................................................ 44.5 Summary ...................................................................................... References...............................................................................................
1063 1064 1069 1070 1071 1072 1072
45 Nonlinear Optoelectronic Materials .................................................... 45.1 Background .................................................................................. 45.2 Illumination-Dependent Refractive Index and Nonlinear Figures of Merit (FOM) .................................................................... 45.3 Bulk and Multi-Quantum-Well (MQW) Inorganic Crystalline Semiconductors ............................................................ 45.4 Organic Materials .......................................................................... 45.5 Nanocrystals ................................................................................. 45.6 Other Nonlinear Materials .............................................................. 45.7 Conclusions ................................................................................... References...............................................................................................
1075 1075
1021
1077 1080 1084 1087 1088 1089 1089
Part E Novel Materials and Selected Applications 46 Solar 46.1 46.2 46.3 46.4 46.5
Cells and Photovoltaics .............................................................. 1095 Figures of Merit for Solar Cells ........................................................ Crystalline Silicon .......................................................................... Amorphous Silicon ........................................................................ GaAs Solar Cells ............................................................................. CdTe Thin-Film Solar Cells ..............................................................
1096 1098 1100 1101 1102
XXV
XXVI
Contents
46.6 CuInGaSe2 (CIGS) Thin-Film Solar Cells ............................................. 1103 46.7 Conclusions ................................................................................... 1104 References............................................................................................... 1105 47 Silicon on Mechanically Flexible Substrates for Large-Area
Electronics............................................................................................. 1107 47.1 a-Si:H TFTs on Flexible Substrates .................................................. 47.2 Field-Effect Transport in Amorphous Films ..................................... 47.3 Electronic Transport Under Mechanical Stress .................................. References...............................................................................................
1108 1108 1113 1118
48 Photoconductors for X-Ray Image Detectors ..................................... 48.1 X-Ray Photoconductors ................................................................. 48.2 Metrics of Detector Performance..................................................... 48.3 Conclusion .................................................................................... References...............................................................................................
1121 1123 1131 1136 1136
49 Phase-Change Optical Recording........................................................ 49.1 Digital Versatile Discs (DVDs) ........................................................... 49.2 Super-RENS Discs ........................................................................... 49.3 In Lieu of Conclusion ..................................................................... References...............................................................................................
1139 1140 1144 1145 1145
50 Carbon Nanotubes and Bucky Materials............................................. 50.1 Carbon Nanotubes ......................................................................... 50.2 Bucky Materials ............................................................................. References...............................................................................................
1147 1147 1153 1153
51 Magnetic Information-Storage Materials .......................................... 51.1 Magnetic Recording Technology ..................................................... 51.2 Magnetic Random-Access Memory ................................................. 51.3 Extraordinary Magnetoresistance (EMR) .......................................... 51.4 Summary ...................................................................................... References...............................................................................................
1155 1156 1185 1189 1189 1189
52 High-Temperature Superconductors .................................................. 52.1 The Superconducting State............................................................. 52.2 Cuprate High-Tc Superconductors: An Overview .............................. 52.3 Physical Properties of Cuprate Superconductors .............................. 52.4 Superconducting Films .................................................................. 52.5 The Special Case of MgB2 ................................................................ 52.6 Summary ...................................................................................... References...............................................................................................
1193 1195 1202 1207 1212 1214 1216 1216
53 Molecular Electronics ........................................................................... 53.1 Electrically Conductive Organic Compounds ..................................... 53.2 Materials ...................................................................................... 53.3 Plastic Electronics ..........................................................................
1219 1220 1223 1225
Contents
53.4 Molecular-Scale Electronics............................................................ 53.5 DNA Electronics ............................................................................. 53.6 Conclusions ................................................................................... References...............................................................................................
1229 1235 1236 1237
54 Organic Materials for Chemical Sensing ............................................. 54.1 Analyte Requirements ................................................................... 54.2 Brief Review of Inorganic Materials ................................................ 54.3 Macrocylic Compounds for Sensing ................................................. 54.4 Sensing with Phthalocyanine and Porphyrin .................................. 54.5 Polymeric Materials ....................................................................... 54.6 Cavitand Molecules........................................................................ 54.7 Concluding Remarks ...................................................................... References...............................................................................................
1241 1242 1243 1245 1250 1255 1259 1261 1262
55 Packaging Materials............................................................................. 55.1 Package Applications ..................................................................... 55.2 The Materials Challenge of Electronic Packaging.............................. 55.3 Materials Coefficient of Thermal Expansion ..................................... 55.4 Wirebond Materials ....................................................................... 55.5 Solder Interconnects...................................................................... 55.6 Substrates ..................................................................................... 55.7 Underfill and Encapsulants ............................................................ 55.8 Electrically Conductive Adhesives (ECAs) .......................................... 55.9 Thermal Issues .............................................................................. 55.10 Summary ...................................................................................... References...............................................................................................
1267 1268 1269 1272 1272 1273 1278 1280 1281 1283 1284 1285
Acknowledgements ................................................................................... About the Authors ..................................................................................... Detailed Contents...................................................................................... Glossary of Defining Terms ....................................................................... Subject Index.............................................................................................
1287 1291 1307 1333 1367
XXVII
XXIX
List of Abbreviations
2DEG
two-dimensional electron gas
A AC ACCUFET ACRT AEM AES AFM ALD ALE AMA AMFPI AMOLED APD
alternating current accumulation-mode MOSFET accelerated crucible rotation technique analytical electron microscopes Auger electron spectroscopy atomic force microscopy atomic-layer deposition atomic-layer epitaxy active matrix array active matrix flat-panel imaging amorphous organic light-emitting diode avalanche photodiode
B b.c.c. BEEM BEP BH BH BJT BTEX BZ
body-centered cubic ballistic-electron-emission microscopy beam effective pressure buried-heterostructure Brooks–Herring bipolar junction transistor m-xylene Brillouin zone
C CAIBE CB CBE CBED CC CCD CCZ CFLPE CKR CL CMOS CNR COP CP CPM CR CR-DLTS CRA CTE CTO
chemically assisted ion beam etching conduction band chemical beam epitaxy convergent beam electron diffraction constant current charge-coupled device continuous-charging Czochralski container-free liquid phase epitaxy cross Kelvin resistor cathodoluminescence complementary metal-oxide-semiconductor carrier-to-noise ratio crystal-originated particle charge pumping constant-photocurrent method computed radiography computed radiography deep level transient spectroscopy cast recrystallize anneal coefficient of thermal expansion chromium(III) trioxalate
CuPc CuTTBPc CV CVD CVT CZ CZT
copper phthalocyanine tetra-tert-butyl phthalocyanine chemical vapor chemical vapor deposition chemical vapor transport Czochralski cadmium zinc telluride
D DA DAG DBP DC DCPBH DET DFB DH DIL DIPTe DLC DLHJ DLTS DMCd DMF DMOSFET DMS DMSO DMZn DOS DQE DSIMS DTBSe DUT DVD DWDM DXD
Drude approximation direct alloy growth dual-beam photoconductivity direct current double-channel planar buried heterostructure diethyl telluride distributed feedback double heterostructure dual-in-line diisopropyltellurium diamond-like carbon double-layer heterojunction deep level transient spectroscopy dimethyl cadmium dimethylformamide double-diffused MOSFET dilute magnetic semiconductors dimethylsulfoxide dimethylzinc density of states detective quantum efficiency dynamic secondary ion mass spectrometry ditertiarybutylselenide device under test digital versatile disk dense wavelength-division multiplexing double-crystal X-ray diffraction
E EBIC ED EDFA EELS EFG EHP ELO ELOG EM EMA
electron beam induced conductivity electrodeposition erbium-doped fiber amplifier electron energy loss spectroscopy film-fed growth electron–hole pairs epitaxial lateral overgrowth epitaxial layer overgrowth electromagnetic effective media approximation
XXX
List of Abbreviations
ENDOR EPD EPR ESR EXAFS
electron–nuclear double resonance etch pit density electron paramagnetic resonance electron spin resonance spectroscopy extended X-ray absorption fine structure
F FCA f.c.c. FET FIB FM FPA FPD FTIR FWHM FZ
free-carrier absorption face-centered cubic field effect transistor focused ion beam Frank–van der Merwe focal plane arrays flow pattern defect Fourier transform infrared full-width at half-maximum floating zone
G GDA GDMS GDOES GF GMR GOI GRIN GSMBE GTO
generalized Drude approximation glow discharge mass spectrometry glow discharge optical emission spectroscopy gradient freeze giant magnetoresistance gate oxide integrity graded refractive index gas-source molecular beam epitaxy gate turn-off
H HAADF HB HBT HDC HEMT HF HOD HOLZ HPc HPHT HRXRD HTCVD HVDC HWE
high-angle annular dark field horizontal Bridgman hetero-junction bipolar transistor horizontal directional solidification crystallization high electron mobility transistor high-frequency highly oriented diamond high-order Laue zone phthalocyanine high-pressure high-temperature high-resolution X-ray diffraction high-temperature CVD high-voltage DC hot-wall epitaxy
I IC ICTS IDE
integrated circuit isothermal capacitance transient spectroscopy interdigitated electrodes
IFIGS IFTOF IGBT IMP IPEYS IR ITO
interface-induced gap states interrupted field time-of-flight insulated gate bipolar transistor interdiffused multilayer process internal photoemission yield spectroscopy infrared indium-tin-oxide
J JBS JFET JO
junction barrier Schottky junction field-effect transistors Judd–Ofelt
K KCR KKR KLN KTPO
Kelvin contact resistance Kramers–Kronig relation K3 Li2 Nb5 O12 KTiOPO4
L LB LD LD LDD LEC LED LEIS LEL LF LLS LMA LO LPE LSTD LVM
Langmuir–Blodgett laser diodes lucky drift lightly doped drain liquid-encapsulated Czochralski light-emitting diodes low-energy ion scattering lower explosive limit low-frequency laser light scattering law of mass action longitudinal optical liquid phase epitaxy laser light scattering tomography defect localized vibrational mode
M MBE MCCZ MCT MCZ MD MEED MEM MESFET MFC MIGS ML MLHJ MOCVD MODFET
molecular beam epitaxy magnetic field applied continuous Czochralski mercury cadmium telluride magnetic field applied Czochralski molecular dynamics medium-energy electron diffraction micro-electromechanical systems metal-semiconductor field-effect transistor mass flow controllers metal-induced gap states monolayer multilayer heterojunction metal-organic chemical vapor deposition modulation-doped field effect transistor
List of Abbreviations
MOMBE MOS MOSFET MOVPE MPc MPC MPCVD MQW MR MS MSRD MTF MWIR
metalorganic molecular beam epitaxy metal/oxide/semiconductor metal/oxide/semiconductor field effect transistor metalorganic vapor phase epitaxy metallophthalocyanine modulated photoconductivity microwave plasma chemical deposition multiple quantum well magnetoresistivity metal–semiconductor mean-square relative displacement modulation transfer function medium-wavelength infrared
PL PM PMMA POT ppb ppm PPS PPY PQT-12 PRT PSt PTC PTIS PTS
N NDR NEA NeXT NMOS NMP NMR NNH NSA NTC NTD
negative differential resistance negative electron affinity nonthermal energy exploration telescope n-type-channel metal–oxide–semiconductor N-methylpyrrolidone nuclear magnetic resonance nearest-neighbor hopping naphthalene-1,5-disulfonic acid negative temperature coefficient neutron transmutation doping
O OLED OSF OSL OZM
organic light-emitting diode oxidation-induced stacking fault optically stimulated luminescence overlap zone melting
P PAE PAni pBN Pc PC PCA PCB PDMA PDP PDS PE PE BJT PECVD PEN PES PET pHEMT
power added efficiency polyaniline pyrolytic boron nitride phthalocyanine photoconductive principal component analysis printed circuit board poly(methylmethacrylate)/poly(decyl methacrylate) plasma display panels photothermal deflection spectroscopy polysilicon emitter polysilicon emitter bipolar junction transistor plasma-enhanced chemical vapor deposition polyethylene naphthalate photoemission spectroscopy positron emission tomography pseudomorphic HEMT
PTV PV PVD PVDF PVK PVT PZT
photoluminescence particulate matter poly(methyl-methacrylate) poly(n-octyl)thiophene parts per billion parts per million polyphenylsulfide polypyrrole poly[5,5’-bis(3-alkyl-2-thienyl)-2,2’bithiophene] platinum resistance thermometers polystyrene positive temperature coefficient photothermal ionisation spectroscopy 1,1-dioxo-2-(4-methylphenyl)-6-phenyl-4(dicyanomethylidene)thiopyran polythienylene vinylene photovoltaic physical vapor transport polyvinylidene fluoride polyvinylcarbazole physical vapor transport lead zirconate titanate
Q QA QCL QCSE QD QHE QW
quench anneal quantum cascade laser quantum-confined Stark effect quantum dot quantum Hall effect quantum well
R RAIRS RBS RCLED RDF RDS RE RENS RF RG RH RHEED RIE RIU RTA RTD RTS
reflection adsorption infrared spectroscopy Rutherford backscattering resonant-cavity light-emitting diode radial distribution function reflection difference spectroscopy rare earth resolution near-field structure radio frequency recombination–generation relative humidity reflection high-energy electron diffraction reactive-ion etching refractive index units rapid thermal annealing resistance temperature devices random telegraph signal
S SA SAM
self-assembly self-assembled monolayers
XXXI
XXXII
List of Abbreviations
SAW SAXS SCH SCVT SE SEM SIMS SIPBH SIT SK SNR SO SOA SOC SOFC SOI SP SPECT SPR SPVT SQW SSIMS SSPC SSR SSRM STHM SVP SWIR
surface acoustic wave small-angle X-ray scattering separate confinement heterojunction seeded chemical vapor transport spontaneous emission scanning electron microscope secondary ion mass spectrometry semi-insulating planar buried heterostructure static induction transistors Stranski–Krastanov signal-to-noise ratio small outline semiconductor optical amplifier system-on-a-chip solid oxide fuel cells silicon-on-insulator screen printing single-photon emission computed tomography surface plasmon resonance seeded physical vapor transport single quantum wells static secondary ion mass spectrometry steady-state photoconductivity solid-state recrystallisation scanning spreading resistance microscopy sublimation traveling heater method saturated vapor pressure short-wavelength infrared
T TAB TBA TBP TCE TCNQ TCR TCRI TDCM TE TED TED TEGa TEM TEN TFT THM TL TLHJ TLM TM
tab automated bonding tertiarybutylarsine tertiarybutylphosphine thermal coefficient of expansion tetracyanoquinodimethane temperature coefficient of resistance temperature coefficient of refractive index time-domain charge measurement transverse electric transient enhanced diffusion transmission electron diffraction triethylgallium transmission electron microscope triethylamine thin-film transistors traveling heater method thermoluminescence triple-layer graded heterojunction transmission line measurement transverse magnetic
TMA TMG TMI TMSb TO TOF ToFSIMS TPC TPV TSC TSL
trimethyl-aluminum trimethyl-gallium trimethyl-indium trimethylantimony transverse optical time of flight time of flight SIMS transient photoconductivity thermophotovoltaic thermally stimulated current thermally stimulated luminescence
U ULSI UMOSFET UPS UV
ultra-large-scale integration U-shaped-trench MOSFET uninterrupted power systems ultraviolet
V VAP VB VCSEL VCZ VD VFE VFET VGF VIS VOC VPE VRH VUVG VW
valence-alternation pairs valence band vertical-cavity surface-emitting laser vapor-pressure-controlled Czochralski vapor deposition vector flow epitaxy vacuum field-effect transistor vertical gradient freeze visible volatile organic compounds vapor phase epitaxy variable-range hopping vertical unseeded vapor growth Volmer–Weber
W WDX WXI
wavelength dispersive X-ray wide-band X-ray imager
X XAFS XANES XEBIT XPS XRD XRSP
X-ray absorption fine-structure X-ray absorption near-edge structure X-ray-sensitive electron-beam image tube X-ray photon spectroscopy X-ray diffraction X-ray storage phosphor
Y YSZ
yttrium-stabilized zirconia
1
Introductio Introduction
1
Perspectives on Electronic and Optoelectronic Materials Tim Smeeton, Oxford, UK Colin Humphreys, Cambridge, UK
4
Part
Introduction
Introduction
Most semiconductor-based appliances which affect us every day are made using silicon, but many key devices depend on a number of different compound semiconductors. For example GaP-based LEDs in digital displays; GaAs-based HEMTs which operate in our satellite television receivers; AlGaAs and AlInGaP lasers in our CD and DVD players; and the InP-based lasers delivering the internet and telecommunications along optic fibres. None of these devices could be manufactured without a basic understanding (either fundamental or
empirical) of the materials science of the components. At the same time the overwhelming reason for scientific study of the materials is to elicit some improvement in the performance of the devices based on them. The rest of this book concentrates on the materials more than their devices but to give some idea of how a world largely untouched by electronic materials in the 1940s has become so changed by them we will consider the developments in the two fields in parallel in this chapter. Often they are inseparable anyway.
1.1 The Early Years The exploitation of electronic materials in solid-state devices principally occurred in the second half of the twentieth century but the first serendipitous observations of semiconducting behaviour took place somewhat earlier than this. In 1833, Faraday found that silver sulphide exhibited a negative temperature coefficient [1.1]. This property of a decrease in electrical resistivity with increasing temperature was to be deployed in thermistor components a century later. In the 1870s scientists discovered and experimented with the photoconductivity (decreased resistivity of a material under incident light) of selenium [1.2, 3]. Amorphous selenium was to be used for this very property in the first Xerox copying machines of the 1950s. While these discoveries had limited immediate impact on scientific understanding, more critical progress was made such as Hall’s 1879 discovery of what was to become known as the Hall Effect. The discovery of quantum mechanics was of fundamental importance for
our understanding of semiconductors. Based on the advances in quantum theory in the early 1900s a successful theory to explain semiconductor behaviour was formulated in 1931 [1.4, 5]. However, the semiconductors of the 1930s were too impure to allow the theory to be compared with experiment. For example it was believed at the time that silicon, which was to become the archetypal semiconducting material, was a substance belonging to a group of materials which were “good metallic conductors in the pure state and . . . therefore to be classed as metals” [1.5]! However, a solid theoretical understanding of semiconductors was in place by the 1940s. Hence when the device development focus of the second world war-time research was replaced by peace-time research into the fundamental understanding of real semiconductors, the foundations had been laid for working devices based on elemental semiconductors to be realised.
1.2 The Silicon Age 1.2.1 The Transistor and Early Semiconductor Materials Development As its name suggests, electronics is about the control of electrons to produce useful properties; electronic materials are the media in which this manipulation takes place. Exactly fifty years after J. J. Thompson had discovered the electron in 1897, mankind’s ability to control them underwent a revolution due to the discovery of the transistor effect. It could be said that the world began to change in the final couple of weeks of 1947 when John Bardeen and Walter Brattain used germanium to build and demonstrate the first “semi-conductor
triode” (a device later to be named the point contact transistor to reflect its transresistive properties). This success at Bell Laboratories was obtained within just a few years of the post-war establishment of a research group led by William Shockley focussing on the understanding of semiconducting materials. It was to earn Brattain, Bardeen and Shockley the 1956 Nobel Prize for Physics. The first point-contact transistor was based around three contacts onto an n-doped germanium block: when a small current passed between the “base” and “emitter”, an amplified current would flow between the “collector” and “emitter” [1.6]. The emitter and collector
Perspectives on Electronic and Optoelectronic Materials
rare, silicon is, after oxygen, the second most abundant element. Silicon has a higher breakdown field and a greater power handling ability; its semiconductor band gap (1.1 eV at 300 K; Fig. 1.1) is substantially higher then germanium’s (0.7 eV) so silicon devices are able to operate over a greater range of temperatures without intrinsic conductivity interfering with performance. The two materials competed with one another in device applications until the introduction of novel doping techniques in the mid-1950s. Previously p- and n-doping had been achieved by the addition of dopant impurities to the semiconductor melt during solidification. A far more flexible technique involved the diffusion of dopants from the vapour phase into the solid semiconductor surface [1.10]. It became possible to dope with a degree of two-dimensional precision when it was discovered that silicon’s oxide served as an effective mask to dopant atoms and that a photoresist could be used to control the etching away of the oxide [1.11, 12]. Successful diffusion masks could not be found for germanium and it was soon abandoned for mainstream device manufacture. Dopant diffusion of this sort has since been superseded by the implantation of high-energy ions which affords greater control and versatility. Shockley was always aware that the material of the late 1940s was nothing like pure enough to make reliable high performance commercial devices. Quantum mechanics suggested that to make a high quality transistor out of the materials it was necessary to reduce the impurity level to about one part in 1010 . This was a far higher degree of purity than existed in any known material. However, William Pfann, who worked at Bell Laboratories, came up with the solution. He invented a technique called zone-refining to solve this problem, and showed that repeated zone refining of germanium and silicon reduced the impurities to the level required. The work of Pfann is not widely known but was a critical piece of materials science which enabled the practical development of the transistor [1.13, 14]. At a similar time great progress was being made in reducing the crystalline defect density of semiconducting materials. Following initial hostility by some of the major researchers in the field it was rapidly accepted that transistor devices should adopt single crystalline material [1.15]. Extended single crystals of germanium several centimetres long and up to two centimetres in diameter [1.15, 16] and later similar silicon crystals [1.17] were produced using the Czochralski technique of pulling a seed crystal from a high purity melt [1.18]. The majority of material in use today is derived from this route. To produce silicon with
5
Introduction
contacts needed to be located very close to one another (50–250 µm) and this was achieved by evaporating gold onto the corner of a plastic triangle, cutting the film with a razor blade and touching this onto the germanium – the two isolated strips of gold serving as the two contacts [1.7]. At about one centimetre in height, based on relatively impure polycrystalline germanium and adopting a different principle of operation, the device bears barely any resemblance to today’s integrated circuit electronics components. Nonetheless it was the first implementation of a solid-state device capable of modulating (necessary for signal amplification in communications) and switching (needed for logic operations in computing) an electric current. In a world whose electronics were delivered by the thermionic vacuum tube, the transistor was immediately identified as a component which could be “employed as an amplifier, oscillator, and for other purposes for which vacuum tubes are ordinarily used” [1.6]. In spite of this, after the public announcement of the invention at the end of June 1948 the response of both the popular and technical press was somewhat muted. It was after all still “little more than a laboratory curiosity” [1.8] and ultimately point-contact transistors were never suited to mass production. The individual devices differed significantly in characteristics, the noise levels in amplification were high and they were rapidly to be superseded by improved transistor types. A huge range of transistor designs have been introduced from the late 1940s through to today. These successive generations either drew upon, or served as a catalyst for, a range of innovations in semiconductor materials processing and understanding. There are many fascinating differences in device design but from a materials science point of view the three most striking differences between the first point contact transistor and the majority of electronics in use today are the choice of semiconductor, the purity of this material and its crystalline quality. Many of the key electronic materials technologies of today derive from the developments in these fields in the very early years of the post-war semiconductor industry. Both germanium and silicon had been produced with increasing purity throughout the 1940s [1.9]. Principally because of germanium’s lower melting temperature (937 ◦ C compared with 1415 ◦ C) and lower chemical reactivity its preparation had always proved easier and was therefore favoured for the early device manufacture such as the first transistor. However, the properties of silicon make it a much more attractive choice for solid state devices. While germanium is expensive and
1.2 The Silicon Age
6
Part
Introduction
Introduction
the very lowest impurity concentration, an alternative method called float zoning was developed where a polycrystalline rod was converted to a single crystal by the passage of a surface tension confined molten zone along its length [1.19–21]. No crucible is required in the process so there are fewer sources of impurity contamination. Float zoning is used to manufacture some of the purest material in current use [1.22]. The early Czochralski material contained dislocation densities of 105 –106 cm−2 but by the start of the 1960s dislocation free material was obtained [1.23–26]. Initially most wafers were on the silicon (111) plane, which was easiest to grow, cut and polish [1.27]. For fieldeffect devices, which are discussed below, use of the (100) plane was found to offer preferable properties so this was introduced in the same decade. The impurity concentration in dislocation-free silicon has been continually reduced up to the present day and wafer diameters have increased almost linearly (though accelerating somewhat in recent years) from about 10 mm in the early 1960s to the “dinner plate” 300 mm today [1.22]. These improvements represent one of the major achievements in semiconductor materials growth and processing. A series of generations of transistors followed in rapid succession after Brattain and Bardeen’s first triumph. Here we only mention a few of the major designs whose production have traits in common with technology today. Early in 1948 Shockley developed a detailed formulation of the theory of p–n junctions that concluded with the conception of the junction transistor [1.28,29]. This involved a thin n-doped base layer sandwiched between p-doped emitter and collector layers (or vice versa). This p–n–p (n–p–n) structure is the simplest form of the bipolar transistor (so-called because of its use of both positive and negative charge carriers), a technology which remains important in analogue and high-speed digital integrated circuits today. In April 1950, by successively adding arsenic and gallium (n- and p-type dopants respectively) impurities to the melt, n–p–n junction structures with the required p-layer thickness (≈ 25 µm) were formed from single crystal germanium. When contacts were applied to the three regions the devices behaved much as expected from Shockley’s theory [1.28, 30]. Growth of junction transistors in silicon occurred shortly afterwards and they entered production by Texas Instruments in 1954 [1.15]. By the later years of the 1950s, the diffusion doping technique was used to improve the transistor’s speed response by reducing the thickness of the base layer in the
diffused base transistor [1.31]. This began the trend of manufacturing a device in situ on a substrate material so in a sense it was the foundation for all subsequent microelectronic structures. Soon afterwards, epitaxial growth techniques were introduced [what would today be described as vapour phase epitaxy (VPE)] which have since become central to both silicon and compound semiconductor technology. Gas phase precursors were reacted to produce very high quality and lightly doped crystalline silicon on heavily doped substrate wafers to form epitaxial diffused transistors. Since the collector contact was made through the thickness of the wafer, the use of highly doped (low resistance) wafers reduced the series resistance and therefore increased the frequency response [1.32]. For some years the highest performance devices were manufactured using the so-called “mesa” process where the emitter and diffused base were raised above the collector using selective etching of the silicon [1.28]. The planar process (which is still at the heart of device production today) was subsequently developed, in which the p–n junctions were all formed inside the substrate using oxide masking and diffusion from the surface. This resulted in a flat surface to which contacts could be made using a patterned evaporated film [1.33]. This processing technique was combined with some exciting thoughts at the end of the 1950s and led to the application of transistor devices and other components in a way which was to transform the world: the integrated circuit.
1.2.2 The Integrated Circuit With the benefit of hindsight, the integrated circuit concept is quite simple. The problem faced by the electronics industry in the 1950s was the increasing difficulty of physically fitting into a small device all of the discrete electronic components (transistors, diodes, resistors and capacitors), and then connecting them together. It was clear that this problem would eventually limit the complexity, reliability and speed of circuits which could be created. Transistors and diodes were manufactured from semiconductors but resistors and capacitors were best formed from alternative materials. Even though they would not deliver the levels of performance achievable from the traditional materials, functioning capacitors and resistors could be manufactured from semiconductors so, in principle, all of the components of a circuit could be prepared on a single block of semiconducting material. This reasoning had been proposed by Englishman G.W.A. Dummer at
Perspectives on Electronic and Optoelectronic Materials
holes). By the 1980s, these two devices were combined in the complementary MOS (CMOS) device which afforded much lower power consumption and simplified circuit design [1.37]. This remains the principal structure used in microelectronics today. Of course now it is much smaller and significantly faster thanks to a range of further advances. These include improved control of the doping and oxidation of silicon and developments in optical lithographic techniques [1.37]. The minimum dimension of components which can be lithographically patterned on an integrated circuit is ultimately limited by the wavelength of radiation used in the process and this has continually been decreased over the past few decades. In the late 1980s wavelengths of 365 nm were employed; by the late 1990s 248 nm were common and today 193 nm is being used. Research into extreme ultraviolet lithography at 13.5 nm may see this being adopted within the next decade enabling feature sizes perhaps as low as 25 nm. Though ICs implementing CMOS devices are the foundations for computing, silicon-based bipolar transistors maintain a strong market position today in radio frequency applications. In particular germanium is making something of a comeback as a constituent of the latest generation of SiGe bipolar devices [1.38]. The combination of the two forms of technology on a single chip (BiCMOS) offers the potential for computing and communications to be integrated together in the wireless devices of the coming decade. The development in complexity and performance of silicon devices, largely due to materials science progress, is unparalleled in the history of technology. Never before could improvements be measured in terms of a logarithmic scale for such a sustained period. This is often seen as the embodiment of “Moore’s Law”. Noting a doubling of the number of components fitted onto integrated circuits each year between 1959 and 1965, Moore predicted that this rate of progress would continue until at least ten years later [1.39]. From the early 1970s, a modified prediction of doubling the number of components every couple of years has been sustained to the current day. Since the goals for innovation have often been defined assuming the continuation of the trend, it should perhaps be viewed more as a selffulfilling prophecy. A huge variety of statistics relating to the silicon microelectronics industry follow a logarithmically scaled improvement from the late 1960s to the current day: the number of transistors shipped per year (increasing); average transistor price (decreasing); and number of transistors on a single chip (increasing) are examples [1.40]. The final member of this list is
7
Introduction
a conference in 1952 [1.34] but small-scale attempts to realise circuits had failed, largely because they were based on connecting together layers in grown-junction transistors [1.35]. In 1958, however, Jack Kilby successfully built a simple oscillator and “flip-flop” logic circuits from components formed in situ on a germanium block and interconnected to produce circuits. He received the Nobel Prize in 2000 for “his part in the invention of the integrated circuit”. Kilby’s circuits were the first built on a single semiconductor block, but by far the majority of the circuit’s size was taken up by the wires connecting together the components. Robert Noyce developed a truly integrated circuit (IC) in the form that it was later to be manufactured. While Kilby had used the mesa technique with external wiring, Noyce applied the planer technique to form transistors on silicon and photolithographically defined gold or aluminium interconnects. This was more suited to batch processing in production and was necessary for circuits with large numbers of components. Most integrated circuits manufactured today are based around a transistor technology distinct from the bipolar device used in the first chips but one still dating from the 1960s. In 1960 the first metal oxide semiconductor field effect transistor (MOSFET) was demonstrated [1.36]. In this device a “gate” was deposited onto a thin insulating oxide layer on the silicon. The application of a voltage to the gate resulted in an inversion layer in the silicon below the oxide thereby modifying the conducting channel between “source” and “drain” contacts. This structure was a p-MOS device (current transfer between the collector and emitter was by hole conduction) grown on (111) silicon using an aluminium gate. Earlier attempts at such a device had failed because of trapped impurities and charges in the gate oxide – this new structure had reduced the density of these to below tolerable levels but the device still could not compete with the bipolar transistors of its time [1.27]. By 1967, however, (100) silicon (which offered lower densities of states at the Si/SiO2 interface) was used together with a polycrystalline silicon gate to construct a more effective and more easily processed device with advantages over the bipolar transistor. In the early 1970s the n-MOS device, which was even less tolerant to the positive gate oxide charges, was realised thanks to much improved cleanliness in the production environment. With conduction occurring by the transfer of electrons rather than holes these were capable of faster operation than similar p-MOS structures (the mobility of electrons in silicon is about three times that of
1.2 The Silicon Age
Perspectives on Electronic and Optoelectronic Materials
sation of electronic and optoelectronic heterostructures were the improvements over the last few decades in the control of epitaxial growth available to the crystal grower. The first successful heterostructures were manufactured using deposition onto a substrate from the liquid phase (liquid phase epitaxy; LPE) – “a beautifully simple technology but with severe limitations” [1.42]. However, the real heterostructure revolution had to wait for the 1970s and the introduction of molecular beam epitaxy (MBE) and metalorganic chemical vapour deposition (MOCVD) – also known as metalorganic vapour phase epitaxy (MOVPE) provided that the deposition is epitaxial. MBE growth occurs in an ultra-high vacuum with the atoms emitted from effusion cells forming “beams” which impinge upon, and form compounds at the substrate surface. It derives from pioneering work at the start of the 1970s [1.45]. MOCVD relies on chemical reactions occurring on the substrate involving metalorganic vapour phase precursors and also stems from initial work at this time [1.46]. In contrast to LPE, these two techniques permit the combination of a wide range of different semiconductors in a single structure and offer a high degree of control over the local composition, in some cases on an atomic layer scale. The successful heterostructure devices of the late 1970s and 1980s would not have been achievable without these two tools and they still dominate III–V device production and research today.
1.3.1 High Speed Electronics The advantages of the III–V materials over silicon for use in transistors capable of operating at high frequencies were identified early in the semiconductor revolution [1.47]. Shockley’s first patent for p-n junction transistors had included the proposal to use a wide-gap emitter layer to improve performance and in the 1950s Kroemer presented a theoretical design for a heterostructure transistor [1.48]. Some years later the structure of a GaAs metal semiconductor FET (MESFET) was proposed and realised soon afterwards [1.49, 50]. In these devices a Schottky barrier surface potential was used to modulate the conductivity of the GaAs channel. One of the earliest applications of the III–V’s was as low noise amplifiers in microwave receivers which offered substantial improvements relative to the silicon bipolar transistors of the time. The devices were later used to demonstrate sub-nanosecond switching in monolithic digital ICs [1.51]. Today they form the core of the highest speed digital circuits and are used in
9
Introduction
germanium, devices manufactured using semiconductors such as GaN, which have much wider band gaps than silicon (3.4 eV compared with 1.1 eV), are capable of operating in much higher temperature environments. Aside from these advantageous properties of compound semiconductors, the use of different alloy compositions, or totally different semiconductors, in a single device introduces entirely new possibilities. In silicon, most device action is achieved by little more than careful control of dopant impurity concentrations. In structures containing thin layers of semiconductors with different band gaps (heterostructures) there is the potential to control more fundamental parameters such as the band gap width, mobilities and effective masses of the carriers [1.42]. In these structures, important new features become available which can be used by the device designer to tailor specific desired properties. Hebert Kroemer and Zhores Alferov shared the Nobel prize in 2000 “for developing semiconductor heterostructures used in high-speed- and opto-electronics”. We will mainly consider the compounds formed between elements in Group III of the periodic table and those in Group V (the III–V semiconductors); principally those based around GaAs and InP which were developed over much of the last forty years, and GaN and its related alloys which have been most heavily studied only during the last decade. Other families are given less attention here though they also have important applications (for example the II–VI materials in optoelectronic applications). It can be hazardous to try and consider the “compound semiconductors” as a single subject. Though lessons can be learnt from the materials science of one of the compounds and transferred to another, each material is unique and must be considered on its own (that is, of course, the purpose of the specialised chapters which follow in this handbook!). It is worth repeating that the power of the compound semiconductors lies in their use as the constituent layers in heterostructures. The principal contribution from chemistry and materials science to enable successful devices has been in the manufacture of high-quality bulk single crystal substrates and the creation of techniques to reliably and accurately produce real layered structures on these substrates from the plans drawn up by a device theorist. In contrast to silicon, the compound semiconductors include volatile components so encapsulation has been required for the synthesis of low-defect InP and GaAs substrates such as in the liquid encapsulated Czochralski technique [1.43, 44]. The size and crystalline quality of these substrates lag some way behind those available in silicon. Crucial to the commerciali-
1.3 The Compound Semiconductors
10
Part
Introduction
Introduction
high speed electronics in microwave radar systems and wireless communications which incorporate monolithic integrated circuits. For at least 30 years there have been repeated attempts to replicate the MOSFET, the dominant transistor form in silicon ICs, on GaAs material. These attempts have been frustrated by the difficulty of reproducibly forming a high quality stoichiometric oxide on GaAs. In direct analogy with the initial failure of constructing working n-MOSFETs on silicon, the GaAs devices have consistently been inoperable because of poor quality gate oxides with a high density of surface states at the GaAs-insulator interface [1.44]. One of the research efforts focussed on realising this device was, however, to be diverted and resulted in the discovery of probably the most important III–V electronic device: the high electron mobility transistor (HEMT). The background to this invention lies in the beautiful concept of modulation doping of semiconductors which was first demonstrated in 1978 [1.52]. One of the tenets of undergraduate semiconductor courses is the demonstration that as the dopant density in a semiconductor increases, the mobility of the carriers is reduced because the carriers are scattered more by the ionised dopants. It was found that in a multilayer of repeating n-AlGaAs layers and undoped GaAs layers, the electrons supplied by donor atoms in the AlGaAs moved into the adjacent potential wells of the lower-band gap GaAs layers. In the GaAs these suffered from substantially less ionised impurity scattering and therefore demonstrated enhanced mobility. While working in a group attempting to create GaAs MOSFETs (and seemingly despairing at the task! [1.53]), Mimura heard of these results and conceived of a field effect transistor where the conducting channel exploited the high mobility associated with a modulation doped structure. In essence, a doped AlGaAs layer was formed above the undoped GaAs channel of the transistor. Donated carriers gathered in the GaAs immediately below the interface where they did not suffer from as much ionised impurity scattering and so their mobility would approach that of an ultra-pure bulk semiconductor. The current was conducted from the source to the drain by these high mobility carriers and so the devices were able to operate in higher frequency applications [1.53]. Realisation of the structure required a very abrupt interface between the GaAs and AlGaAs and was considered beyond the capability of MOCVD of the time [1.53]. However, following the advances made in MBE procedures during the 1970s the structure was achieved by that technique within a few months of
the original conception [1.53, 54]. The first operational HEMT chips were produced on 24th December 1980: by pleasing coincidence this was the anniversary of Brattain and Bardeen’s demonstration of their point contact resistor to the management of Bell labs in 1947! Structures based on the same principle as Mimura’s device were realised in France very shortly afterwards [1.55]. The commercialisation of the HEMT became significant in the late 1980s thanks to broadcasting satellite receivers. The improved performance of the devices compared with the existing technology allowed the satellite parabolic dish size to be reduced by at least a factor of two. Structures similar to these have since played a crucial role in the massive expansion in mobile telephones. The evolution in HEMT structures since the early 1980s is a fine example of how fundamental compound semiconductor properties have been exploited as the technology has become available to realise new device designs. The electron mobility in InAs is much higher than in GaAs and rises as the indium content in Inx Ga1−x As is increased [1.56]. The introduction of an InGaAs (as opposed to GaAs) channel to the HEMT structure resulted both in increased electron mobility and a higher density of carriers gathering from the doped AlGaAs layer (because of the larger difference in energy between the conduction band minima of InGaAs and AlGaAs than between GaAs and AlGaAs). This so called pseudomorphic HEMT (pHEMT) demonstrates state of the art power performance at microwave and millimetre wave frequencies [1.43]. The indium content and thickness of the channel is limited by the lattice mismatch with the GaAs (Fig. 1.1). If either is increased too much then misfit dislocations are formed within the channel. The restriction is reduced by growing lattice matched structures on InP, rather than GaAs, substrates. Al0.48 In0.52 As and In0.53 Ga0.47 As are both lattice matched to the InP (Fig. 1.1) and their conduction band minimum energies are well separated so that in the InGaAs below the interface between the two compounds a high density of electrons with a very high mobility is formed. Compared with the pHEMTs these InP based HEMTs exhibit significant improvements, have been shown to exhibit gain at over 200 GHz and are established as the leading transistor for millimetre-wave low noise applications such as radar [1.43].
1.3.2 Light Emitting Devices LEDs and laser diodes exploit the direct band gap semiconductors to efficiently convert an electric current into
Perspectives on Electronic and Optoelectronic Materials
production and can be less of an issue these days because of probably the most important development in the history of optoelectronic devices: the introduction of the quantum well. In some ways a quantum well structure is an evolution of the double heterostructure but with a very much thinner active layer. It is the chosen design for most solid state light emitting devices today. With the accurate control available from MBE or MOCVD, and following from some early work on superlattices [1.66], very thin layers of carefully controlled composition could be deposited within heterostructure superlattice stacks. It became possible to grow GaAs layers much less than 10 nm thick within AlGaAs–GaAs heterostructures. The carriers in the GaAs were found to exhibit quantum mechanical confinement within the one dimensional potential well [1.67, 68]. Lasing from GaAs/Al0.2 Ga0.8 As quantum wells was reported the following year, in 1975, [1.69] but it was a few years before the performance matched that achievable from DH lasers of the time [1.70] and the quantum well laser was further advanced to significantly outperform the competition by researchers in the 1980s [1.71]. The introduction of heterostructures with layer thicknesses on the nanometre scale represents the final stage in scaling down of these devices. Similarly Brattain and Bardeen’s centimetre-sized transistor has evolved into today’s microprocessors with sub-micron FETs whose gate oxide thicknesses are measured in Angstroms. Throughout this evolution, materials characterisation techniques have contributed heavily to the progress in our understanding of electronic materials and deserve a brief detour here. As the dimensions have been reduced over the decades, the cross-sectional images of device structures published in the literature have changed from a period where optical microscopy techniques were sufficient [1.31] to a time when scanning electron microscopy (SEM) images were used [1.70] and to today’s high resolution transmission electron microscopy (TEM) analysis of ultra-thin layers (e.g. Fig. 1.3). For each new material family, understanding of defects and measurement of their densities (e.g. by TEM and X-ray topography) have contributed to improvements in quality. Huge improvements in X-ray optics have seen high-resolution X-ray diffraction techniques develop to become a cornerstone of heterostructure research and production quality control [1.72]. Scanning-probe techniques such as scanning tunnelling and atomic force microscopy have become crucial to the understanding of MBE and MOCVD growth. Chemically sensitive techniques such as secondary ion mass spectroscopy and Rutherford
11
Introduction
photons of light. Work on light emission from semiconductor diodes was carried out in the early decades of the twentieth century [1.57] but the start of the modern era of semiconductor optoelectronics traces from the demonstration of LED behaviour and lasing from p-n junctions in GaAs [1.58, 59] and GaAs1−x Px [1.60]. The efficiency of these LEDs was low and the lasers had large threshold currents and only operated at low temperatures. A year later, in 1963, Kroemer and Alferov independently proposed the concept of the double heterostructure (DH) laser [1.61, 62]. In the DH device, a narrow band gap material was to be sandwiched between layers with a wider gap so that there would be some degree of confinement of carriers in the “active layer”. By the end of the decade DH devices had been constructed which exhibited continuous lasing at room temperature [1.63, 64]. Alferov’s laser was grown by LPE on a GaAs substrate with a 0.5 µm GaAs active layer confined between 3 µm of Al0.25 Ga0.75 As on either side. The launch of the Compact Disc in 1982 saw this type of device, or at least its offspring, becoming taken for granted in the households of the world. One of the major challenges in materials selection for heterostructure manufacture has always been avoiding the formation of misfit dislocations to relieve the strain associated with lattice parameter mismatch between the layers. Alx Ga1−x As exhibits a direct band gap for x < 0.45 and the early success and sustained dominance of the AlGaAs/GaAs system derives significantly from the very close coincidence of the AlAs and GaAs lattice parameters (5.661 Å and 5.653 Å – see Fig. 1.1). This allows relatively thick layers of AlGaAs with reasonably high aluminium content to be grown lattice matched onto GaAs substrates with no misfit dislocation formation. The use of the quaternary alloy solid solution Inx Ga1−x Asy P1−y was also suggested in 1970 [1.63] to offer the independent control of lattice parameters and band gaps. Quaternaries based on three Group III elements have since proved very powerful tools for lattice matching within heterostructures. (Alx Ga1−x )0.5 In0.5 P was found to be almost perfectly lattice matched to GaAs and additionally have a very similar thermal expansion coefficient (which is important to avoid strain evolution when cooling after growth of heteroepitaxial layers at high temperatures). By varying x in this compound, direct band gaps corresponding to light between red and green could be created [1.65]. Lasers based on this alloy grown by MOCVD are a common choice for the red wavelengths (650 nm) used in DVD reading. Obtaining lattice matching is not so crucial for layers thinner than the critical thickness for dislocation
1.3 The Compound Semiconductors
12
Part
Introduction
Introduction 2 nm
Fig. 1.4 Annular dark field-scanning transmission electron microscope (ADF-STEM) image of Sb-doped Si. The undoped region (right) shows atomic columns of uniform intensity. The brightest columns in the doped region (left) contain at least one Sb atom. The image is smoothed and background subtracted (After [1.76], with permission Elsevier Amsterdam). (Courtesy of Prof D.A. Muller)
backscattering have improved to provide information on doping concentrations and compositions in layered structures with excellent depth resolution. Meanwhile recently developed techniques such as energy-filtered TEM [1.73] afford chemical information at extremely high spatial resolutions. The characterisation of doping properties is also coming of age with more quantitative measurement of dopant contrast in the SEM [1.74], analysis of biased junctions in situ in the TEM [1.75] and the recent exciting demonstration of imaging of single impurity atoms in a silicon sample using scanning TEM, Fig. 1.4. The materials characterisation process remains a very important component of electronic materials research. Two commercial-product oriented aims dominate semiconductor laser research: the production of more effective emitters of infra-red wavelengths for transmission of data along optic fibres; and the realisation of shorter wavelength devices for reading optical storage media. In the first of these fields devices based on InP have proven to be extremely effective because of its fortuitous lattice parameter match with other III–V alloys which have band gaps corresponding to the lowabsorption “windows” in optic fibres. While remaining lattice matched to InP, the Inx Ga1−x Asy P1−y quater-
nary can exhibit band gaps corresponding to infra-red wavelengths of 1.3 µm and 1.55 µm at which conventional optic fibres absorb the least of the radiation (the absolute minimum is for 1.55 µm). Room temperature continuous lasing of 1.1 µm radiation was demonstrated from the material in 1976 [1.77] and InP based lasers and photodiodes have played a key role in the optical communications industry since the 1980s [1.43]. We have already mentioned the AlGaAs infra-red (λ = 780 nm) emitters used to read compact discs and the AlGaInP red (λ = 650 nm) devices in DVD readers (see Fig. 1.1). As shorter wavelength lasers have become available the optical disc’s surface pits (through which bits of data are stored) could be made smaller and the storage density increased. Though wide band gap II–VI compounds, principally ZnSe, have been researched for many decades for their potential in green and blue wavelengths, laser operation in this part of the visible spectrum proved difficult to realise [1.78]. In the early 1990s, following improvements in the p-doping of ZnSe, a blue-green laser was demonstrated [1.79] but such devices remain prone to rapid deterioration during operation and tend to have lifetimes measured in, at most, minutes. However, also in the early years of the 1990s, a revolution began in wide band gap semiconductors which is ongoing today: the exploitation of GaN and its related alloys Inx Ga1−x N and Aly Ga1−y N. These materials represent the future for optoelectronics over a wide range of previously inaccessible wavelengths and the next generation of optical storage, the “Blu-ray” disc, will be read using an InGaN blue-violet laser (λ = 405 nm).
1.3.3 The III-Nitrides The relevance of the Inx Ga1−x N alloy for light emitting devices is clear from Fig. 1.1. The InN and GaN direct band gaps correspond to wavelengths straddling the visible spectrum and the alloy potentially offers access to all points in-between. The early commercially successful blue light emitters were marketed by Nichia Chemical Industries following the research work of Nakamura who demonstrated the first InGaN DH LEDs [1.80] and blue InGaN quantum well LEDs and laser diodes soon after [1.81]. Since this time the global research interest in the GaN material family has expanded rapidly and the competing technology (SiC and ZnSe for blue LEDs and lasers respectively) has largely been replaced. The development of the III-nitride materials has much in common with the early research of other III–V systems. For example MOCVD and MBE technology
Perspectives on Electronic and Optoelectronic Materials
can now for a moment consider an unresolved issue which, no doubt, will be solved in the coming years. It is widely believed that the tolerance of InGaN optoelectronic devices to high densities of defects is caused by the presence of low-energy sites within the layers at which electrons and holes are localised. They are thus prevented from interacting with the dislocations at which they would recombine in a non-radiative manner. The origin of localisation remains a matter of debate. One popular explanation is that the InGaN alloy has a tendency for phase segregation [1.85] and indium-rich “clusters” form and cause the localisation. However, there is now evidence [1.86] that the results of some of the measurements used to detect the indium rich regions could be misleading so the clustering explanation is being re-assessed. InGaN remains a fascinating and mysterious alloy. Solid-state lighting will be a huge market for IIInitride materials in the coming decades. LEDs are perfectly suited to coloured light applications: their monochromatic emission is very much more energy efficient than the doubly wasteful process of colour filtering power hungry filament white light bulbs. InGaN LEDs are now the device of choice for green traffic signals worldwide and offer significant environmental benefits in the process. In principle there is also the opportunity to create white light sources for the home which are more efficient than the tungsten filament light bulbs used today and a variety of promising schemes have been developed for converting the coloured output of III-nitride LEDs to white sources. These include the use of three colour (red, green and blue) structures and blue InGaN or ultraviolet AlGaN based LEDs coated with a range of phosphor materials to generate a useful white spectrum [1.65]. In particular ultraviolet LEDs coated with a three-way phosphor (red, green and blue) can produce high quality white light that mimics sunlight in its visible spectrum. The main disadvantages preventing the widespread use of LEDs in white lighting are their high cost and the relatively low output powers from single devices but these obstacles are rapidly being overcome. Many other applications for the III-nitrides are being investigated including the use of (Al,Ga,In)N solar cells which could offer more efficient conversion of light into electric current than silicon based devices [1.87]; the possibility of lasers and optical switches operating at the crucial 1.55 µm wavelength based on intersubband (between the discrete quantised energy levels of the wells) transitions in AlGaN/GaN quantum well structures; and the use of the compact InGaN LEDs to fluoresce labelled cancerous cells and aid detection of
13
Introduction
could be adapted for the nitride systems (the former has to date been more suited for creating optical devices) and one of the obstacles limiting early device development was achieving sufficiently high p-type doping. However, in some ways they are rather different from the other compound semiconductors. It is important to realise that while all III–Vs mentioned previously share the same cubic crystallographic structure, the nitrides most readily form in a hexagonal allotrope. Most significant in terms of device development over the past decade has been the difficulty in obtaining bulk GaN substrates. Due to the very high pressures necessary to synthesise the compound only very small pieces of bulk GaN have been produced and though they have been used to form functioning lasers [1.82] they remain unsuited as yet to commercial device production. There has consequently been a reliance on heavily lattice mismatched heteroepitaxial growth. Many materials have been used as substrates for GaN growth. These include SiC, which has one of the lowest lattice mismatches with the nitride material and would be more widely used if it was less expensive, and silicon, which has considerable potential as a substrate if problems associated with cracking during cooling from the growth temperature can be overcome (there is a large difference between the thermal expansion coefficients of GaN and silicon). The dominant choice, however, remains sapphire (α-Al2 O3 ) which itself is by no means ideal: it is electrically insulating (so electrical contacts cannot be made to the device through the substrate material) and, most significantly, has a lattice mismatch of ≈16% with the GaN [1.83]. This mismatch is relieved by the formation of misfit dislocations which give rise to dislocations threading through the GaN into the active layers (e.g. InGaN quantum wells) of the devices. The key discovery for reducing the defect densities to tolerable levels was the use of nucleation layers at the interface with the sapphire [1.83] but densities of ≈109 cm−2 remain typical. More recently epitaxial lateral overgrowth (ELOG) techniques have allowed the dislocation densities to be reduced to ≈106 cm−2 in local regions [1.84]. However, perhaps the most interesting aspect of GaN-based optoelectronic devices is that they emit light so efficiently in spite of dislocation densities orders of magnitude greater than those tolerated in conventional semiconductors. Even though InGaN based light emitters have been commercially available for several years, the precise mechanism of luminescence from the alloy is still not fully understood. Having so far discussed the evolution of semiconductors with the benefit of hindsight we
1.3 The Compound Semiconductors
14
Part
Introduction
Introduction
affected areas. The wide band gap is also very attractive for many electronic device applications–particularly in high-temperature, high-power applications. Exploiting its high thermal conductivity and insensitivity to high operating temperatures, GaN-based HEMTs may extend the power of mobile phone base stations and it
has even been suggested that GaN devices could be used as an alternative source of ignition in car engines. There is also, of course, the possibility of monolithically integrating electronic and optoelectronic action onto a single chip. GaN-related materials should prove to have a huge impact on the technology of the coming decades.
1.4 From Faraday to Today So, we have come 170 years from Faraday’s nineteenth century observation of semiconductivity to a world dominated by electronic materials and devices. The balance of power between the different semiconductor families is an unstable and unpredictable one. For example if inexpensive, high quality, low defect density GaN substrates can be produced this will revolutionise the applications of GaN-based materials in both optoelectronics and electronics. The only inevitable fact is that the electronics revolution will continue to be crucially dependent on electronic materials understanding and improvement. And while reading the more focussed chapters in this
book and concentrating on the very important minutiae of a particular field, it can be a good idea to remember the bigger picture and the fact that electronic materials are remarkable! Cambridge, October 2003 Further References In particular we recommend the transcripts of the Nobel Lectures given by Brattain, Bardeen, Shockley, Kilby, Kroemer and Alferov. Available in printed form as set out below and, for the latter three in video, at www.nobel.se.
References 1.1
1.2 1.3 1.4 1.5 1.6 1.7
1.8 1.9
1.10 1.11 1.12 1.13 1.14 1.15 1.16 1.17
M. Faraday: Experimental Researches in Electricity, Vol I and II (Dover, New York 1965) pp. 122–125 and pp. 426–427 W. Smith: J. Soc. Telegraph Eng. 2, 31 (1873) W. G. Adams: Proc. R. Soc. London 25, 113 (1876) A. H. Wilson: Proc. R. Soc. London, Ser. A 133, 458 (1931) A. H. Wilson: Proc. R. Soc. London, Ser. A 134, 277 (1931) J. Bardeen, W. H. Brattain: Phys. Rev., 74, 230 (1948) J. Bardeen: Nobel Lecture, Physics, 1942-1962 (Elsevier, Amsterdam 1956) www.nobel.se/physics/ laureates/1956/shockley-lecture.html E. Braun, S. MacDonald: Revolution in Miniature, 2 edn. (Cambridge Univ. Press, Cambridge 1982) W. H. Brattain: Nobel Lecture, Physics, 1942-1962 (Elsevier, Amsterdam 1956) www.nobel.se/physics/ laureates/1956/brattain-lecture.html C. S. Fuller: Phys. Rev. (Ser 2) 86, 136 (1952) I. Derick, C. J. Frosh: ,US Patent 2 802 760 (1955) J. Andrus, W. L. Bond: ,US Patent 3 122 817 (1957) W. G. Pfann: Trans. Am. Inst. Mech. Eng. 194, 747 (1952) W. G. Pfann: Zone Melting (Wiley, New York 1958) G. K. Teal: IEEE Trans. Electron. Dev. 23, 621 (1976) G. K. Teal, J. B. Little: Phys. Rev. (Ser 2) 78, 647 (1950) G. K. Teal, E. Buehler: Phys. Rev. 87, 190 (1952)
1.18 1.19 1.20 1.21 1.22 1.23 1.24 1.25 1.26 1.27 1.28 1.29 1.30 1.31 1.32 1.33 1.34 1.35
J. Czochralski: Z. Phys. Chem. 92, 219 (1917) P. H. Keck, M. J. E. Golay: Phys. Rev. 89, 1297 (1953) H. C. Theurer: ,US Patent 3 060 123 (1952) H. C. Theurer: Trans. Am. Inst. Mech. Eng. 206, 1316 (1956) K. A. Jackson (Ed.): Silicon Devices (Wiley, Weinheim 1998) W. C. Dash: J. Appl. Phys. 29, 736 (1958) W. C. Dash: J. Appl. Phys. 30, 459 (1959) W. C. Dash: J. Appl. Phys. 31, 736 (1960) G. Ziegler: Z. Naturforsch. 16a, 219 (1961) M. Grayson (Ed.): Encyclopedia of Semiconductor Technology (Wiley, New York 1984) p. 734 I. M. Ross: Bell Labs Tech. J. 2(4), 3 (1997) W. Schockley: Bell Syst. Tech. J. 28(4), 435 (1949) W. Shockley, M. Sparks, G. K. Teal: Phys. Rev. 83, 151 (1951) M. Tanenbaum, D. E. Thomas: Bell Syst. Tech. J. 35, 1 (1956) C. M. Melliar-Smith, D. E. Haggan, W. W. Troutman: Bell Labs Tech. J. 2(4), 15 (1997) J. A. Hoerni: IRE Trans. Electron. Dev. 7, 178 (1960) J. S. Kilby: IEEE Trans. Electron. Dev. 23, 648 (1976) J. S. Kilby: Nobel Lectures in Physics: 19962000 (Imperial College Press, London 2000) www.nobel.se/physics/laureates/2000/kilbylecture.html
Perspectives on Electronic and Optoelectronic Materials
1.37 1.38 1.39 1.40 1.41 1.42
1.43 1.44 1.45 1.46 1.47 1.48 1.49 1.50 1.51 1.52 1.53 1.54 1.55 1.56 1.57 1.58 1.59 1.60 1.61 1.62
D. Kahng, M. M. Atalla: Silicon-Silicon Dioxide Field Induced Surface Devices (Solid State Research Conference, Pittsburgh, Pennsylvania 1960) J. T. Clemens: Bell Labs Tech. J. 2(4), 76 (1997) T. H. Ning: IEEE Trans. Electron. Dev. 48, 2485 (2001) G. E. Moore: Electronics 38(8) (1965) G. E. Moore: International Solid State Circuits Conference (2003) F. H. Baumann: Mater. Res. Soc. Symp. 611, C4.1.1– C4.1.12 (2000) H. Kroemer: Nobel Lectures in Physics: 19962000 (Imperial College Press, London 2000) www.nobel.se/physics/laureates/2000/kroemerlecture.html O. Wada, H. Hasegawa (Eds.): InP-Based Materials and Devices (Wiley, New York 1999) C. Y. Chang, F. Kai: GaAs High-Speed Devices (Wiley, New York 1994) A. Y. Cho: J. Vac. Sci. Technol., 8, S31 (1971) H. M. Manasevit: Appl. Phys. Lett. 12, 156 (1968) H. J. Welker: IEEE Trans. Electron. Dev. 23, 664(1976) H. Kroemer: RCA Rev. 18, 332 (1957) C. A. Mead: Proc IEEE 54, 307 (1966) W. W. Hooper, W. I. Lehrer: Proc IEEE 55, 1237 (1967) R. van Tuyl, C. Liechti: IEEE Spectrum 14(3), 41 (1977) R. Dingle: Appl. Phys. Lett. 33, 665 (1978) T. Mimura: IEEE Trans. Microwave Theory Tech. 50, 780 (2002) T. Mimura: Jpn. J. Appl. Phys. 19, L225 (1980) D. Delagebeaudeuf: Electron. Lett. 16, 667 (1980) D. Chattopadhyay: J. Phys. C 14, 891 (1981) E. E. Loebner: IEEE Trans. Electron. Dev. 23, 675 (1976) R. N. Hall: Phys. Rev. Lett. 9, 366 (1962) M. I. Nathan: Appl. Phys. Lett. 1, 62 (1962) N. Holonyak: Appl. Phys. Lett. 1, 82 (1962) H. Kroemer: Proc. IEEE 51, 1782 (1963) Z. I. Alferov: Nobel Lectures in Physics: 19962000 (Imperial College Press, London 2000)
1.63
1.64 1.65 1.66 1.67 1.68 1.69 1.70 1.71 1.72
1.73
1.74 1.75 1.76 1.77 1.78
1.79 1.80 1.81 1.82 1.83 1.84 1.85 1.86 1.87
www.nobel.se/physics/laureates/2000/alferovlecture.html Z. I. Alferov: Fiz. Tekh. Poluprovodn. 4, 1826 (1970) Translated in: Sov. Phys. – Semicond. 4, 1573 (1971) I. Hayashi: Appl. Phys. Lett. 17, 109 (1970) A. Zukauskas: Introduction to Solid-State Lighting (Wiley, New York 2002) L. Esaki, R. Tsu: IBM J. Res. Dev. 14, 61 (1970) L. L. Chang: Appl. Phys. Lett. 24, 593 (1974) R. Dingle: Phys. Rev. Lett. 33, 827 (1974) J. P. van der Ziel: Appl. Phys. Lett. 26, 463 (1975) R. Dupuis: Appl. Phys. Lett. 32, 295 (1978) Z. I. Alferov: Semicond. 32, 1 (1998) D. K. Bowen, B. K. Tanner: High Resolution X-ray Diffractometry and Topography (Taylor Francis, London 1998) L. Reimer, C. Deininger: Energy-filtering Transmission Electron Microscopy (Springer, Berlin, Heidelberg 1995) C. Schönjahn: Appl. Phys. Lett. 83, 293 (2003) A. C. Twitchett: Phys. Rev. Lett. 88, 238302 (2002) P. M. Voyles: Ultramicrosc. 96, 251–273 (2003) J. J. Hsieh: Appl. Phys. Lett. 28, 709 (1976) H. E. Ruda (Ed.): Widegap II–VI Compounds for Opto-electronic Applications (Chapman Hall, London 1992) M. A. Haase: Appl. Phys. Lett. 59, 1272 (1991) S. Nakamura: Appl. Phys. Lett. 64, 1687 (1994) S. Nakamura: Jpn. J. Appl. Phys. 35, L74 (1996) P. Prystawko: Phys. Status Solidi (a) 192, 320 (2002) S. Nakamura, G. Fasol: The Blue Laser Diode (Springer, Berlin, Heidelberg 1997) B. Beaumont: Phys. Status Solidi (b) 227, 1 (2001) I. Ho, G. B. Stringfellow: Appl. Phys. Lett. 69, 2701 (1996) T. M. Smeeton: Appl. Phys. Lett. 83, 5419 (2003) J. Hogan: New Scientist, 24 (7th December 2002)
15
Introduction
1.36
References
17
Part A
Fundame Part A Fundamental Properties
2
Electrical Conduction in Metals and Semiconductors Safa Kasap, Saskatoon, Canada Cyril Koughia, Saskatoon, Canada Harry Ruda, Toronto, Canada Robert Johanson, Saskatoon, Canada
3 Optical Properties of Electronic Materials: Fundamentals and Characterization Safa Kasap, Saskatoon, Canada Cyril Koughia, Saskatoon, Canada Jai Singh, Darwin, Australia Harry Ruda, Toronto, Canada Stephen K. O’Leary, Regina, Canada 4 Magnetic Properties of Electronic Materials Charbel Tannous, Brest Cedex, France Jacek Gieraltowski, 29285 Brest Cedex, France 5 Defects in Monocrystalline Silicon Wilfried von Ammon, Burghausen, Germany
6 Diffusion in Semiconductors Derek Shaw, Hull, UK 7
Photoconductivity in Materials Research Monica Brinza, Leuven, Belgium Jan Willekens, Leuven, Belgium Mohammed L. Benkhedir, Leuven, Belgium Guy J. Adriaenssens, Leuven, Belgium
8 Electronic Properties of Semiconductor Interfaces Winfried Mönch, Duisburg, Germany 9 Charge Transport in Disordered Materials Sergei Baranovskii, Marburg, Germany Oleg Rubel, Marburg, Germany 10 Dielectric Response Leonard Dissado, Leicester, UK 11 Ionic Conduction and Applications Harry L. Tuller, Cambridge, USA
19
Electrical Con 2. Electrical Conduction in Metals and Semiconductors
A good understanding of charge carrier transport and electrical conduction is essential for selecting or developing electronic materials for device applications. Of particular importance are the drift mobility of charge carriers in semiconductors and the conductivity of conductors and insulators. Carrier transport is a broad field that encompasses both traditional ‘bulk’ processes and, increasingly, transport in low dimensional or quantized structures. In other chapters of this handbook, Baranovskii describes hopping transport in low mobility solids such as insulators, Morigaki deals with the electrical properties of amorphous semiconductors and Gould discusses in detail conduction in thin films. In this chap-
2.1
Fundamentals: Drift Velocity, Mobility and Conductivity .................................
20
2.2
Matthiessen’s Rule ..............................
22
2.3
Resistivity of Metals ............................. 2.3.1 General Characteristics............... 2.3.2 Fermi Electrons .........................
23 23 25
2.4
Solid Solutions and Nordheim’s Rule .....
26
2.5
Carrier Scattering in Semiconductors .....
28
2.6
The Boltzmann Transport Equation .......
29
2.7
Resistivity of Thin Polycrystalline Films ..
30
2.8
Inhomogeneous Media. Effective Media Approximation ....................................
32
2.9
The Hall Effect .....................................
35
2.10 High Electric Field Transport .................
37
2.11
Avalanche ...........................................
38
2.12
Two-Dimensional Electron Gas .............
39
2.13
One Dimensional Conductance ..............
41
2.14
The Quantum Hall Effect.......................
42
References ..................................................
44
ter, we outline a semi-quantitative theory of charge transport suitable for a wide range of solids of use to materials researchers and engineers. We introduce theories of “bulk” transport followed by processes pertinent to ultra-fast transport and quantized transport in lower dimensional systems. The latter covers such phenomena as the Quantum Hall Effect, and Quantized Conductance and Ballistic Transport in Quantum Wires that has potential use in new kinds of devices. There are many more rigorous treatments of charge transport; those by Rossiter [2.1] and Dugdale [2.2] on metals, and and Nag [2.3] and Blatt [2.4] on semiconductors are highly recommended.
Part A 2
Electrical transport through materials is a large and complex field, and in this chapter we cover only a few aspects that are relevant to practical applications. We start with a review of the semi-classical approach that leads to the concepts of drift velocity, mobility and conductivity, from which Matthiessen’s Rule is derived. A more general approach based on the Boltzmann transport equation is also discussed. We review the conductivity of metals and include a useful collection of experimental data. The conductivity of nonuniform materials such as alloys, polycrystalline materials, composites and thin films is discussed in the context of Nordheim’s rule for alloys, effective medium theories for inhomogeneous materials, and theories of scattering for thin films. We also discuss some interesting aspects of conduction in the presence of a magnetic field (the Hall effect). We present a simplified analysis of charge transport in semiconductors in a high electric field, including a modern avalanche theory (the theory of “lucky” drift). The properties of low-dimensional systems are briefly reviewed, including the quantum Hall effect.
20
Part A
Fundamental Properties
2.1 Fundamentals: Drift Velocity, Mobility and Conductivity
Part A 2.1
Basic to the theory of the electronic structure of solids are the solutions to the quantum mechanical problem of an electron in a periodic potential known as Bloch waves. These wavefunctions are traveling waves and provide the physical basis for conduction. In the semi-classical approach to conduction in materials, an electron wavepacket made up of a superposition of Bloch waves can in principle travel unheaded in an ideal crystal. No crystal is ideal, however, and the imperfections cause scattering of the wavepacket. Since the interaction of the electron with the potential of the ions is incorporated in the Bloch waves, one can concentrate on the relatively rare scattering events which greatly simplifies the theory. The motion of the electrons between scattering events is essentially free (with certain provisos such as no interband transitions) subject only to external forces, usually applied electric or magnetic fields. A theory can then be developed that relates macroscopic and measurable quantities such as conductivity or mobility to the microscopic scattering processes. Principle in such a theory is the concept of mean free time τ which is the average time between scattering events. τ is also known as the conductivity relaxation time because it represents the time scale for the momentum gained from an external field to relax. Equivalently, 1/τ is the average probability per unit time that an electron is scattered. There are two important velocity quantities that must be distinguished. The first is the mean speed u or thermal velocity vth which as the name implies is the average speed ofthe electrons. u is quite large being on the order of 3kB T/m ∗e ≈ 105 m/sfor electrons in a nondegenerate semiconductor and 2E F /m ∗e ≈ 106 m/s for electrons in a metal, where kB is Boltzmann’s constant, T is the temperature, E F is the Fermi energy of the metal, and m ∗e is the electron effective mass. The distance an electron travels between scattering events is called the free path. It is straightforward to show that the average or mean free path for an electron is simply = uτ. The second velocity is the mean or drift velocity v d (variables in boldface are vectors) which is simply the vector average over the velocities of all N electrons, N 1 vd = vi . N
(2.1)
i=1
With no external forces applied to the solid, the electron motion is random and thus the drift velocity is zero. When subject to external forces like an electric field, the electrons acquire a net drift velocity. Normally, the
magnitude of the drift velocity is much smaller than u so that the mean speed of the electron is not affected to any practical extent by the external forces. An exception is charge transport in semiconductors in high electric fields, where |v d | becomes comparable to u. The drift velocity gives rise to an electric current. If the density of electrons is n then the current density Je is Je = −env d
(2.2)
where e is the fundamental unit of electric charge. For the important case of an applied electric field E, the solutions of the semi-classical equations give a drift velocity that is proportional to E. The proportionality constant is the drift mobility µe v d = −µe E .
(2.3)
The drift mobility might be a constant or it might depend on the applied field (usually only if the field is large). Ohm’s Law defines the conductivity σ of a material J = σ E resulting in a simple relation to the drift mobility σ = enµe .
(2.4)
Any further progress requires some physical theory of scattering. A useful model results from the simple assumption that the scattering randomizes the electron’s velocity (taking into proper account the distribution of electrons and the Pauli Exclusion Principle). The equation of motion for the drift velocity then reduces to a simple form dv d F(t) v d = ∗ − , dt me τ
(2.5)
where F(t) is the sum of all external forces acting on the electrons. The effect of the scattering is to introduce a frictional term into what otherwise would be just Newton’s Law. Solutions of (2.5) depend on F(t). In the simplest case of a constant applied electric field, the steady-state solution is trivial, vd =
−eEτ . m ∗e
(2.6)
The conductivity and drift mobility can now be related to the scattering time [2.5], µe = eτ/m ∗e
and σ = ne2 τ/m ∗e .
(2.7)
More sophisticated scattering models lead to more accurate but more complicated solutions.
Electrical Conduction in Metals and Semiconductors
Table 2.1 Resistivities at 293 K (20 ◦ C) ρ0 and thermal coefficients of resistivity α0 at 0–100 ◦ C for various metals. The resistivity index n in ρ = ρ0 (T/T0 )n is also shown. Data was compiled from [2.6, 7] ρ0 (nm)
n
α0 × 10−3 (K−1 )
Aluminium, Al Barium, Ba Beryllium, Be Bismuth, Bi Cadmium, Cd Calcium, Ca Cerium, Ce Cesium, Cs Cromium, Cr Cobalt, Co Copper, Cu Gallium, Ga Gold, Au Hafnium, Ha Indium, In Iridium, Ir Iron, Fe Lead, Pb Lithium, Li Magnesium, Mg Molybdenum, Mo Nickel, Ni Niobium, Nb Osmium, Os Palladium, Pd Platinum, Pt Potassium, K Rhodium, Rh Rubidium, Rb Ruthenium, Ru Silver, Ag Sodium, Na Strontium, Sr Tantalum, Ta Tin, Sn Titanium, Ti Tungsten, W Vanadium, V Zinc, Zn Zirconium, Zr
26.7 600 33 1170 73 37 854 200 132 63 16.94 140 22 322 88 51 101 206 92.9 4.2 57 69 160 88 108 105.8 68 47 121 77 16.3 47 140 135 126 540 54 196 59.6 440
1.20 1.57 1.84 0.98 1.16 1.09 1.35 1.16 1.04 1.80 1.15
4.5
1.11 1.20 1.40 1.17 1.73 1.13 1.23 1.09 1.26 1.64 0.80 1.10 0.96 1.02 1.38 1.21 1.41 1.15 1.13 1.31 0.99 1.01 1.4 1.27 1.26 1.02 1.14 1.03
4 4.4 5.2 4.5 6.5 4.2 4.35 4.25 4.35 6.8 2.6 4.1 4.2 3.92 5.7 4.4 4.8 4.1 4.1 5.5 3.2 3.5 4.6 3.8 4.8 3.9 4.2 4.4
9 4.6 4.3 4.57 8.7 4.8 2.14 6.6 4.3
pure metals used as conductors, e.g. Cu, Al, Au, but fails badly for others, such as indium, antimony
and, in particular, the magnetic metals, e.g. iron and nickel. Frequently we are given α0 at a temperature T0 , and we wish to use some other reference temperature, say T0 , that is, we wish to use ρ0 and α0 for ρ0 and α0 respectively in (2.29) by changing the reference from T0 to T0 . Then we can find α1 from α0 , α0 α0 = 1 + α0 (T0 − T0 )
(2.30) and ρ = ρ0 1 + α0 T − T0 . For example, for Cu α0 = 4.31 × 10−3 K−1 at T0 = 0 ◦ C, but it is α0 = 3.96 × 10−3 K−1 at T0 = 20 ◦ C. Table 2.1 summarizes α0 for various metals.
2.3.2 Fermi Electrons The electrical properties of metals depend on the behavior of the electrons at the Fermi surface. The electron states at energies more than a few kT below E F are almost fully occupied. The Pauli exclusion principle requires that an electron can only be scattered into an empty state, and thus scattering of deep electrons is highly suppressed by the scarcity of empty states (scattering where the energy changes by more than a few kT is unlikely). Only the electrons near E F undergo scattering. Likewise, under the action of an external field, only the electron occupation near E F is altered. As a result, the density of states (DOS) near the Fermi level is most important for the metal electrical properties, and only those electrons in a small range ∆E around E F actually contribute to electrical conduction. The density of these electrons is approximately g(E F )∆E where g(E F ) is the DOS at the Fermi energy. From simple arguments, the overall conductivity can be shown to be [2.5] 1 σ = e2 vF2 τg(E F ) , (2.31) 3 where vF is the Fermi speed and τ is the scattering time of these Fermi electrons. According to (2.31), what is important is the density of states at the Fermi energy, g(E F ). For example, Cu and Mg are metals with valencies I and II. Classically, Cu and Mg atoms each contribute 1 and 2 conduction electrons respectively into the crystal. Thus, we would expect Mg to have higher conductivity. However, the Fermi level in Mg is where the top tail of the 3p-band overlaps the bottom tail of the 3s band where the density of states is small. In Cu, on the other hand, E F is nearly in the middle of the 4 s band where the density of states is high. Thus, Mg has a lower conductivity than Cu.
25
Part A 2.3
Metal
2.3 Resistivity of Metals
Electrical Conduction in Metals and Semiconductors
such that impurity ionization is complete, the ionized impurity based carrier mobility can be shown to increase with temperature T as approximately, T +3/2 . At low temperatures, the mobility is basically determined by ionized impurity scattering and at high temperatures by phonon scattering leading to a peaked curve. Invoking the previous discussions for the dependence of the total mobility on carrier concentration, it is clear that the peak mobility will depend on the doping level, and the peak location will shift to higher temperatures with increased doping as shown in Fig. 2.10.
2.6 The Boltzmann Transport Equation A more rigorous treatment of charge transport requires a discussion of the Boltzmann Transport Equation. The electronic system is described by a distribution function f (k, r, t) defined in such a way that the number of electrons in a six-dimensional volume element d3 kd3 r at time t is given by 14 π −3 f (k, r, t) d3 kd3 r. In equilibrium, f (k, r, t) depends only on energy and reduces to the Fermi distribution f 0 where the probability of occupation of states with momenta +k equals that for states with −k, and f 0 (k) is symmetrical about k = 0, giving no net charge transport. If an external field acts on the system (i. e., nonequilibrium), the occupation function f (k) will become asymmetric in k-space. If this non-equilibrium distribution function f (k) is completely specified and appropriate boundary conditions supplied, the electronic transport properties can be completely determined by solving the steady state Boltzmann transport equation [2.12] v · ∇r f + k˙ · ∇k f =
∂f ∂t
(2.38) c
where, v · ∇r f represents diffusion through a volume element d 3r about the point r in phase space due to a gradient ∇r f , 2. k˙ · ∇k f represents drift through a volume element d 3 k about the point k in phase space due to a gra
dient ∇k f (for example, k˙ = e E + 1c v × B in the presence of electric and magnetic fields) 3. (∂ f/∂t)c is the collision term and accounts for the scattering of electrons from a point k (for example, this may be due to lattice or ionized impurity scattering). 1.
Equation (2.38) may be simplified by using the relaxation time approximation ∂f ∆f f − f0 = (2.39) =− ∂t c τ τ which is based on the assumption that for small changes in f carriers return to equilibrium in a characteristic time τ, dependent on the dominant scattering mechanisms. Further simplifications of (2.38) using (2.39) applicable for low electric fields lead to a simple equation connecting the mobility µ to the average scattering time τ eτ µ∼ (2.40) = ∗ . m The details of calculations may be found in various advanced textbooks, for example Bube [2.13], Blatt [2.4]. The average scattering time may be calculated assuming a Maxwell-Boltzmann distribution function and a parabolic band ∞ τ(E)E 3/2 e−E/kB T dE 2 0 τ = (2.41) . 3kB T ∞ 1/2 −E/k T B dE E e 0
Quantum mechanical perturbation theory can be used to calculate the carrier scattering rate for different processes i, giving, τi (E) = aE −α ,
(2.42)
where a and α are constants and E is the electron energy. Substituting (2.42) into (2.41) gives 4aΓ (5/2 − α) < τi >= (2.43) 3π 1/2 (k B T )α in terms of the gamma function Γ . Using this approach, the expressions for the mobility for the case
29
Part A 2.6
The temperature dependence of the mobility may be estimated by considering the effect of temperature on ionized impurity and phonon scattering and combining these mechanisms using Matthiessen’s rule. Phonon scattering increases strongly with increasing temperature T due to the increase in the number of phonons resulting in a T −3/2 dependence for the polar phonon mobility. For ionized impurity scattering, increasing the temperature increases the average carrier velocity and hence increases the carrier mobility for a set concentration of ionized impurities. Once a temperature is reached
2.6 The Boltzmann Transport Equation
32
Part A
Fundamental Properties
Table 2.3 Resistivities of some thin Cu and Au films at room temperature. PC: Polycrystalline film; RT is room tempera-
ture; D = film thickness; d = average grain size. At RT for Cu, λ = 38–40 nm, and for Au λ = 36–38 nm. Data selectively combined from various sources, including [2.14, 16, 20–22]. Film
D (nm)
d (nm)
> 250
186 45
ρ(nm)
Comment
Cu films (polycrystalline) Cu on TiN, W and TiW [2.14]
Part A 2.8
Cu on 500 nm SiO2 [2.20]
21 32
20.5 37 52 100 40 40 40
35 27 38 22 50 29 25
Au epitaxial film on mica
30
25
Au PC film on mica Au film on glass
30 30
54 70
Au on glass [2.22]
40 40
Cu on Si (100) [2.16] Cu on glass [2.21]
CVD (chemical vapor deposition). Substrate temperature 200 ◦ C, ρ depends on d not D = 250–900 nm Thermal evaporation, substrate at RT Sputtered Cu films. Annealing at 150 ◦ C has no effect. R ≈ 0.40 and p ≈ 0 As deposited Annealed at 200 ◦ C Annealed at 250 ◦ C All thermally evaporated and PC
Au films
8.5 3.8
does not significantly affect the resistivity because ρfilm is controlled primarily by surface scattering, and is given
Single crystal on mica. p ≈ 0.8, specular scattering PC. Sputtered on mica. p is small PC. Evaporated onto glass. p is small, nonspecular scattering PC. Sputtered films. R = 0.27–0.33
92 189
by (2.52). Gould in Chapt. 29 provides a more advanced treatment of conduction in thin films.
2.8 Inhomogeneous Media. Effective Media Approximation The effective media approximation (EMA) attempts to estimate the properties of inhomogeneous mixture of two or more components using the known physical properties of each component. The general idea of any EMA is to substitute for the original inhomogeneous mixture some imaginary homogeneous substance – the effective medium (EM) – whose response to an external excitation is the same as that of the original mixture. The EMA is widely used for investigations of non-uniform objects in a variety of applications such as composite materials [2.23,24], microcrystalline and amorphous semiconductors [2.25–28], light scattering [2.29], conductivity of dispersed ionic semiconductors [2.30] and many others. Calculations of the conductivity and dielectric constant of two component mixtures are reviewed by Reynolds and Hough [2.31] and summarized by
Rossiter [2.1]. For such a mixture we assume that the two components α and β are randomly distributed in space with volume fractions of χα and χβ = 1 − χα . The dielectric properties are described by an effective permittivity εeff given by the ratio εeff = D / E ,
(2.53)
where E is the average electric field and D is the average displacement field. The displacement field averaged over a large volume may be calculated from ⎞ ⎛ 1 ⎜ 1 ⎟ D = D dv = ⎝ D dv + D dv⎠ V V V
Vα
= χα Dα + χβ Dβ ,
Vβ
(2.54)
Electrical Conduction in Metals and Semiconductors
2.8 Inhomogeneous Media. Effective Media Approximation
33
Table 2.4 Mixture rules for randomly oriented particles Factors in (2.58) Particle shape Spheres
Spheres Spheres Spheroids
εeff − εβ εα − εβ = χα εeff + 2εβ εα + 2εβ εeff − εβ εα − εβ = χα 3εβ εα + 2εβ εβ − εeff εα − εeff χα + χβ =0 εα + 2εeff εβ f + 2εeff εeff − εβ εα − εβ = χα 3εeff εα + 2εβ 3 χα εα − εeff εeff = εβ + εα 3 (1 − χα ) −1 n=1 1 + A ε
A
ε∗
References
1 3
ε2
[2.32–36]
1 3
ε2
[2.37]
1 3
εeff
[2.38]
1 3
εeff
[2.39]
A
ε2
[2.40]
A
εeff
[2.41]
0
εeff
[2.38]
1 2
εeff
[2.42]
Part A 2.8
Spheres
Mixture rule
eff
3 εα − εeff χα εα 3 −1 n=1 1 + A ε eff
2 εα χα − εβ χβ − εeff
Spheroids
εeff = εβ +
Lamellae
ε2eff =
Rods
ε
+ χβ β 5ε3eff + 5εp − 4ε p ε2eff − − χα ε2α + 4εα εβ + χβ ε2β − εα εβ ε p = 0 εα χα
1 χα χβ where = + and εp εβ εα χα χβ 1 = + εp εα εβ
where Dα and Dβ are the average displacements fields inside regions of the respective components and Vα and Vβ are their volumes. Likewise the electric field is given by
E = χα E α + χβ E β . (2.55) From (2.53) one gets
εeff = εβ + εα − εβ χα f α
fα =
3 i=1
(2.56)
or
(εeff − εα ) χα f α + εeff − εβ χβ f β = 0
The field factors can be calculated analytically only for phase regions with special specific geometries. The field factor for ellipsoids is given by (Stratton [2.43])
(2.57)
where εα and εβ are the permittivities
of the components and f α = E α / E and f β = E β / E are so-called field factors. The choice between (2.56) and (2.57) depends on particle geometry. Equation (2.56) is better when the particles of component are dispersed in a continuous medium β. Equation (2.57) is preferred when the particle size of the two components is of the same order of magnitude.
cos2 αi
1 + Ai εεα∗ − 1
(2.58)
where αi are the angles between the ellipsoid axes and the applied field and Ai depends upon the axial ratios of the ellipsoids subject to the condition that 3
Ai = 1 .
i=1
For a spheroid, A2 = A3 = A and A1 = 1 − 2A. For a random orientation of spheroids cos2 α1 = cos2 α2 = cos2 α3 = 13 . For the case of long particles with aligned axes cos2 α1 = cos2 α2 = 12 and cos2 α3 = 0. The values of parameters entering (2.58) can be found in Table 2.4 which shows a set of mixture rules, i. e.
34
Part A
Fundamental Properties
Table 2.5 Mixture rules for partially oriented particles Particle shape
Formula
Factors in (2.58)
References
Part A 2.8
A
ε∗
cos α1 = cos α2
cos α3
1 2
ε2
1 2
0
[2.35, 36]
1 2
εeff
1 2
0
[2.38]
0
εeff
1 2
0
[2.38]
χα χβ 1 = + εeff εα εβ
0
εeff
0
1
[2.44]
Lamellae with all axes aligned (current lines are parallel to lamellae planes)
εeff = εα χα + εβ χβ
0
εeff
1
0
[2.45, 46]
Spheroids with all axes aligned (current lines are parallel to one of the axes)
εeff = εβ +
A
ε2
0
1
[2.47]
A
ε2
0
1
[2.48]
Parallel cylinders
εeff − εβ εα − εβ = χα εeff + εβ εα + εβ
Parallel cylinders
χα
Parallel lamellae (with two axes randomly oriented)
ε2eff =
Lamellae with all axes aligned (current lines are perpendicular to lamellae planes)
Spheroids with all axes aligned (current lines are parallel to one of the axes)
εβ − εeff εα − εeff + χβ εα + εeff εβ + εeff εα χα + εβ χβ εα χα
ε
+ χβ
β
χα εα − εβ 1 + A εεα − 1 β
εeff = 1+ εβ εα εβ
χα −1 −1 + Aχβ
Table 2.6 Conductivity / resistivity mixture rules Particle shape
Formula
Commentary
Lamellae with all axes aligned (current lines are perpendicular to lamellae planes) Lamellae with all axes aligned (current lines are parallel to lamellae planes)
ρeff = χα ρα + χβ ρβ
Resistivity mixture rule: ρα and ρβ are the resistivities of two phases and ρeff is the effective resistivity of mixture Conductivity mixture rule: σα and σβ are the conductivities of two phases and σeff is the effective conductivity of mixture
Small spheroids (α-phase) in medium (β-phase)
ρeff = ρβ
Small spheroids (α-phase) in medium (β-phase)
σeff = χα σα + χβ σβ
1 + 12 χα (1 − χα ) (1 − χα ) ρeff = ρβ (1 + 2χα )
a set of formulae allowing one to calculate εeff for some specific cases (such as spheres, rods, lamellae, etc.). The presence of some degree of orientation somewhat simplifies the calculations as shown in the Table 2.5. The same formulae can be used to calculate the conductivity of mixtures by substituting the appropriate conductivity σ for ε. For some special cases, the mixture rules of Table 2.5 lead to very simple formulae which allows one to calculate the conductivity of inhomogeneous alloys with those specific geometries. These mixture rules are summarized in Table 2.6.
ρα > 10ρβ ρα < 0.1ρβ
The most general approach to calculating the effective dielectric permittivity comes from ⎛ ⎞ 1 G(L) εeff = ε2 ⎝1 − χα (2.59) dL ⎠ t−L 0
where t = ε2 /(ε2 − ε1 ) and G(L) is the spectral function which describes the geometry of particles. The advantage of the spectral representation is that it distinguishes between the influence of geometrical quantities and that of the dielectric properties of the components on the effective behavior of the sys-
Electrical Conduction in Metals and Semiconductors
⎛ P(E) = exp ⎝− ⎛
E
dE eFλ(E )
0
× exp ⎝− ⎛ ⎜ × exp ⎝−
E1 0
E E1
⎞ ⎠+ ⎞
E 0
dE 1 eFλ(E 1 )
(2.80)
0
The model above is based on a hard threshold ionization energy E I , that is, when a carrier attains the energy E I , ionization ensues. The model has been further refined by the inclusion of soft threshold energies which represent the fact the ionization does not occur immediately when the carrier attains the energy E I , and the carrier drifts further to gain more energy than E I before impact ionization [2.69–71]. Assuming λ and λE are energy independent, which would be the case for a single parabolic band in the crystalline state, (2.79) and (2.80) can be solved analytically to obtain
−EI λ 2
I λ exp −E 1 λE exp eFλE + λ E eFλ . α= × λ 1 − exp −E I − λ 2 1 − exp −E I eFλE
dE ⎠ eFλ(E ) ⎞ dE ⎟ ⎠, eFλE (E )
readily be evaluated from eFP(E I ) . α= EI P(E) dE
λE
eFλ
(2.81)
(2.79)
where as mentioned above λ is the mean free path associated with momentum relaxing collisions and λE is the mean energy relaxation length associated with the energy relaxing collisions. The first term is the Shockley lucky electron probability, i. e. the electron moves ballistically to energy E. The second term is the lucky drift probability term which is composed of the following: the electron first moves ballistically to some intermediate energy E 1 (0 < E 1 < E) from where it begins its lucky drift to energy E I ; hence the integration over all possible E 1 . The impact ionization coefficient can then
For λE > λ, and in the “low field region”, where typically (αλ) < 10−1 , or x = E I /eFλ > 10, (2.81) leads to a simple expression for α, 1 EI α= (2.82) exp − . λE eFλE For crystalline semiconductors, one typically also assumes that λE depends on the field F, λ and the optical phonon energy ω as eFλ2 ω (2.83) λE = coth . 2 ω 2kT As the field increases, λE eventually exceeds λ, and allows lucky drift to operate and the LD carriers to reach the ionization energy. It is worth noting that the model of lucky drift is successfully used not only for crystalline semiconductors but to amorphous semiconductors [2.72].
2.12 Two-Dimensional Electron Gas Heterostructures offer the ability to spatially engineer the potential in which carriers move. In such structures having layers deposited in the z-direction, when the width of a region with confining potential tz < λdB , the de Broglie electron wavelength, electron states become stationary states in that direction, retaining Bloch wave character in the other two directions (i. e., x- and
39
y-directions), and is hence termed a 2-D electron gas (2DEG). These structures are notable for their extremely high carrier mobility. High mobility structures are formed by selectively doping the wide bandgap material behind an initially undoped spacer region of width d as shown in Fig. 2.18a. Ionization and charge transfer leads to carrier build-up
Part A 2.12
by Burt [2.67], and Mackenzie and Burt [2.68]. The latter major advancement in the theory appeared as the lucky drift (LD) model, and it was based on the realization that at high fields, hot electrons do not relax momentum and energy at the same rates. Momentum relaxation rate is much faster than the energy relaxation rate. An electron can drift, being scattered by phonons, and have its momentum relaxed, which controls the drift velocity, but it can still gain energy during this drift. Stated differently, the mean free path λE for energy relaxation is much longer than the mean free path λ for momentum relaxation. In the Mackenzie and Burt [2.68] version of the LD model, the probability P(E) that a carrier attains an energy E is given by,
2.12 Two-Dimensional Electron Gas
42
Part A
Fundamental Properties
Part A 2.14
The associated electron wavefunctions are: 1 n x πx Ψ (x, y, z) = sin Lx 2 Lx L y Lz nyπ y (2.85) eikz z . × sin Ly Using these equations, one can readily derive an expression for the density of states per unit energy range: −1 Lz
DOS = 2 × 2 ∇kz E 2π m∗ 2L z = (2.86) . h 2(E − E n x ,n y ) In order to evaluate the conductance of this quantum wire, consider the influence of a weak applied potential V . Similar to the case for bulk transport the applied field displaces the Fermi surface and results in a change in the electron wave-vector from k0 (i. e., with no applied potential) to kV (i. e., when the potential is applied). When V is small compared with the electron energy: 2m ∗ (E − E n x ,n y ) k0 = , (2.87) 2 eV k V = k0 1 + E − E n x ,n y 1 eV ≈ k0 1 + (2.88) . 2 E − E n x ,n y This leads to establishing a current density J in the wire 2e2 (DOS) (E F − E n x ,n y ) J= . (2.89) √ 2m ∗ Which may be simplified to the following expressions for J and the current flowing in the wire for a given quantum state E{n x ,n y }, I 2e2 VL z 2e2 V (2.90) and In x ,n y = . h h The expression for the conductance through one channel corresponding to a given quantum state {n x , n y } is then given by In x ,n y 2e2 (2.91) G n x ,n y = = . V h Jn x ,n y =
Notice how the conductance is quantized in units of e2 /h with each populated channel contributing equally to the conductance – moreover, this is a fundamental result, being independent of the material considered. In practice, deviations from this equation can occur (although generally less than 1%) owing to the finiteness of real nanowires and impurities in or near the channel, influencing the conductivity and even resulting in weak localization. Generally, unlike both bulk and 2DEG systems, ionized impurity scattering is suppressed in nanowires. The main reason for this is that an incident electron in a quantum state {n x ,n y } traveling along the wire with wave-vector kz {n x ,n y }, can not be elastically scattered into any states except those in a small region of k-space in the vicinity of – kz {n x , n y }. Such a scattering event involves a large change in momentum of ≈ 2kz {n x ,n y } and thus, the probability of such events is very small. As a result, the mean free path and mobility of carriers in such quantum wires are substantially increased. The nature of carrier transport in quantum wires depends on the wire dimensions (i. e., length L Wire and diameter dWire ) as compared with the carrier mean free path, lCarrier . When lCarrier L Wire , dWire the only potential seen by the carriers is that associated with the wire walls, and carriers exhibit wavelike behavior, being guided through the wire as if it were a waveguide without any internal scattering. Conversely, if dWire λDeBroglie , only a few energy states in the wire are active, and in the limit of an extremely small waveguide, only one state or channel is active, analogous to a single mode waveguide cavity – this case is termed quantum ballistic transport. In the limit, lCarrier L Wire , dWire , scattering dominates transport throughout the wire – with numerous scattering events occurring before a carrier can traverse the wire or move far along its length. In such a case the transport is said to be diffusive. As discussed previously, ionized impurity and lattice scattering contribute to lCarrier , with lCarrier decreasing with increasing temperature due to phonon scattering. For strong impurity scattering, this may not occur until relatively high temperatures. In the intermediate case of L Wire lCarrier dWire and where dWire λDeBroglie scattering is termed “mixed mode” and is often called quasi-ballistic.
2.14 The Quantum Hall Effect The observation of, and first explanation for the Hall Effect in a 2DEG by von Klitzing et al. [2.74], won them a Nobel Prize. As shown in Fig. 2.22 the Hall re-
sistivity exhibits plateaus for integer values of h/e2 , independent of any material dependent parameters. This discovery was later shown to be correct to a precision
44
Part A
Fundamental Properties
Equation (2.101) shows that Hall resistivity is quantized in units of h/ pe2 whenever the Fermi energy lies between filled Landau levels. Consistent with observation, the result is independent of the semiconductor being studied. Although this model provides an excel-
lent basis for understanding experiments, understanding the details of the results (i. e., in particular the existence of a finite width for the Hall effect plateaus and zero longitudinal resistance dips) requires a more complete treatment involving so-called localized states.
Part A 2
References 2.1 2.2 2.3 2.4 2.5 2.6 2.7
2.8 2.9
2.10 2.11 2.12 2.13 2.14 2.15 2.16 2.17 2.18 2.19 2.20 2.21 2.22 2.23 2.24
P. L. Rossiter: The Electrical Resisitivity of Metals and Alloys (Cambridge Univ. Press, Cambridge 1987) J. S. Dugdale: The Electrical Properties of Metals and Alloys (Arnold, London 1977) B. R. Nag: Theory of Electrical Transport in Semicondnuctors (Pergamon, Oxford 1972) F. J. Blatt: Physics of Electronic Conduction in Solids (McGraw-Hill, New York 1968) Chap. 5, 6 S. O. Kasap: Principles of Electronic Materials and Devices, 3 edn. (McGraw-Hill, New York 2005) G. T. Dyos, T. Farrell (Eds.): Electrical Resistivity Handbook (Peregrinus, London 1992) D. G. Fink, D. Christiansen (Eds.): Electronics Engineers’ Handbook, 2 edn. (McGraw-Hill, New York 1982) Section 6 L. Nordheim: Ann. Phys. 9, 664 (1931) J. K. Stanley: Electrical and Magnetic Properties of Metals (American Society for Metals, Metals Park 1963) M. Hansen, K. Anderko: Constitution of Binary Alloys, 2 edn. (McGraw-Hill, New York 1985) H. E. Ruda: J. Appl. Phys. 59, 1220 (1986) M. Lundstrom: Fundamentals of Carrier Transport (Cambridge Univ. Press, Cambridge 2000) R. H. Bube: Electronic Properties of Crystalline Solids (Academic, New York 1974) Chap. 7 S. Riedel, J. Röber, T. Geßner: Microelectron. Eng., 33, 165 (1997) A. F. Mayadas, M. Shatzkes: Phys. Rev. B, 1, 1382(1970) J.-W. Lim, K. Mimura, M. Isshiki: Appl. Surf. Sci. 217, 95 (2003) C. R. Tellier, C. R. Pichard, A. J. Tosser: J. Phys. F, 9, 2377 (1979) (and references therein) K. Fuchs: Proc. Camb. Philos. Soc., 34, 100 (1938) E. H. Sondheimer: Adv. Phys., 1, 1 (1952) H.-D. Liu, Y.-P. Zhao, G. Ramanath, S. P. Murarka, G.-C. Wang: Thin Solid Films 384, 151 (2001) R. Suri, A. P. Thakoor, K. L. Chopra: J. Appl. Phys., 46, 2574 (1975) R. H. Cornely, T. A. Ali: J. Appl. Phys., 49, 4094(1978) J. S. Ahn, K. H. Kim, T. W. Noh, D. H. Riu, K. H. Boo, H. E. Kim: Phys. Rev. B, 52, 15244 (1995) R. J. Gehr, G. L. Fisher, R. W. Boyd: J. Opt. Soc. Am. B, 14, 2310 (1997)
2.25 2.26 2.27
2.28 2.29 2.30 2.31 2.32 2.33 2.34 2.35 2.36 2.37 2.38 2.39 2.40 2.41 2.42 2.43 2.44 2.45 2.46 2.47 2.48 2.49 2.50 2.51 2.52
2.53
D. E. Aspnes, J. B. Theeten, F. Hottier: Phys. Rev. B, 20, 3292 (1979) Z. Yin, F. W. Smith: Phys. Rev. B, 42, 3666 (1990) M. F. MacMillan, R. P. Devaty, W. J. Choyke, D. R. Goldstein, J. E. Spanier, A. D. Kurtz: J. Appl. Phys., 80, 2412 (1996) C. Ganter, W. Schirmacher: Phys. Status Solidi B, 218, 71 (2000) R. Stognienko, Th. Henning, V. Ossenkopf.: Astron. Astrophys. 296, 797 (1995) A. G. Rojo, H. E. Roman: Phys. Rev. B, 37, 3696 (1988) J. A. Reynolds, J. M. Hough: Proc. Phys. Soc., 70, 769 (1957) R. Clausius: Die Mechanische Wärmetheorie, Vol. 2 (Wieveg, Braunschweig 1879) L. Lorenz: Ann. Phys. Lpz., 11, 70 (1880) O. F. Mosotti: Mem. Math. Fisica Modena II, 24, 49 (1850) V. I. Odelevskii: Zh. Tekh. Fiz., 6, 667 (1950) Lord Rayleigh: Philos. Mag., 34, 481 (1892) K. W. Wagner: Arch. Electrochem., 2, 371 (1914) D. A. G. Bruggeman: Ann. Phys. Lpz. 24, 636 (1935) C. J. F. Bottcher: Rec. Trav. Chim. Pays-Bas 64, 47 (1945) H. Fricke: Phys. Rev. 24, 575 (1924) D. Polder, J. M. Van Santen: Physica 12, 257 (1946) W. Niesel: Ann. Phys. Lpz. 10, 336 (1952) J. A. Stratton: Electromagnetic Theory (McGraw-Hill, New York 1941) O. Wiener: Abh. Sachs. Ges. Akad. Wiss. Math. Phys. 32, 509 (1912) L. Silberstein: Ann. Phys. Lpz. 56, 661 (1895) O. Wiener: Abh. Sachs. Ges. Akad. Wiss. Math. Phys. 32, 509 (1912) R. W. Sillars: J. Inst. Elect. Eng. 80, 378 (1937) F. Ollendorf: Arch. Electrochem. 25, 436 (1931) J. C. M. Maxwell-Garnett: Phil. Trans. R. Soc. Lond. 203, ,385 (1904) H. Looyenga: Physica 31, 401 (1965) J. Monecke: J. Phys. Condens. Mat. 6, 907 (1994) C. F. Bohren, D. R. Huffman: Absorption and Scattering of Light by Small Particles (Wiley, New York 1983) P. Y. Yu, M. Cardona: Fundamentals of Semiconductors (Springer, Berlin, Heidelberg 1996)
Electrical Conduction in Metals and Semiconductors
2.54 2.55 2.56 2.57
2.59 2.60 2.61
2.62 2.63 2.64 2.65 2.66 2.67 2.68 2.69 2.70 2.71 2.72 2.73 2.74
C. Bulutay: Semicond. Sci. Technol. 17, L59 (2002) G. Juska, K. Arlauskas: Phys. Status Solidi 59, 389 (1980) W. Shockley: Solid State Electron. 2, 35 (1961) G. A. Baraff: Phys. Rev. 128, 2507 (1962) B. K. Ridley: J. Phys. C 16, 4733 (1983) M. G. Burt: J. Phys. C 18, L477 (1985) S. MacKenzie, M. G. Burt: Semicond. Sci. Technol. 2, 275 (1987) B. K. Ridley: Semicond. Sci. Technol. 2, 116 (1987) J. S. Marsland: Solid State Electron. 30, 125 (1987) J. S. Marsland: Semicond. Sci. Technol. 5, 177 (1990) S. O. Kasap, J. A. Rowlands, S. D. Baranovskii, K. Tanioka: J. Appl. Phys. 96, 2037 (2004) W. Walukiewicz, H. E. Ruda, J. Lagowski, H. C. Gatos: Phys. Rev. B 30, 4571 (1984) K. V. Klitzing, G. Dorda, M. Pepper: Phys. Rev. Lett. 45, 494 (1980)
45
Part A 2
2.58
M. Akiyama, M. Hanada, H. Takao, K. Sawada, M. Ishida: Jpn. J. Appl. Phys 41, 2552 (2002) K. Tsuji, Y. Takasaki, T. Hirai, K. Taketoshi: J. NonCryst. Solids 14, 94 (1989) G. Juska, K. Arlauskas: Phys. Status Solidi 77, 387 (1983) R. A. Logan, H. G. White: J. Appl. Phys. 36, 3945 (1965) R. Ghin, J. P. R. David, S. A. Plimmer, M. Hopkinson, G. J. Rees, D. C. Herbert, D. R. Wight: IEEE Trans. Electron Dev. ED45, 2096 (1998) S. A. Plimmer, J. P. R. David, R. Grey, G. J. Rees: IEEE Trans. Electron Dev. ED47, 21089 (2000) L. W. Cook, G. E. Bulman, G. E. Stillma: Appl. Phys. Lett. 40, 589 (1982) C. A. Lee, R. A. Logan, R. L. Batdorf, J. J. Kleimack, W. Wiegmann: Phys. Rev. 134, B766 (1964)
References
47
3. Optical Properties of Electronic Materials: Fundamentals and Characterization
Optical Prope
3.1
Optical Constants ................................. 3.1.1 Refractive Index and Extinction Coefficient .......... 3.1.2 Kramers–Kronig Relations ..........
47 47 49
3.2
3.3
3.4
Refractive Index .................................. 3.2.1 Cauchy Dispersion Equation........ 3.2.2 Sellmeier Dispersion Equation .... 3.2.3 Gladstone–Dale Formula............ 3.2.4 Wemple–Di Dominico Dispersion Relation ................................... 3.2.5 Group Index (N ) ........................ Optical Absorption ............................... 3.3.1 Lattice or Reststrahlen Absorption and Infrared Reflection .............. 3.3.2 Free Carrier Absorption (FCA) ....... 3.3.3 Band-to-Band or Fundamental Absorption........ 3.3.4 Exciton Absorption .................... 3.3.5 Impurity Absorption .................. 3.3.6 Effects of External Fields ............
50 50 51 51 52 53 53 54 55 57 63 66 69
Thin Film Optics................................... 3.4.1 Swanepoel’s Analysis of Optical Transmission Spectra ... 3.4.2 Ellipsometry .............................
71 72
Optical Materials ................................. 3.5.1 Abbe Number or Constringence ... 3.5.2 Optical Materials ....................... 3.5.3 Optical Glasses ..........................
74 74 74 76
References ..................................................
76
3.5
70
3.1 Optical Constants The changes that light undergoes upon interacting with a particular substance are known as the optical properties of that substance. These optical properties are influenced by the macroscopic and microscopic properties of the substance, such as the nature of its surface and its electronic structure. Since it is usually far easier to detect the way a substance modifies light than to investigate its macroscopic and microscopic properties directly, the optical properties of a substance are often used to probe other properties of the material. There are many optical properties, including the most well known: reflection, refraction, transmission and absorption. Many of these optical properties are associated with important optical constants, such as the refractive index and the extinc-
tion coefficient. In this section we review these optical constants, such as the refractive index and the extinction coefficient. Books by Adachi [3.1], Fox [3.2] and Simmons and Porter [3.3] are highly recommended. In addition, Adachi also discusses the optical properties of III–V compounds in this handbook.
3.1.1 Refractive Index and Extinction Coefficient The refractive index n of an optical or dielectric medium is the ratio of the velocity of light c in vacuum to its velocity v in the medium; n = c/v. Using this and Maxwell’s equations, one obtains the well-known
Part A 3
Light interacts with materials in a variety of ways; this chapter focuses on refraction and absorption. Refraction is characterized by a material’s refractive index. We discuss some of the most useful models for the frequency dependence of the refractive index, such as those due to Cauchy, Sellmeier, Gladstone–Dale, and Wemple–Di Dominico. Examples are given of the applicability of the models to actual materials. We present various mechanisms of light absorption, including absorption by free carriers, phonons, excitons and impurities. Special attention is paid to fundamental and excitonic absorption in disordered semiconductors and to absorption by rare-earth, trivalent ions due to their importance to modern photonics. We also discuss the effect of an external electric field on absorption, and the Faraday effect. Practical techniques for determining the optical parameters of thin films are outlined. Finally, we present a short technical classification of optical glasses and materials.
50
Part A
Fundamental Properties
complex refractive index, n(ω) and K (ω) as well. For α (ω) and α (ω), one can analogously write: ∞ 2 ω α (ω ) dω (3.10a) α (ω) = P π ω2 − ω2
and 2ω α (ω ) = − P π
∞ 0
α (ω ) dω . ω2 − ω2
(3.10b)
0
3.2 Refractive Index There are several simplified models describing the spectral dependence of the refractive index n.
light as:
Part A 3.2
n = a0 + a2 λ−2 + a4 λ−4 + a6 λ−6 + . . . λ > λh , (3.12a)
3.2.1 Cauchy Dispersion Equation or The dispersion relationship for the refractive index (n) versus the wavelength of light (λ) is stated in the following form: n = A+
B C + 4, 2 λ λ
(3.11)
where A, B and C are material-dependent specific constants. The Cauchy equation (3.11) is typically used in the visible region of the spectrum for various optical glasses, and is applied to normal dispersion. The third term is sometimes dropped for a simpler representation of n versus λ behavior. The original expression was a series in terms of the wavelength, λ, or frequency, ω, of
n = n 0 + n 2 ω2 + n 4 ω4 + n 6 ω6 + . . . ω < ωh , (3.12b)
where ω is the photon energy, ωh = hc/λh is the optical excitation threshold (the bandgap energy), while a0 , a2 . . . , and n 0 , n 2 , . . . are constants. A Cauchy relation of the following form: n = n −2 ( ω)−2 + n 0 + n 2 ( ω)2 + n 4 ( ω)4 , (3.13) can be used satisfactorily over a wide range of photon energies. The dispersion parameters, calculated from (3.13), of a few materials are listed in Table 3.1.
Table 3.1 Cauchy’s dispersion parameters (obtained from (3.11)) for a few materials Material Diamond Si Ge
ω(eV)
n−2 (eV2 )
0.05 to 5.47 0.002 to 1.08 0.002 to 0.75
− 1.07 × 10−5 − 2.04 × 10−8 − 1.00 × 10−8
n0
n2 (eV−2 )
n4 (eV−4 )
2.378 3.4189 4.0030
8.01 × 10−3
1.04 × 10−4 1.25 × 10−2 1.40 × 10−1
8.15 × 10−2 2.20 × 10−1
Table 3.2 Sellmeier coefficients of a few materials (λ1 , λ2 , λ3 are in µm) Material
A1
A2
A3
λ1
λ2
λ3
SiO2 (fused silica)
0.696749
0.408218
0.890815
0.0690660
0.115662
9.900559
86.5%SiO2 -13.5%GeO2
0.711040
0.451885
0.704048
0.0642700
0.129408
9.425478
GeO2
0.80686642
0.71815848
0.85416831
0.068972606
0.15396605
11.841931
Barium fluoride
0.63356
0.506762
3.8261
0.057789
0.109681
46.38642
Sapphire
1.023798
1.058264
5.280792
0.0614482
0.110700
17.92656
Diamond
0.3306
4.3356
0.175
0.106
Quartz, n 0
1.35400
0.010
0.9994
0.092612
10.700
9.8500
Quartz, n e
1.38100
0.0100
0.9992
0.093505
11.310
9.5280
KDP, n 0
1.2540
0.0100
0.0992
0.09646
6.9777
5.9848
KDP, n e
1.13000
0.0001
0.9999
0.09351
7.6710
12.170
Optical Properties of Electronic Materials: Fundamentals and Characterization
Cauchy’s dispersion relation, given in (3.13), was originally called the elastic-ether theory of the refractive index [3.5–7]. It has been widely used for many materials, although in recent years it has been largely replaced by the Sellmeier equation, which we consider next.
3.2.2 Sellmeier Dispersion Equation
n 2 = 1+
A1 λ2 A2 λ2 A3 λ2 + + +· · · , 2 2 2 2 λ − λ1 λ − λ2 λ2 − λ23
(3.14)
where λi is a constant and A1 , A2 , A3 , λ1 , λ2 and λ3 are called Sellmeier coefficients, which are determined by fitting this expression to the experimental data. The full Sellmeier formula has more terms of similar form, such as Ai λ2 /(λ2 − λi2 ), where i = 4, 5, . . . but these can generally be neglected when considering n versus λ behavior over typical wavelengths of interest and by ensuring that the three terms included in the Sellmeier equation correspond to the most important or relevant terms in the summation. Examples of Sellmeier coefficients for some materials, including pure silica (SiO2 ) and 86.5 mol.%SiO2 -13.5 mol.% GeO2 , are given in Table 3.2. Two methods are used to find the refractive index of silica-germania glass (SiO2 )1−x (GeO2 )x : (a) a simple, but approximate, linear interpolation of the refractive index between known compositions, for example n(x) − n(0.135) = (x − 0.135)[n(0.135) − n(0)]/0.135 for (SiO2 )1−x (GeO2 )x , so n(0.135) is used for 86.5 mol.%SiO2 -13.5 mol.% GeO2 and n(0) is used for SiO2 ; (b) an interpolation for the coefficients Ai and λi between SiO2 and GeO2 : n2 − 1 =
where X is the atomic fraction of germania, S and G in parentheses refer to silica and germania [3.10]. The theoretical basis of the Sellmeier equation is that the solid is represented as a sum of N lossless (frictionless) Lorentz oscillators such that each takes the form of λ2 /(λ2 − λi2 ) with different λi , and each has different strengths, with weighting factors (Ai , i = 1 to N) [3.11,12]. Knowledge of appropriate dispersion relationships is essential when designing photonic devices, such as waveguides. There are other dispersion relationships that inherently take account of various contributions to optical properties, such as the electronic and ionic polarization and the interactions of photons with free electrons. For example, for many semiconductors and ionic crystals, two useful dispersion relations are:
{A1 (S) + X[A1 (G) − A1 (S)]}λ2 λ2 − {λ1 (S) + X[λ1 (G) − λ1 (S)]}2 +··· , (3.15)
n2 = A +
Bλ2 Dλ2 + , λ2 − C λ2 − E
n2 = A +
B C 2 4 +
2 + Dλ + Eλ , 2 2 λ2 − λ20 λ − λ0
(3.16)
and
(3.17)
where A, B, C, D, E and λ0 are constants particular to a given material. Table 3.3 provides a few examples. The refractive index of a semiconductor material typically decreases with increasing bandgap energy E g . There are various empirical and semi-empirical rules and expressions that relate n to E g . In Moss’ rule, n and E g are related by n 4 E g = K = constant (≈ 100 eV). In the Hervé–Vandamme relationship [3.13], 2 A n2 = 1 + , (3.18) Eg + B where A and B are constants (A ≈ 13.6 eV and B ≈ 3.4 eV and dB/ dT ≈ 2.5 × 10−5 eV/K). The refractive index typically increases with increasing temperature. The temperature coefficient of the refractive index (TCRI) of a semiconductor can be found from the Hervé–Vandamme relationship [3.13]: 1 dn (n 2 − 1)3/2 dE g dB TCRI = · = + . n dT dT dT 13.6n 2 (3.19)
Table 3.3 Parameters from Eqs. (3.16) and (3.17) for some selected materials (Si data from [3.8]; others from [3.9]) Material Silicon MgO LiF AgCl
λ0 (µm) 0.167 0.11951 0.16733 0.21413
A 3.41983 2.95636 1.38761 4.00804
B(µm)2 0.159906 0.021958 0.001796 0.079009
C(µm)−4 –0.123109 0 − 4.1 × 10−3 0
D(µm)−2 1.269 × 10−6 − 1.0624 × 10−2 − 2.3045 × 10−3 − 8.5111 × 10−4
E(µm)−4 − 1.951 × 10−9 − 2.05 × 10−5 − 5.57 × 10−6 − 1.976 × 10−7
51
Part A 3.2
The dispersion relationship can be quite complicated in practice. An example of this is the Sellmeier equation, which is an empirical relation between the refractive index n of a substance and the wavelength λ of light in the form of a series of λ2 /(λ2 − λi2 ) terms, given by:
3.2 Refractive Index
52
Part A
Fundamental Properties
Table 3.4 Examples of parameters for the Wemple–DiDomenico dispersion relationship (3.23), for various materials
Part A 3.2
Material
Nc
Za
Ne
E0 (eV)
Ed (eV)
β(eV)
β
Comment
NaCl CsCl TlCl CaF2 CaO Al2 O3 LiNbO3 TiO2 ZnO ZnSe
6 8 8 8 6 6 6 6 4 4
1 1 1 1 2 2 2 2 2 2
8 8 10 8 8 8 8 8 8 8
10.3 10.6 5.8 15.7 9.9 13.4 6.65 5.24 6.4 5.54
13.6 17.1 20.6 15.9 22.6 27.5 25.9 25.7 17.1 27
0.28 0.27 0.26 0.25 0.24 0.29 0.27 0.27 0.27 0.42
βi βi βi βi βi βi βi βi βi βc
Halides, LiF, NaF, etc. CsBr, CsI, etc. TlBr BaF2 , etc. Oxides, MgO, TeO2 , etc.
GaAs
4
3
8
3.55
33.5
0.35
βc
Si (crystal)
4
4
8
4.0
44.4
0.35
βc
SiO2 (crystal) SiO2 (amorphous) CdSe
4 4 4
2 2 2
8 8 8
13.33 13.38 4.0
18.10 14.71 20.6
0.28 0.23 0.32
βi βi βi − βc
TCRI is typically in the range 10−6 to 10−4 .
3.2.3 Gladstone–Dale Formula The Gladstone–Dale formula is an empirical equation that allows the average refractive index n of an oxide glass to be calculated from its density ρ and its constituents as: n −1 pi ki = CGD , = p1 k1 + p2 k2 + · · · = ρ N
i=1
(3.20)
where the summation is for various oxide components (each a simple oxide), pi is the weight fraction of the i-th oxide in the compound, and ki is the refraction coefficient that represents the polarizability of the ith oxide. The right hand side of (3.20) is called the Gladstone–Dale coefficient CGD . In more general terms, as a mixture rule for the overall refractive index, the Gladstone–Dale formula is frequently written as: n − 1 n1 − 1 n2 − 1 w1 + w2 + · · · , = ρ ρ1 ρ2
(3.21)
where n and ρ are the effective refractive index and effective density of the whole mixture, n 1 , n 2 ,
II–VI, Zinc blende, ZnS, ZnTe, CdTe III–V, Zinc blende, GaP, etc. Diamond, covalent bonding; C (diamond), Ge, β-SiC etc. Average crystalline form Fused silica Wurtzite
. . . are the refractive indices of the constituents, and ρ1 , ρ2 , . . . represent the densities of each constituent. Gladstone–Dale equations for the polymorphs of SiO2 and TiO2 give the average n values as [3.14]: n(SiO2 ) = 1 + 0.21ρ
and n(TiO2 ) = 1 + 0.40ρ . (3.22)
3.2.4 Wemple–Di Dominico Dispersion Relation The Wemple–Di Dominico dispersion relation is a semiempirical single oscillator-based relationship used to find the refractive indices of a variety of materials for photon energies below the interband absorption edge, given by n2 = 1 +
E0 Ed 2 E 0 − (hν)2
,
(3.23)
where ν is the frequency, h is the Planck constant, E 0 is the single oscillator energy, E d is the dispersion energy, which is a measure of the average strength of interband optical transitions; E d = β Nc Z a Ne (eV), where Nc is the effective coordination number of the cation nearest-neighbor to the anion (Nc = 6 in NaCl, Nc = 4
Optical Properties of Electronic Materials: Fundamentals and Characterization
α ∝ [hν − (E g + ∆E F )]2 ,
(3.38)
where ∆E F is the energy depth of E F into the band measured from the band edge. Heavy doping of degenerate semiconductors normally leads to a phenomenon called bandgap narrowing and bandtailing. Bandtailing means that the band edges at E v and E c are no longer well defined cut-off energies, and there are electronic states above E v and below E c where the density of states falls sharply with energy away from the band edges. Consider a degenerate direct band gap p-type semiconductor. One can excite electrons from states below E F in the VB, where the band is nearly parabolic, to tail states below E c , where the density of states decreases exponentially with energy into the bandgap, away from E c . Such excitations lead to α depending exponentially on hν, a dependence that is called the Urbach rule [3.31, 32], given by: α = α0 exp[(hν − E 0 )/∆E]
(3.39)
where α0 and E 0 are material-dependent constants, and ∆E, called the Urbach width, is also a materialdependent constant. The Urbach rule was originally reported for alkali halides. It has been observed for many
59
ionic crystals, degenerately doped crystalline semiconductors, and almost all amorphous semiconductors. While exponential bandtailing can explain the observed Urbach tail of the absorption coefficient versus photon energy, it is also possible to attribute the absorption tail behavior to strong internal fields arising, for example, from ionized dopants or defects. Amorphous Solids In a defect-free crystalline semiconductor, a welldefined energy gap exists between the valence and conduction bands. In contrast, in an amorphous semiconductor, the distributions of conduction band and valence band electronic states do not terminate abruptly at the band edges. Instead, some electronic states called the tail states encroach into the gap region [3.33]. In addition to tail states, there are also other localized states deep within the gap region [3.34]. These localized tail states in amorphous semiconductors are contributed by defects. The defects in amorphous semiconductors are considered to be all cases of departure from the normal nearest-neighbor coordination (or normal valence requirement). Examples of defects are: broken and dangling bonds (typical for amorphous silicon), over- and under-coordinated atoms (such as “valence alternation pairs” in chalcogenide glasses), voids, pores, cracks and other macroscopic defects. Mobility edges exist, which separate these localized states from their extended counterparts; tail and deep defect states are localized [3.35–37]. These localized tail and deep defect states are responsible for many of the unique properties exhibited by amorphous semiconductors. Despite years of intensive investigation, the exact form of the distribution of electronic states associated with amorphous semiconductors remains a subject of some debate. While there are still some unresolved theoretical issues, there is general consensus that the tail states arise as a consequence of the disorder present within amorphous networks, and that the width of these tails reflects the amount of disorder present [3.38]. Experimental results (from, for example, [3.39, 40]) suggest exponential distributions for the valence and conduction band tail states in a-Si:H, although other possible functional forms [3.41] cannot be ruled out. Singh and Shimakawa [3.37] have derived separate effective masses of charge carriers in their extended and tail states. That means the density of states (DOS) of extended and tail states can be represented in two different parabolic forms. The relationship between the absorption coefficient and the distribution of electronic states for the case of a-Si:H may be found in [3.37, 42–44].
Part A 3.3
The above condition is normally interpreted as the joint density of states reaching a peak value at certain points in the Brillouin zone called van Hove singularities. Identification of peaks in K versus hν involves the examination of all E versus k curves of a given crystal that can participate in a direct transition. The silicon εr peaks at hν ≈ 3.5 eV and 4.3 eV correspond to (3.37) being satisfied at points L, along 111 in k-space, and X along 100 in k-space, at the edges of the Brillouin zone. In degenerate semiconductors, the Fermi level E F is in a band; for example, in the CB for a degenerate n-type semiconductor. Electrons in the VB can only be excited to states above E F in the CB rather than to the bottom of the CB. The absorption coefficient then depends on the free carrier concentration since the latter determines E F . Fundamental absorption is then said to depend on band filling, and there is an apparent shift in the absorption edge, called the Burstein–Moss shift. Furthermore, in degenerate indirect semiconductors, the indirect transition may involve a non-phonon scattering process, such as impurity or electron–electron scattering, which can change the electron’s wavevector k. Thus, in degenerate indirect bandgap semiconductors, absorption can occur without phonon assistance and the absorption coefficient becomes:
3.3 Optical Absorption
Optical Properties of Electronic Materials: Fundamentals and Characterization
the photon energy. In this case, (3.43) may be expressed as: (α ω)x ∝ ( ω − E 0 ) ,
(3.45)
m ∗ex ≈
EL me , 2(E 2 − E c )a1/3
(3.46)
m ∗et ≈
EL me , (E c − E ct )b1/3
(3.47)
and
where: EL =
2
me L 2
.
(3.48)
Here a = NN1 < 1, N1 is the number of atoms contributing to the extended states, b = NN2 < 1, N2 is the number of atoms contributing to the tail states, such that a + b = 1(N = N1 + N2 ), and m e is the free electron mass. The energy E 2 in (3.46) corresponds to the energy of the middle of the extended conduction states, at which the imaginary part of the dielectric constant becomes maximum (Fig. 3.10 ; see also Fig. 3.2).
Likewise, the effective masses of the hole m ∗hx and m ∗ht in the valence extended and tail states are obtained, respectively, as: m ∗hx ≈
EL me , 2(E v − E v2 )a1/3
(3.49)
m ∗ht ≈
EL me , (E vt − E v )b1/3
(3.50)
and
where E v2 and E vt are energies corresponding to the half-width of the valence extended states and the end of the valence tail states, respectively; see Fig. 3.10. Using (3.46) and (3.47) and the values of the parameters involved, different effective masses of an electron are obtained in the extended and tail states. Taking, for example, the density of weak bonds contributing to the tail states as 1 at. %, so b = 0.01 and a = 0.99, the effective masses and energies E L calculated for hydrogenated amorphous silicon (a-Si:H) and germanium (a-Ge:H) are given in Table 3.6. According to (3.46), (3.47), (3.49) and (3.50), for sp3 hybrid amorphous semiconductors such as a-Si:H and a-Ge:H, effective masses of the electron and hole are expected to be the same. In these semiconductors, since the conduction and valence bands are two equal halves of the same electronic band, their widths are the same and that gives equal effective masses for the electron and the hole [3.37, 55]. This is one of the reasons for using E ct = E vt = E c /2, which gives equal effective masses for electrons and holes in the tail states as well. This is different from crystalline solids where m ∗e and m ∗h are usually not the same. This difference between amorphous and crystalline solids is similar to, for example, having direct and indirect crystalline semiconductors but only direct amorphous semiconductors. Using the effective masses from Table 3.6 and (3.41), B can be calculated for a-Si:H and a-Ge:H. The values thus obtained with the refractive index n = 4 for a-Si:H and a-Ge:H are B = 6.0 × 106 cm−1 eV−1 for a-Si:H and B = 4.1 × 106 cm−1 eV−1 for a-Ge:H, which are an order of magnitude higher than those estimated from
Table 3.6 Effective mass of electrons in the extended and tail states of a-Si:H and a-Ge:H calculated using Eqs. (3.46) and (3.47) for a = 0.99, b = 0.01 and E ct = E vt = E c /2. E L is calculated from (3.48). All energies are given in eV. Note that since the absorption coefficient is measured in cm−1 , the value used for the speed of light is in cm/s (a [3.51]; b [3.52]; c [3.33]; d [3.53]) a-Si:H a-Ge:H
61
L(nm)
E2
Ec
EL
Ec − Ect
m∗ex
m∗et
0.235a
3.6b
1.80c
0.245a
3.6
1.05d
1.23 1.14
0.9 0.53
0.34m e 0.22m e
6.3m e 10.0m e
Part A 3.3
where x ≤ 1/2. Thus, in a way, any deviation from the square root or Tauc’s plot may be attributed to the energy-dependent matrix element [3.37, 46]. Another possible explanation has been recently discussed by Shimakawa and coworkers on the base of fractal theory [3.54]. Another problem is how to determine the constants B (3.41) and B (3.44), which involve the effective masses of an electron and a hole. In other words, how do we determine the effective masses of charge carriers in amorphous solids? Recently, a simple approach [3.37, 46] has been developed to calculate the effective masses of charge carriers in amorphous solids. Different effective masses of charge carriers are obtained in the extended and tail states. The approach applies the concepts of tunneling and effective medium, and one obtains the effective mass of an electron in the conduction extended states, denoted by m ∗ex , and in the tail states, denoted by m ∗et , as:
3.3 Optical Absorption
Optical Properties of Electronic Materials: Fundamentals and Characterization
Excitons in Amorphous Semiconductors The concept of excitons is traditionally valid only for crystalline solids. However, several observations in the photoluminescence spectra of amorphous semiconductors have revealed the occurrence of photoluminescence associated with singlet and triplet excitons [3.37]. Applying the effective mass approach, a theory for the Wannier–Mott excitons in amorphous semiconductors has recently been developed in real coordinate space [3.37, 46, 55, 61]. The energy of an exciton thus
65
derived is obtained as: Wx = E 0 +
P2 − E n (S) , 2M
(3.56)
where P is the linear momentum associated with the exciton’s center of motion and E n (S) is the binding energy of the exciton, given by E n (S) =
µx e4 κ 2 , 2 2 ε (S)2 n 2
(3.57)
where
(1 − S) −1 ε (S) = ε 1 − , A
(3.58)
where S is the spin (S being = 0 for singlet and = 1 for triplet) of an exciton and A is a material-dependent constant representing the ratio of the magnitude of the Coulomb and exchange interactions between the electron and the hole of an exciton. Equation (3.57) is analogous to (3.53) obtained for excitons in crystalline solids for S = 1. This is because (3.53) is derived within the large-radii orbital approximation, which neglects the exchange interaction and hence is valid only for triplet excitons [3.59,62]. As amorphous solids lack long-range order, the exciton binding energy is found to be larger in amorphous solids than in their crystalline counterparts; for example, the binding energy is higher in hydrogenated amorphous silicon (a-Si:H) than in crystalline silicon (c-Si). This is the reason that it is possible to observe both singlet and triplet excitons in a-Si:H [3.63] but not in c-Si. Excitonic Absorption Since exciton states lie below the edge of the conduction band in a crystalline solid, absorption to excitonic states is observed below this edge. According to (3.53), the difference in energy in the bandgap and the excitonic absorption gives the binding energy. As the exciton–photon interaction operator and excited electron and hole pair and photon interaction operator depend only on their relative motion, the these interactions take the same form for band-to-band and excitonic absorption. Therefore, to calculate the excitonic absorption coefficient, one can use the same form of interaction as that used for bandto-band absorption, but one must use the joint density of states. Using the joint density of states, the absorption coefficient associated with the excitonic states in crystalline semiconductors is obtained as ([3.37]):
α ω = A x ( ω − E x )1/2
(3.59)
Part A 3.3
ωLO is the longitudinal optical phonon energy (≈ 36 meV). At high carrier concentrations (provided either by electrical pumping or by optical injection), the screening of the Coulomb attractive potential by free electrons and holes provides an efficient mechanism for saturating the excitonic line. The above discussion refers to so-called free excitons formed between conduction band electrons and valence band holes. According to (3.52), such an excitation is able to move throughout a material with a given center-of-mass kinetic energy (second term on the right hand side). It should be noted, however, that free electrons and holes move with a velocity (dE/ dk) where the derivative is taken for the appropriate band edge. To move through a crystal, both the electron and the hole must have identical translational velocities, restricting the regions in kspace where these excitations can occur to those with (dE/ dk)electron = (dE/ dk)hole , commonly referred to as critical points. A number of more complex pairings of carriers can also occur, which may also include fixed charges or ions. For example, for the case of three charged entities with one being an ionized donor impurity (D+), the following possibilities can occur: (D+)(+)(−), (D+)(−)(−) and (+)(+)(−) as excitonic ions, and (+)(+)(−)(−) and (D+)(+)(−)(−) as biexcitons or even bigger excitonic molecules (see [3.60]). Complexity abounds in these systems, as each electronic level possesses a fine structure corresponding to allowed rotational and vibrational levels. Moreover, the effective mass is often anisotropic. Note that when the exciton or exciton complex is bound to a fixed charge, such as an ionized donor or acceptor center in the material, the exciton or exciton complex is referred to as a bound exciton. Indeed, bound excitons may also involve neutral fixed impurities. It is usual to relate the exciton in these cases to the center binding them; thus, if an exciton is bound to a donor impurity, it is usually termed a donor-bound exciton.
3.3 Optical Absorption
66
Part A
Fundamental Properties
Part A 3.3
√ √ with the constant A x = 4 2 e2 | pxv |2 /nc µx 2 , where pxv is the transition matrix element between the valence and excitonic bands. Equation (3.59) is similar to that seen for direct band-to-band transitions, discussed above ((3.60)), and is only valid for the photon energies ω ≥ E x . There is no absorption below the excitonic ground state in pure crystalline solids. Absorption of photons to excitonic energy levels is possible through either the excitation of electrons to higher energy levels in the conduction band and then nonradiative relaxation to the excitonic energy level, or through the excitation of an electron directly to the exciton energy level. Excitonic absorption occurs in both direct and indirect semiconductors. In amorphous semiconductors, the excitonic absorption and photoluminescence can be quite complicated. According to (3.56), the excitonic energy level is below the optical band gap by an energy equal to the binding energy given in (3.57). However, there are four transition possibilities: (i) extended valence to extended conduction states, (ii) valence to extended conduction states, (iii) valence extended to conduction tail states, and (iv) valence tail to conduction tail states. These possibilities will have different optical gap energies, E 0 , and different binding energies. Transition (i) will give rise to absorption in the free exciton states, transitions (ii) and (iii) will give absorption in the bound exciton states, because one of the charge carriers is localized in the tail states, and absorption through transition (iv) will create localized excitons, which are also called geminate pairs. This can be visualized as follows: if an electron–hole pair is excited by a high-energy photon through transition (i) and forms an exciton, initially its excitonic energy level and the corresponding Bohr radius will have a reduced mass corresponding to both charge carriers being in extended states. As such an exciton relaxes downward nonradiatively, its binding energy and excitonic Bohr radius will change because its effective mass changes in the tail states. When both charge carriers reach the tail
Fig. 3.14 Energy level diagram of the low-lying 4f N states
of trivalent ions doped in LaCl3 . After [3.64–66]. The pendant semicircles indicate fluorescent levels
states (transition (iv)), their excitonic Bohr radius will be maintained although they are localized. The excitonic absorption coefficient in amorphous semiconductors can be calculated using the same approach as presented in Sect. 3.3.3, and similar expressions to (3.40) and (3.43) are obtained. This is because the concept of the joint density of states is not applicable in amorphous solids. Therefore, by replacing the effective masses of the charge carriers by the excitonic reduced mass and the distance between the excited electron and hole by the excitonic Bohr radius, one can use (3.40) and (3.43) to calculate the excitonic absorption coefficients for the four possible transitions above in amorphous semiconductors. However, such a detailed calculation of the excitonic transitions in amorphous semiconductors is yet to be performed.
3.3.5 Impurity Absorption Impurity absorption can be observed as the absorption coefficient peaks lying below fundamental (band-toband) and excitonic absorption. It is usually related to the presence of ionized impurities or, simply, ions. The peaks occur due to electronic transitions between ionic electronic states and the conduction/valence band or due to intra-ionic transitions (within d or f shells, between s and d shells, and so on). The first case leads to intense and broad lines, while the characteristics of the features arising from the latter case depend on whether or not these transitions are allowed by parity selection rules. For allowed transitions, the absorption peaks are quite intense and broad, while forbidden transitions produce weak and narrow peaks. General reviews of this topic may be found in Blasse and Grabmaier [3.67], Hen-
Table 3.8 Occupation of outer electronic shells for rare earth elements 57 58 59 60 ... 68 ... 70 71
La Ce Pr Nd
4s2 4s2 4s2 4s2
4p2 4p2 4p2 4p2
4d10 4d10 4d10 4d10
– 4f 4f 4f
Er
4s2
4p2
4d10
4f
Yb Lu
4s2 4s2
4p2 4p2
4d10 4d10
4f 4f
5s2 5s2 5s2 5s2
5p6 5p6 5p6 5p6
5d1 5d1 – –
6s2 6s2 6s2 6s2
12
5s2
5p6
–
6s2
14
5s2 5s2
5p6 5p6
– 5d1
6s2 6s2
1 3 4
14
68
Part A
Fundamental Properties
derson and Imbusch [3.65] and DiBartolo [3.68]. In the following section, we concentrate primarily on the properties of rare earth ions, which are of great importance in modern optoelectronics.
Part A 3.3
Optical Absorption of Trivalent Rare Earth Ions: Judd–Ofelt Analysis Rare earths (REs) is the common name used for the elements from Lanthanum (La) to Lutetium (Lu). They have atomic numbers of 57 to 71 and form a separate group in Periodic Table. The most notable feature of these elements is an incompletely filled 4f shell. The electronic configurations of REs are listed in Table 3.8. The RE may be embedded in different host materials in the form of divalent or trivalent ions. As divalent ions, REs exhibit broad absorption–emission lines related to allowed 4f→5d transitions. In trivalent form, REs lose two 6 s electrons and one 4f or 5d electron. The Coulomb interaction of a 4f electron with a positively charged core means that the 4f level gets split into complicated set of manifolds with energies, to a first approximation, that are virtually independent of the host matrix because the 4f level is well screened from external influences by the 5s and 5p shells [3.69]. The Fig. 3.14 shows an energy level diagram for the low-lying 4f N states of the trivalent ions embedded in LaCl3 . To a second approximation, the exact construction and precise energies of the manifolds depend on the host material, via crystal field and via covalent interactions with the ligands surrounding the RE ion. A ligand is an atom (or molecule or radical or ion) with one or more unshared pairs of electrons that can attach to a central metallic ion (or atom) to form a coordination complex. Examples of ligands include ions (F− , Cl− , Br− , I− , S2− , CN− , NCS− , OH− , NH− 2 ) and molecules (NH3 , H2 O, NO, CO) that donate a pair of electrons to a metal atom or ion. Some ligands that share electrons with metals form very stable complexes. Optical transitions between 4f manifold levels are forbidden by a parity selection rule which states that the wavefunctions of the initial and final states of an atomic (ionic) transition must have different parities for it to be permitted. Parity is a property of any function (or quantum mechanical state) that describes the function after mirror reflection. Even functions (states) are symmetric (identical after reflection, for example a cosine function), while odd functions (states) are antisymmetric (for example a sine function). The parity selection rule may be partially removed for an ion (or atom) embedded in host material due to the action of the crystal field, which gives rise to “forbidden lines”. The crystal
field is the electric field created by a host material at the position of the ion. The parity selection rule is slightly removed by the admixture of 5d states with 4f states and by the disturbed RE ion symmetry due to the influence of the host, which increases with the covalency. Higher covalency implies stronger sharing of electrons between the RE ion and the ligands. This effect is known as the nephelauxetic effect. The resulting absorption–emission lines are characteristic of individual RE ions and quite narrow because they are related to forbidden inner shell 4f transitions. Judd–Ofelt (JO) analysis allows the oscillator strength of an electric dipole (ED) transition between two states of a trivalent rare earth (RE) ion embedded in a particular host lattice to be calculated. The possible states of an RE ion are often referred to as 2S+1 L J , where L = 0, 1, 2, 3, 4, 5, 6 . . . determines the electron’s total angular momentum, and is conventionally represented by the letters S, P, D, F, G, I. The term (2S + 1) is called the spin multiplicity and represents the number of spin configurations, while J is the total angular momentum, which is the vector sum of the overall (total) angular momentum and the overall spin (J = L + S). The value (2J + 1) is called the multiplicity and corresponds to the number of possible combinations of overall angular momentum and overall spin that yield the same J. Thus, the notation 4 I15/2 for the ground state of Er3+ corresponds to the term (J, L, S) = (15/2, 6, 3/2), which has a multiplicity of 2J + 1 = 16 and a spin multiplicity of 2S + 1 = 4. If the wavefunctions |ψi and |ψ f corre spond to the initial (2S+1 L J ) and final (2S +1 L J ) states of an electric dipole transition of an RE ion, the line strength of this transition, according to JO theory, can be calculated using: 2 Sed = ψ f |Hed | ψi 2 = Ωk f γN S L J U (k) f γN SL J , k=2,4,6
(3.60)
where Hed is the ED interaction Hamiltonian, Ωk are coefficients reflecting the influence of the host material, and U (k) are reduced tensor operator components, which are virtually independent of the host material, and their values are calculated using the so-called intermediate coupling approximation (see [3.70]). The theoretical values of Sed calculated from this are compared with the values derived from experimental data using 3hcn 2J + 1 α(λ) Sexp = (3.61) dλ , ρ 8π 3 e2 λ χed band
Optical Properties of Electronic Materials: Fundamentals and Characterization
the wavelength λ of the light raised to some power, typically 2–3. In the confined Stark effect, the applied electric field modifies the energy levels in a quantum well. The energy levels are reduced by the field by an amount proportional to the square of the applied field. A multiple quantum well (MQW) pin-type device has MQWs in its intrinsic layer. Without any applied bias, light with photon energy just less than the QW exciton excitation energy will not be significantly absorbed. When a field is applied, the energy levels are lowered and the incident photon energy is then sufficient to excite an electron and hole pair in the QWs. The relative transmission decreases with the reverse bias Vr applied to the pin device. Such MQW pin devices are usually not very useful in the transmission mode because the substrate material often absorbs the light (for example a GaAs/AlGaAs MQW pin would be grown on a GaAs substrate, which would absorb the radiation that excites the QWs). Thus, a reflector would be needed to reflect the light back before it reaches the substrate; such devices have indeed been fabricated.
3.3.6 Effects of External Fields Electroabsorption and the Franz–Keldysh Effect Electroabsorption is the absorption of light in a device where the absorption is induced by an applied (or changing) electric field within the device. Such a device is an electroabsorption modulator. There are three fundamental types of electroabsorption processes. In the Franz–Keldysh process, a strong applied field modifies the photon-assisted probability of an electron tunneling from the valence band to the conduction band, and thus it corresponds to an effective reduction in the “bandgap energy”, inducing the absorption of light with photon energies of slightly less than the bandgap. It was first observed for CdS, in which the absorption edge was observed to shift to lower energies with the applied field; that is, photon absorption shifts to longer wavelengths with the applied field. The effect is normally quite small but is nonetheless observable. In this type of electroabsorption modulation, the wavelength is typically chosen to be slightly smaller than the bandgap wavelength so that absorption is negligible. When a field is applied, the absorption is enhanced by the Franz–Keldysh effect. In free carrier absorption, the concentration of free carriers N in a given band is changed (modulated), for example, by an applied voltage, changing the extent of photon absorption. The absorption coefficient is proportional to N and to
The Faraday Effect The Faraday effect, originally observed by Michael Faraday in 1845, is the rotation of the plane of polarization of a light wave as it propagates through a medium subjected to a magnetic field parallel to the direction of propagation of the light. When an optically inactive material such as glass is placed in a strong magnetic field and plane-polarized light is sent along the direction of the magnetic field, the emerging light’s plane of polarization is rotated. The magnetic field can be applied, for example, by inserting the material into the core of a magnetic coil – a solenoid. The specific rotatory power induced, given by θ/L, has been found to be proportional to the magnitude of the applied magnetic field B, which gives the amount of rotation as:
θ = ϑ BL ,
(3.62)
where L is the length of the medium, and ϑ is the socalled Verdet constant, which depends on the material and the wavelength of the light. The Faraday effect is typically small. For example, a magnetic field of ≈ 0.1 T causes a rotation of about 1◦ through a glass rod of length 20 mm. It appears that “optical activity” is induced by the application of a strong magnetic field to an otherwise optically inactive material. There is, however, an important distinction between natural optical activity and the Faraday effect. The sense of rotation θ observed in the
69
Part A 3.3
where λ is the mean wavelength of the transition, h is the Plank constant, c is the speed of light, e is the elementary electronic charge, α(λ) is the absorption coefficient, ρ is the RE ion concentration, n is the refraction index and the factor χed = (n 2 + 2)2 /9 is the so-called local field correction. The key idea of JO analysis is to minimize the discrepancy between experimental and calculated values of line strength, Sed and Sexp , by choosing the coefficients Ωk , which are used to characterize and compare materials, appropriately. The complete analysis should also include the magnetic dipole transistions [3.71]. The value of Ω2 is of prime importance because it is the most sensitive to the local structure and material composition and is correlated with the degree of covalence. The values of Ωk are used to calculate radiative transition probabilities and appropriate radiative lifetimes of excited states, which are very useful for numerous optical applications. More detailed analysis may be found in, for example, [3.71]. Ωk values for different ions and host materials can be found in Gschneidner, Jr. and Eyring [3.72].
3.3 Optical Absorption
Optical Properties of Electronic Materials: Fundamentals and Characterization
n 2 − k2 = sin2 (φ)[1 + { tan2 (φ) × [cos2 (2Ψ ) − sin2 (2Ψ ) sin2 (∆)] /[1 + sin(2Ψ ) cos(∆)]2 }]
(3.80a)
and 2nk = sin2 (φ) tan2 (φ) sin(4Ψ ) sin(∆) /[1 + sin(2Ψ ) cos(∆)]2 .
(3.80b)
Since the angle φ is set in the experiment, the two parameters measured from the experiment (Ψ and ∆) can be used to deduce the two remaining unknown variables in the equation above – namely, n and k. For a given model (a given set of equations used to describe the sample), the mean squared error between the model and the measured Ψ and ∆ values is minimized, typically using the Marquardt–Levenberg algorithm, in order to quickly determine the minimum or best fit within some predetermined confidence limits. Thus, the n and k values are established using this procedure. In practice, ellipsometers consist of a source of linearly polarized light, polarization optics, and a detector. There are a number
of different approaches to conducting an ellipsometry experiment, including null ellipsometers, rotating analyzer/compensator ellipsometers, and spectroscopic ellipsometers. Each of these approaches is discussed briefly below. Historically, the first ellipsometers that were developed were null ellipsometers. In this configuration, the orientation of the polarizer, compensator and analyzer are adjusted such that the light incident on the detector is extinguished or “nulled”. It should be noted that there are 32 combinations of polarizer, compensator and analyzer angles that can result in a given pair of Ψ and ∆ values. However, because any two angles of the polarizer, compensator and analyzer that are 180◦ apart are optically equivalent, only 16 combinations of angles need to be considered if all angles are restricted to values below 180◦ . However, even when automated, this approach is thus inherently slow and spectroscopic measurements are difficult to make. However, this configuration can be very accurate and has low systematic errors. In order to speed up measurements, rotating analyzer/polarizer ellipsometers were developed. In these systems, either the analyzer or polarizer is continuously rotated at a constant angular velocity (typically about 100 Hz) about the optical axis. The operating characteristics of both of these configurations are similar. However, the rotating polarizer system requires the light source to be totally unpolarized. Any residual polarization in the source results in a source of measurement error unless corrected. Similarly, a rotating analyzer system is susceptible to the polarization sensitivity of the detector. However, solid state semiconductor photodetectors have extremely high polarization sensitivities. Thus, commercial systems tend to use rotating analyzer systems where residual polarization in the source is not an issue. In this case, the (sinusoidal) variation in the amplitude of the detector signal can be directly related to the ellipticity of the reflected light – Fourier analysis of this output provides values for Ψ and ∆. Such systems can provide high-speed, accurate measurements and the lack of a compensator actually improves the measurement by eliminating any errors associated with these components. Spectroscopic ellipsometers extend the concepts developed for measurement at a single wavelength to measurements at multiple wavelengths – typically as many as 40 wavelengths. Being able to measure the dispersion in optical constants with wavelength adds another dimension to the analysis, permitting unambiguous determinations of material and structure parameters.
73
Part A 3.4
where ρ is expressed in terms of the so-called ellipsometric angles Ψ (0◦ ≤ Ψ ≤ 90◦ ) and ∆ (0◦ ≤ ∆ ≤ 360◦ ). These angles are defined as Ψ = tan−1 |ρ| and the differential phase change, ∆ = ∆ p − ∆s . Thus, ellipsometry measures a change in the polarization, expressed as Ψ and ∆, in order to characterize materials. Because ellipsometry measures the ratio of two values, it can be made to be highly accurate and reproducible. The ratio ρ is a complex number; it contains the “phase” information ∆, which makes the measurement very sensitive. However, establishing values for Ψ and ∆ is not particularly useful in itself for sample characterization. What one really wants to determine are the parameters of the sample, including, for example, the film thickness, optical constants, and the refractive index. These characteristics can be found by using the measured values of Ψ and ∆ in an appropriate model describing the interaction of light with the sample. As an example, consider light reflected off an optically absorbing sample in air (in other words, with a refractive index of unity). The sample can be characterized by a complex refractive index n − ik, where n and k are the sample’s refractive index and extinction coefficients at a particular wavelength. From Fresnel’s equations, assuming that the light is incident at an angle φ to the sample normal, one gets
3.4 Thin Film Optics
Optical Properties of Electronic Materials: Fundamentals and Characterization
3.5 Optical Materials
75
Table 3.11 The refractive indices, n d , and Abbe numbers, vd , (3.81) of selected optical materials (compiled from the websites of
Oriel, Newport and Melles-Griot); n d at λ d = 587.6 nm, αL is the linear thermal expansion coefficient Transmission (typical, nm)
nd
υd
Applications
Comment
Fused silica
175–2000
1.45846
67.8
SF 11, flint LaSFN9, flint
380–2350 420–2300
1.78472 1.85025
25.76 32.17
Lenses, windows, prisms, interferometric FT-IR components. UV lithography Lenses, prisms Lenses, prisms
BK7, borosilicate crown
380–2100
1.51680
64.17
Visible and near IR optics. Lenses, windows, prisms, interferometric components
BaK1, barium crown
380–2100
1.57250
57.55
Visible and near IR optics. Lenses, windows, prisms, interferometric components
Optical crown
380–2100
1.52288
58.5
1.43385
66
Lenses, windows, prisms, interferometric components Mirrors
Synthetic. Has UV properties; transmittance and excellent thermal low αL . Resistant to scratching Flint glasses have vd < 50 High refractive index. More lens power for less curvature All around excellent optical lens material. Not recommended for temperature-sensitive applications All around excellent optical lens material. Not recommended for temperature-sensitive applications Lower quality than BK7
94.96
Pyrex, borosilicate glass Crystals CaF2 crystal
170–7000
1.43385
MgF2 crystal
150–7000
n 0 = 1.37774 n e = 1.38956
Quartz, SiO2 crystal Sapphire, Al2 O3 crystal
150–2500
n 0 = 1.54431 n e = 1.55343 1.7708 (546.1 nm)
Auxiliary optical materials ULE SiO2 -TiO2 glass Zerodur, glass ceramic composite
150–6000
Lenses, windows for UV optics, especially for excimer laser optics Lenses, windows, polarizers, UV transmittance UV optics. Wave plates. Polarizers UV-Far IR windows, high power laser optics
Optical spacers 1.5424
56–66
Mirror substrates. Not suitable for transmission optics due to internal scattering
Low thermal expansion
Sensitive to thermal shock
Positive birefringent crystal. Resistant to thermal and mechanical shock Positive uniaxial birefringent crystal High surface hardness, Scratch resistant. Chemically inert
Very small thermal expansion Ultra-low αL . Fine mixture of glass and ceramic crystals (very small size)
Part A 3.5
Glasses
76
Part A
Fundamental Properties
Part A 3
ufacturability at an affordable cost. There are various useful optical materials which encompass not only single crystals (such as CaF2 , MgF2 , quartz, sapphire) but also a vast range of glasses (which are supercooled liquids with high viscosity, such as flint and crown glasses as well as fused silica). Higher refractive index materials have more refractive power and allow lens designs that need less curvature to focus light, and hence tend to give fewer aberrations. Flint glasses have a larger refractive index than crown glasses. On the other hand, crown glasses are chemically more stable, and can be produced more to specification. While most optical materials are used for their optical properties (such as in optical transmission), certain “optical” materials (auxilary materials) are used in optical applications such as mirror substrates and optical spacers for their nonoptical properties, such as their negligible thermal expansion coefficients. Some optical properties of selected optical materials and their applications are listed in Table 3.11.
3.5.3 Optical Glasses Optical glasses are a range of noncrystalline transparent solids used to fabricate various optical components, such as lenses, prisms, light pipes and windows. Most (but not all) optical glasses are either crown (K) types or flint (F) types. K-glasses are usually soda-lime-silica glasses, whereas flint glasses contain substantial lead oxide; hence F-glasses are denser and have higher refractive powers and dispersions. Barium glasses contain barium oxide instead of lead oxide and, like lead glasses, have high refractive indices, but lower dispersions. There are
other high refractive index glasses, such as lanthanumand rare earth-containing glasses. Optical glasses can also be made from various other glass formers, such as boron oxide, phosphorus oxide and germanium oxide. The Schott glass code or number is a special number designation (511 604.253 for Schott glass K7) in which the first three numbers (511) represent the three decimal places in the refractive index (n d = 1.511), the next three numbers (604) represent the Abbe number (νd = 60.4), and the three numbers after the decimal (253) represent the density (ρ = 2.53 g/cm3 ). A different numbering system is also used, where a colon is used to separate n d and νd ; for example, 517:645 for a particular borosilicate crown means n d = 1.517, νd = 64.5 (see also Sect. 3.5.1). In the Schott glass coding system, optical glasses are represented by letters in which a last letter of K refers to crown, and F to flint. The first letters usually represent the most important component in the glass, such as P in the case of phosphate. The letters Kz (“Kurtz”), L (“leicht”) and S (“schwer”) before K or F represent short, light and dense (heavy) respectively (from German). S after K or F means “special”. Examples include: BK, borosilicate crown; FK, fluor crown; PK, phosphate crown; PSK, dense phosphate crown; BaLK, light barium crown; BaK, barium crown; BaSK, dense barium crown; SSK, extra dense barium crown; ZnK, zinc crown; LaK, lanthanum crown, LaSK, dense lanthanum crown; KF, crown flint; SF, dense flint; SFS, special dense flint; BaF, barium flint; BaLF, barium light flint; BaSF, dense barium flint; LLF, extra light flint; LaF, lanthanum flint.
References 3.1 3.2 3.3 3.4 3.5 3.6 3.7 3.8 3.9
S. Adachi: Properties of Group IV, III–V and II–VI Semiconductors (Wiley, Chichester, UK 2005) M. Fox: Optical Properties of Solids (Oxford Univ. Press, Oxford 2001) J. H. Simmons, K. S. Potter: Optical Materials (Academic, San Diego 2000) D. E. Aspnes, A. A. Studna: Phys. Rev B27, 985 (1983) A. L. Cauchy: Bull. Sci. Math. 14, 6 (1830) A. L. Cauchy: M’emoire sur la Dispersion de la Lumiere (Calve, Prague 1836) D. Y. Smith, M. Inokuti, W. Karstens: J. Phys.: Condens. Mat. 13, 3883 (2001) D. F. Edwards, E. Ochoa: Appl. Opt. 19, 4130 (1980) W. L. Wolfe: The Handbook of Optics, ed. by W. G. Driscoll, W. Vaughan (McGraw-Hill, New York 1978)
3.10 3.11
3.12 3.13 3.14 3.15 3.16 3.17 3.18 3.19 3.20 3.21
J. W. Fleming: Appl. Opt. 23, 4486 (1984) K. L. Wolf, K. F. Herzfeld, H. Geiger, K. Scheel (eds.): Handbuch der Physik, Vol. 20 (Springer, Berlin, Heidelberg 1928) M. Herzberger: Opt. Acta 6, 197 (1959) P. J. Herve, L. K. J. Vandamme: J. Appl. Phys. 77, 5476 (1995) D. Dale, F. Gladstone: Philos. Trans. 153, 317 (1863) S. H. Wemple, M. DiDominico Jr.: Phys. Rev. 3, 1338 (1971) W. J. Turner, W. E. Reese: Phys. Rev. 127, 126 (1962) W. G. Spitzer, H. Y. Fan: Phys. Rev. 106, 882 (1957) J. D. Wiley, M. DiDomenico: Phys. Rev. B1, 1655 (1970) H. R. Riedl: Phys. Rev. 127, 162 (1962) R. L. Weihler: Phys. Rev. 152, 735 (1966) E. Hagen, H. Rubens: Ann. Phys. 14, 986 (1904)
Optical Properties of Electronic Materials: Fundamentals and Characterization
3.22
3.23 3.24
3.25 3.26 3.27 3.28
3.31 3.32 3.33 3.34 3.35 3.36 3.37
3.38 3.39 3.40 3.41
3.42 3.43 3.44 3.45 3.46 3.47 3.48
3.49
3.50 3.51 3.52
3.53 3.54
3.55 3.56 3.57 3.58 3.59 3.60 3.61 3.62 3.63
3.64
3.65 3.66 3.67 3.68 3.69 3.70 3.71 3.72
3.73
A. P. Sokolov, A. P. Shebanin, O. A. Golikova, M. M. Mezdrogina: J. Phys. Conden. Mat. 3, 9887 (1991) G. D. Cody: Semicond. Semimet. 21, 11 (1984) K. Morigaki: Physics of Amorphous Semiconductors (World Scientific, London 1999) L. Ley: The Physics of Hydrogenated Amorphous Silicon II, ed. by J. D. Joannopoulos, G. Lukovsky (Springer, Berlin, Heidelberg 1984) p. 61 T. Aoki, H. Shimada, N. Hirao, N. Yoshida, K. Shimakawa, S. R. Elliott: Phys. Rev. 59, 1579 (1999) K. Shimakawa, Y. Ikeda, S. Kugler: Non-Crystalline Materials for Optoelectronics, Optoelectronic Materials and Devices, Vol. 1 (INOE Publ., Bucharest 2004) Chap. 5, pp. 103–130 J. Singh: J. Mater. Sci. Mater. El. 14, 171 (2003) S. M. Malik, S. K. O’Leary: J. Mater. Sci. Mater. El. 16, 177 (2005) S. Abe, Y. Toyozawa: J. Phys. Soc. Jpn. 50, 2185 (1981) A. O. Kodolbas: Mater. Sci. Eng. 98, 161 (2003) J. Singh: Excitation Energy Transfer Processes in Condensed Matter (Plenum, New York 1994) J. Singh: Nonlin. Opt. 18, 171 (1997) J. Singh, T. Aoki, K. Shimakawa: Philos. Mag. 82, 855 (2002) R. J. Elliott: Polarons and Excitons, ed. by K. G. Kuper, G. D. Whitfield (Oliver Boyd, London 1962) p. 269 T. Aoki, S. Komedoori, S. Kobayashi, T. Shimizu, A. Ganjoo, K. Shimakawa: Nonlin. Opt. 29, 273 (2002) P. C. Becker, N. A. Olsson, J. R. Simpson: ErbiumDoped Fiber Amplifiers. Fundamentals and Technology (Academic, New York 1999) B. Henderson, G. F. Imbusch: Optical Spectroscopy of Inorganic Solids (Clarendon, Oxford 1989) S. Hüfner: Optical Spectra of Rare Earth Compounds (Academic, New York 1978) G. Blasse, B. C. Grabmaier: Luminescent Materials (Springer, Berlin, Heidelberg 1994) B. DiBartolo: Optical Interactions in Solids (Wiley, New York 1968) W. T. Carnall, G. L. Goodman, K. Rajnak, R. S. Rana: J. Chem. Phys. 90, 3443 (1989) M. J. Weber: Phys. Rev. 157, 262 (1967) E. Desurvire: Erbium-Doped Fibre Amplifiers (Wiley, New York 1994) K. A. Gschneidner, Jr., LeRoy, Eyring (Eds.): Handbook on the Physics and Chemistry of Rare Earths, Vol. 25 (Elsevier, Amsterdam 1998) R. Swanepoel: J. Phys. E 17, 896 (1984)
77
Part A 3
3.29 3.30
R. J. Elliott, A. F. Gibson: An Introduction to Solid State Physics and Its Applications (Macmillan, London 1974) H. B. Briggs, R. C. Fletcher: Phys. Rev. 91, 1342 (1953) C. R. Pidgeon: Optical Properties of Solids. In: Handbook on Semiconductors, Vol. 2, ed. by M. Balkanski (North Holland, Amsterdam 1980) Chap. 5, pp. 223– 328 H. E. Ruda: J. Appl. Phys. 72, 1648 (1992) H. E. Ruda: J. Appl. Phys. 61, 3035 (1987) W. Kaiser, R. J. Collins, H. Y. Fan: Phys. Rev. 91, 1380 (1953) I. Kudman, T. Seidel: J. Appl. Phys. 33, 771 (1962) A. E. Rakhshani: J. Appl. Phys. 81, 7988 (1997) R. H. Bube: Electronic Properties of Crystalline Solids (Academic, San Diego 1974) F. Urbach: Phys. Rev. 92, 1324 (1953) J. Pankove: Phys. Rev. 140, 2059 (1965) R. A. Street: Hydrogenated Amorphous Silicon (Cambridge Univ. Press, Cambridge 1991) D. A. Papaconstantopoulos, E. N. Economou: Phys. Rev. 24, 7233 (1981) M. H. Cohen, Fritzsche, S.R. Ovshinsky: Phys. Rev. Lett. 22, 1065 (1969) N. F. Mott, E. A. Davis: Electronic Processes in NonCrystalline Materials (Clarendon, Oxford 1979) J. Singh, K. Shimakawa: Advances in Amorphous Semiconductors (Taylor & Francis, London 2003) S. Sherman, S. Wagner, R. A. Gottscho: Appl. Phys. Lett. 69, 3242 (1996) T. Tiedje, J. M. Cebulla, D. L. Morel, B. Abeles: Phys. Rev. Lett. 46, 1425 (1981) K. Winer, L. Ley: Phys. Rev. 36, 6072 (1987) D. P. Webb, X. C. Zou, Y. C. Chan, Y. W. Lam, S. H. Lin, X. Y. Lin, K. X. Lin, S. K. O’Leary, P. K. Lim: Sol. State Commun. 105, 239 (1998) W. B. Jackson, S. M. Kelso, C. C. Tsai, J. W. Allen, S.H. Oh: Phys. Rev. 31, 5187 (1985) S. K. O’Leary, S. R. Johnson, P. K. Lim: J. Appl. Phys. 82, 3334 (1997) S. M. Malik, S. K. O’Leary: J. Non-Cryst. Sol. 336, 64 (2004) S. R. Elliott: The Physics and Chemistry of Solids (Wiley, Sussex 1998) J. Singh: Nonlin. Opt. 29, 119 (2002) J. Tauc: Phys. Stat. Solidi 15, 627 (1966) F. Orapunt, S. K. O’Leary: Appl. Phys. Lett. 84, 523 (2004)
References
79
4. Magnetic Properties of Electronic Materials
Magnetic Prop
Digital information technology involves three main activities:
• •
Processing of information (using transistors, logic gates, CPU, RAM, DSP...) Communication of information (using networks, switches, cables, fibers, antennae...)
4.1
4.2
Traditional Magnetism ......................... 4.1.1 Fundamental Magnetic Quantities ................................ 4.1.2 The Hysteresis Loop ................... 4.1.3 Intrinsic Magnetic Properties ...... 4.1.4 Traditional Types of Magnetism and Classes of Magnetic Materials Unconventional Magnetism .................. 4.2.1 Conventional and Unconventional Types of Exchange and Coupling in Magnetic Materials ................ 4.2.2 Engineering and Growth of Thin Magnetic Films ............... 4.2.3 Electronic Properties: Localized, Free and Itinerant Magnetism and Spin-Polarised Band Structure .................................. 4.2.4 Prospects for Spintronics and Quantum Information Devices ....
References ..................................................
81 81 83 87 90 93
93 94
95 98 99
present a table of papers on the topics we cover in the chapter, for the reader who wishes to learn more. The traditional elements of magnetism, such as the hysteresis loop, conventional types of magnetism and magnetic materials, are then presented (in Sect. 4.1). We then briefly describe (in Sect. 4.2) unconventional magnetism, which can be used to understand new high-tech materials that will be used in future devices based on spintronics and quantum information.
•
Storage of information (using tapes, hard disks, CD, DVD, flash memory...)
The application of magnetism to such technologies has traditionally been confined to information storage, originating from the development of bubble and ferrite core technologies, when RAM memory was based on
Part A 4
This work reviews basic concepts from both traditional macroscopic magnetism and unconventional magnetism, in order to understand current and future developments of submicronic spin-based electronics, where the interplay of electronic and magnetic properties is crucial. Traditional magnetism is based on macroscopic observation and physical quantities are deduced from classical electromagnetism. Physical interpretations are usually made with reference to atomic magnetism, where localized magnetic moments and atomic physics prevail, despite the fact that standard ferromagnetic materials such as Fe, Co and Ni are not localized-type magnets (they have extended s and localised d electronic states). While this picture might be enough to understand some aspects of traditional storage and electromechanics, it is not sufficient when describing condensed matter systems with smaller length scales (progressing toward the nanometer range). In this case, the precise nature of the magnetism (localized, free or itinerant as in Fe, Co and Ni transition metals) should be accounted for, along with the simultaneous presence of charge and spin on carriers. In addition, when we deal with the thin films or multilayers found in conventional electronics, or with objects of reduced dimensionality (such as wires, pillars, dots or grains), the magnetic properties are expected to be different from conventional three-dimensional bulk systems. This chapter is organized as follows. We begin (in the Introduction) by highlighting the new era of submicronic spin-based electronics, and we
80
Part A
Fundamental Properties
Table 4.1 Selected topics in magnetism, with corresponding applications and references for further reading Topic
Applications/comments
Reference
Amorphous magnets Coherent rotation model Coupling and exchange in multilayers
Shielding, sensing, transformers, transducers Hysteresis loop determination Biquadratic exchange, exchange bias, spring magnets Sensing, detectors Recording heads, spin valves, spin filters Smart plane wings, MEMS, actuators, transducers, resonators Magnetism in transition metals Atoms/molecules/ions/insulators Eddy currents, hysteresis loss Hard disk technology Growth and characterization Cantilevers, MEMS
Boll, Warlimont [4.1] Stoner, Wohlfarth [4.2] Platt et al. [4.3], Slonczewski [4.4], Koon [4.5] Tannous, Gieraltowski [4.6] White [4.7] Schatz et al. [4.8], Dapino et al. [4.9] Himpsel et al. [4.10] Jansen [4.11] Goodenough [4.12] Richter [4.13] Himpsel et al. [4.10] Farber et al. [4.14], Dapino et al. [4.9] Coeure [4.15], Wigen [4.16] Gutfleisch [4.17] Burkard, Loss [4.18] Hauser et al. [4.19] Boll, Warlimont [4.1] Prinz [4.20], Zutic et al. [4.21]
Giant magnetoimpedance Giant magnetoresistance (GMR) Giant magnetostriction Itinerant magnetism Localized magnetism Losses in magnetic materials Magnetic recording Magnetic thin films Magnetoelastic effects
Part A 4
Microwave devices Permanent or hard magnets Quantum computing/communications Sensors Soft magnets Spintronics Technology overview Types of magnetic order
Communications, bubble memory Relays, motors, transformers Quantum devices, magnetic RAM Field detectors, probes Shielding, sensing, transformers, transducers Spin diode, spin LED, spin transistor, magnetic RAM Permanent and soft magnets Ferromagnetism, antiferromagnetism, diamagnetism, paramagnetism
magnetism. RAM memory is a special type of nonpermanent (primary) information storage device, which can be distinguished from permanent (secondary) or mass information storage devices such as tapes, hard disks, floppy and zip disks, CDs, DVDs, and flash memory. The field of applied magnetism is currently undergoing much transformation due to several recent developments, among which is the progress toward the nanometer scale in integrated circuits. At this length scale, quantum effects become extremely important and carrier spin becomes of interest since it may be conserved over this length scale and so could be used to carry and manipulate information. This would pave the way towards the fabrication of new devices based on charge and spin (spintronic devices) instead of just charge, as used in traditional microelectronics. This means that new types of junctions and transistors could be built that would use magnetism to tackle the processing of information. Quantum effects have already been used in many products, since they are the basis of the GMR effect (Table 4.1) that is the basis for the recent surge towards
Kronmueller [4.22], Simonds [4.23] Hurd [4.24]
extremely high densities in hard disks; however, the longer-term intention is to make use of these effects in basic components of quantum computers and quantum communication devices. The quantum computer is based on the qubit (quantum bit), which is the basic unit of information used in a quantum computer (equivalent to the classical bit used in conventional computing). If we consider a sphere, the classical bit can be viewed as an object with two possible states at the north and the south poles of the sphere, whereas a qubit is an object that can sit anywhere on the surface of the sphere (called the Bloch sphere; see Nielsen and Chuang [4.25]). A quantum computer can perform a massive number of computations in parallel, since one is allowed to access any superposition of states at any time in quantum mechanics due to its linearity, in contrast to a classical von Neumann type of computer, where one only has access to a single state at any time (Table 4.1). Quantum communications are extremely secure, since a caller may build a coherent state with the callee where any intrusion can perturb the coherence, providing very efficient detection.
Magnetic Properties of Electronic Materials
From a fundamental point of view, one can start by representing a magnetic material by a single magnetic moment and then studying its behavior, before investigating the many interacting moments that are the building blocks of magnetic materials. From an applied point of view, the orientation of the moment defines the value
4.1 Traditional Magnetism
81
of the bit. Once the orientation of the moment has been linked to a bit value, it becomes important to understand the physical processes, the energetics and the dynamics of the change in moment orientation (moment reversal from left to right or moment flip from up to down) in order to be able to control, alter and predict the bit value.
4.1 Traditional Magnetism are close enough, we then have an interaction energy between them called the exchange energy −Aij Mi M j , which will align moments Mi and M j if Aij is positive (ferromagnetic interaction). If Aij is negative, the moments will align antiparallel to each other (antiferromagnetic interaction). In a ferromagnet we have a net moment, whereas in an antiferromagnet the net moment is zero. The above description considers localized magnetism in distinct atoms (such as in a gas), ions, molecules or in special materials (like insulators or rareearth solid state compounds possessing external-shell f electrons with highly atomic-like character). If we have a conducting material with free electrons interacting with localized atoms/ions/molecules (for transition metals with s and d electrons or magnetic semiconductors for example), a different type of magnetism called itinerant magnetism occurs . Nevertheless, it is possible to extend the notion of the magnetic moment to this case, accounting for the combined effects of free and localized charges modeled as an effective number of Bohr magnetons (see Sect. 4.2.1, Table 4.1 and Table 4.2). The different physical mechanisms and types of magnetism briefly described above operate at different length scales. In order to gain some perspective and be able to ponder what lies ahead in terms of possible developments and hurdles, Fig. 4.1 gives a summary of different mechanisms, characterization methods and manufacturing processes along with their corresponding length scales. Note that, on the nanometer scale, the device size becomes comparable to most ranges of interactions encountered in magnetic materials, and this will trigger the development of novel effects and devices.
4.1.1 Fundamental Magnetic Quantities Magnetization is the fundamental property exhibited by a magnetic substance. It originates from its electrons, as with the electric dipole moment. It can be
Part A 4.1
Classical magnetism relates to magnetic moments and their behavior when an external field, mechanical stress or some other perturbing effect is applied. The idea is to investigate the way that the energy of the magnetic moment changes with time. In order to describe the different magnetic energy terms that control the behavior of a moment, we can start from a single isolated moment in vacuum, at zero temperature (T = 0 K), zero applied magnetic field (H = 0) and zero frequency ( f = 0). It is obvious that the energy is zero from a classical point of view (quantum mechanically, however, it is worth noting that, even at T = 0 K, quantum fluctuations exist that could disorient, flip or reverse the moment). The next step is to apply a magnetic field H (T = 0 K, f = 0); we then obtain the Zeeman energy E Z = −M · H, with M representing the moment. If we place the moment in an unbounded (of infinite size) crystal, it is clear that the energy of the moment is anisotropic, since the direction of the moment (called an easy axis direction) is imposed by the internal symmetry of the crystal, in contrast to the case in vacuum, where M is free to point in any direction. The crystal may possess a set of easy axes (easy planes), in which case the moment will point in one of several directions (or any direction in one of several planes). If the body containing the moment has a finite size, a new energy must be accounted for: the demagnetization energy. Magnetic surface charges (poles) induced on the surface bounding the body create a demagnetizing field inside the body (this is called the stray field outside of the body). The demagnetization energy is also called the shape anisotropy energy or the magnetostatic energy because it is (approximately) expressed (for ellipsoidal bodies) as 2π Nαβ Mα Mβ , where Nαβ is a set of factors (demagnetization coefficients) that depend on the shape of the body (the Einstein summation convention is used for repeated indices). Now suppose we include several local moments in a material. If sites i and j carrying moments Mi and M j
90
Part A
Fundamental Properties
cients of the body, which are determined by its shape. The origin of this terminology is its resemblance to the familiar anisotropy energy of the form K αβ Mα Mβ (Einstein summation). If a magnetic material contains N moments (atoms, ions or molecules, each carrying a moment µi ), the energy originating from the dipolar coupling energy between the different moments is written as: 1 µi · µ j 3(µi · rij )(µ j · rij ) − 2 rij3 rij5 N
Wdip =
N
i=1 j=1
µi and µ j are two moments (i = j) in the material separated by a distance rij . This energy can only be represented by constant coefficients (Nαβ ) if the body has an ellipsoidal shape. Hence one can write Wdip ≈ 2π Nαβ Mα Mβ (Einstein convention), where the magnetization M is the sum of all individual moments µi .
Part A 4.1
Surface Anisotropy A finitely sized magnetic body with a bulk anisotropy (which is not too large) will realign the magnetization close to its surface in order to minimize the magnetostatic energy. In other words, the body has a surface anisotropy that is different from the bulk one. This originates from an abrupt change of symmetry at the interface between the bulk and free surface. Anisotropy From Demagnetization The demagnetization energy is expressed using coefficients that describe the demagnetization field Hd inside a finitely sized material, created by a bulk magnetization acting against an applied external magnetic field. The components of the demagnetization field (in the ellipsoidal case) are given by (with Einstein summation) [Hd ]α = −2π Nαβ Mβ (much like the depolarization field in the electrical case). Constant coefficients (Nαβ ) are only valid when the body has an ellipsoidal shape. The coefficients depend on the geometry of the material. There are usually three positive coefficients along three directions N xx , N yy and Nzz (assuming the off-diagonal terms are all 0) in simple geometries such as wires, disks, thin films and spheres. All three coefficients are positive and smaller than 1, and their sum is 1. For a sphere, all three of the coefficients are equal to 1/3. For a thin film (or a disk) they are given by 0,0,1 when the z-axis is perpendicular to a film (or the disk) lying in the xy-plane. For a cylindrical wire of infinite length that has its axis aligned with the z-direction, the values are 1/2,1/2,0.
4.1.4 Traditional Types of Magnetism and Classes of Magnetic Materials The main traditional types of magnetism are ferromagnetism, antiferromagnetism, ferrimagnetism, paramagnetism and diamagnetism. However, other types are also described in the review by Hurd [4.10], and with the expected advances in materials science we may expect to encounter other new classes in the future, as described in Sect. 4.2 of this work, dedicated to unconventional magnetic types (Table 4.1). A ferromagnet is an assembly of magnetic moments interacting with a positive exchange integral that minimize their energies by adopting a common parallel configuration resulting in a net large value of total magnetization. Such a definition is valid for localized magnetism but not for itinerant ferromagnets (such as the transition metals Fe, Ni and Co), since one does not have distinct localized moments that can define an exchange integral in these materials. A ferromagnetic material (itinerant or localized) displays a characteristic hysteresis curve and remanence (M = 0 for H = 0) when one varies the applied magnetic field. When heated, the material generally loses this ordered alignment and becomes paramagnetic at the Curie temperature. Ferromagnets are usually metallic, but there are ferromagnetic insulators, such as CrBr3 , EuO, EuS and garnets [4.27, 35]. An antiferromagnet is made up of an assembly of magnetic moments interacting with a negative exchange integral that minimize their energies by adopting an antiparallel configuration. Again, such a definition is not valid for itinerant antiferromagnets (such as Cr and Mn) since one does not have distinct localized moments and so an exchange integral cannot be defined. The net total magnetization is zero, so we do not get hysteresis. In the localized magnetism case, it is possible to consider the entire crystal as made of two interpenetrating sublattices containing moments that are all parallel inside each sublattice but where the magnetizations from all sublattices cancel each other out. When heated, the material generally loses this alternately ordered alignment and becomes paramagnetic at the Néel temperature. Oxides are generally antiferromagnetic insulators (an exception is EuO) [4.29, 35]. It is possible to have intermediate order between ferromagnets and antiferromagnets; this occurs in the ferrimagnets used in microwave devices [4.16]. In the localized case, one considers the crystal as being made of two sublattices (as in the case of an antiferromagnet), with total magnetizations that oppose one another. How-
92
Part A
Fundamental Properties
Part A 4.1
have no unfilled sub-shells. Since the diamagnetic material tries to minimize the effect of H, it expels field lines – a phenomenon that can be exploited in magnetic levitation. A superconductor is a perfect diamagnet, and a metal exposed to high frequencies is partially diamagnetic, since the applied field can only penetrate it to skin depth. The susceptibility χ is constant for a diamagnet (it does not vary with temperature) and is slightly negative. Superconductors have χ = −1 (below critical temperature), whereas semiconductors have the following values of susceptibility (in cm3 /mol) at room temperature: Si, −0.26 × 10−6 ; Ge, −0.58 × 10−6 ; GaAs, −1.22 × 10−6 ; as given by Harrison [4.36]). Materials with a relatively small coercive field (typically smaller than 1000 A/m), as preferred in transformer cores and magnetic read heads, are called soft magnetic materials. Most (but not all) simple metals, transition metals and their compounds are soft. Permalloys, amorphous and nanocrystalline alloys and some ferrites are soft. Amorphous materials are soft because their disordered structure does not favor any direction (no anisotropy energy), whereas nanocrystals possess anisotropy over a short length scale (although it can be larger than its bulk counterpart). Softness is also measured by the maximum permeability attainable (see Table 4.3 of soft elements). On the other hand, a material with a relatively large coercive field (typically larger than 10 000 A/m), as preferred in permanent magnets, motors and magnetic recording media (disks and tapes), is called a hard magnetic material. This means that stored data is not easily lost since a large field is required to alter the magnitude of magnetization. Most (but not all) rare-earth metals, their compounds and intermetallics are hard. There are also hard ferrites. Permanent magnets are used in power systems (in power relays), motors and audio/video equipment (such as headphones, videotapes); see Table 4.1 and Fig. 4.11. Ferrites are ferrimagnetic ceramic-like alloys. During the early development of ferrites, the compositions of all ferrites could be described as FeOFe2 O3 . However, more modern ferrites are better described as MOFe2 O3 , where M is a divalent metal (note that the Fe in Fe2 O3 is trivalent). Ferrites are used in microwave engineering and recording media due to their very low eddy current losses [4.12] and the fact that they operate over a large frequency interval (kHz to GHz). The ratio of the resistivity of a ferrite to that of a typical metal can reach as high as 1014 . They are made by sintering a mixture of metallic oxides MOFe2 O3 where M=Mn, Mg, Fe, Zn, Ni, Cd... Ferrite read heads are however limited to
frequencies below 10 MHz as far as switching is concerned, and this is why several new types of read head (thin films, AMR, GMR, spin valves, magnetic tunnel junctions) have been or are being developed in order to cope with faster switching (Chapt. 51). Other conventional magnetic materials similar to ferrites include spinels and garnets, as described below. Spinels Spinels are alloys with the composition (MO)x (MO)1−x Fe2 O3 (a generalization of the ferrite composition), that have the structure of MgAl2 O4 (which provides the origin of the word spinel) [4.16]. Garnets Garnets are oxides that have compositions related to the spinel family, of the form (3 M2 O3 ,5 Fe2 O3 ), that crystallize into the garnet cubic structure [Ca3 Fe2 (SiO4 )3 ]. They are ferromagnetic insulators of general formula M3 Fe5 O12 , where M is a metallic trivalent ion (M=Fe3+ for example). Garnets have been used in memory bubble technology, lasers and microwave devices (because their ferromagnetic resonance linewidth with respect to the field is small, on the order of a fraction of an Oersted, when the resonance frequency is several tens of GHz [4.16].), especially those of general formula M3 Ga5 O12 . For instance, when produced as a thin film a few microns thick, Gd3 Ga5 O12 , called GGG (gadolinium gallium garnet), exhibits perpendicular anisotropy with domains (bubbles) that have up or down magnetization (perpendicular with respect to the film plane). Thus, a bit can be stored in a bubble and can be controlled using a small magnetic field. GGG is considered to be one of the most perfect artificially made crystals, since it can be produced with extremely few defects (less than 1 defect per cm2 ). Another nomenclature, called the [cad] notation, is used with rare-earth iron garnets of general formula X3 Y2 Z3 O12 . This notation means: dodecahedral (c site is surrounded by 12 neighbours and represented by element X); octahedral (a site is surrounded by 8 neighbours and represented by element Y); and tetrahedral (d site is surrounded by 4 neighbours and represented by element Z). The most important characteristic of these garnets is the ability to adjust their compositions and therefore their magnetic properties according to selected substitutions on the c, a or d sites. The element X is a rare earth, whereas Y and Z are Fe3+ . The magnetization is changed by placing nonmagnetic ions on the tetrahedral d site: increasing the amount of Ga3+ , Al3+ , Ge4+ , or Si4+ will decrease the magnetization. On the other hand, increas-
Magnetic Properties of Electronic Materials
ing the amount of Sc3+ or In3+ at the octahedral a site will increase the magnetization. Ion substitution can also be used to tailor other magnetic properties (including anisotropy, coercivity and magnetostriction). Garnets are typically grown using liquid phase epitaxy at a growth speed that easily reaches a micron in thickness in one minute, and a very high yield is achieved. These materials have not only been used in
4.2 Unconventional Magnetism
93
bubble materials but also in magneto-optical displays, printers, optical storage, microwave filters and integrated optics components. Despite all of these attractive properties, their easy tunabilities and very high yields, problems soon arose with the limited access times of bubble memories, since the switching frequency was found to be limited to less than about 10 MHz [4.16] (Chapt. 51).
4.2 Unconventional Magnetism 4.2.1 Conventional and Unconventional Types of Exchange and Coupling in Magnetic Materials
Part A 4.2
In conventional magnetic materials, the strength of the magnetic interactions between two neighboring localized moments i and j (as in atoms/ions/molecules and rare-earth solids) is described by a direct exchange interaction. The latter is essentially a Coulomb (electrostatic) interaction between the electrons at the i and j sites. The word exchange is used because the corresponding overlap integral describing this interaction involves wavefunctions with permuted (exchanged) electron coordinates (in order to respect the Pauli exclusion principle). The exchange energy between sites i and j is given by −Aij Mi .M j where Mi and M j are, respectively, the magnetization at the i and j sites [4.29]. When Aij > 0, the energy is minimized when the moments are parallel, and when Aij < 0 an antiparallel configuration of the moments is favored. The energy arising from exchange over a distance r in the continuum limit is approximately AM 2 /r 2 . The RKKY (Ruderman–Kittel–Kasuya–Yoshida, [4.27]) oscillatory interaction occurs between two localized moments mediated by a surrounding electron gas. It varies in 3-D systems as cos(2kFr)/r 3 , where r is the distance between the moments and kF is the Fermi wavevector of the electron gas. It was recently discovered that a counterpart of the RKKY interaction exists in 2-D between two magnetic thin films separated by a metallic spacer [4.37]. The RKKY-like interaction between the two magnetic films across a metallic spacer is oscillatory with respect to the spacer thickness z. Thus it becomes possible to decide to couple the magnetic films positively (ferro) or negatively by changing the thickness z of the metallic spacer (see Fig. 4.1 for typical lengths). This is extremely useful for thin film devices (see Chapt. 51 and Table 4.1).
The Dzyaloshinski–Moriya exchange interaction is a vectorial exchange interaction between two neighboring localized moments (Mi and M j ) of the form Dij Mi × M j , which contrasts with the scalar ordinary exchange interaction of the form Aij Mi M j . This cants (producing a small inclination between) two neighboring antiferromagnetic moments that are usually antiparallel, resulting in weak ferromagnetism. This is due to asymmetric spin-orbit effects [4.24]. Present interest is focused on magnetic thin films and their interactions. Information storage, sensing, spintronics, quantum computing and other applications of magnetic thin film devices are the main spur to understand the nature and extent of magnetic exchange interactions and coupling effects as well as those that arise between magnetic 2-D layers in order to tailor appropriate devices. Novelty is expected since the device size is comparable to the interaction length (Fig. 4.1). The coupling strength of the interaction between two neighboring magnetic films i and j can be modeled by a factor Jij . This is similar to the exchange integral Aij between two neighboring localized moments, but it involves entire layers generally made from itinerant magnets and not the single moments used with Aij . The exchange interaction is of the form Jij Mi · M j , where Mi and M j are the magnetizations per unit surface of films i and j. The main interest in Jij lies in the fact that its range is longer in reduced dimensions (1-D and 2-D) than in 3-D (for instance, an RKKY-like interaction between two magnetic films across a metallic spacer is oscillatory with a longer range than it is in 3-D, since it varies like 1/r 2 instead of 1/r 3 ), and its physics is entirely different from the standard RKKYinteraction between localized moments [4.37]. The sign of the interaction depends on the thickness of the metallic spacer. Other types of exchange exist between films, such as biquadratic or higher order with a generalized Heisenberg form Iij [Mi M j ]n where n ≥ 2 and Iij is a coupling constant
Magnetic Properties of Electronic Materials
4.2 Unconventional Magnetism
95
Table 4.4 Surface energies γ (in J/m2 ) for magnetic and nonmagnetic materials, listed with respect to their atomic number for the low-energy cleavage surface. These are approximate values, which are difficult to measure in general and depend on surface orientation and reconstruction [4.10] Magnetic metal γ (J/m2 ) Transition metal γ (J/m2 ) Simple or noble metal γ (J/m2 ) Semiconductor γ (J/m2 ) Insulator γ (J/m2 )
Cr 2.1 Ti 2.6 Al 1.1 Diamond 1.7 LiF 0.34
Mn 1.4 V 2.9
Fe 2.9 Nb 3.0 Cu 1.9 Si 1.2 NaCl 0.3
Co 2.7 Mo 2.9
4.2.3 Electronic Properties: Localized, Free and Itinerant Magnetism and Spin-Polarised Band Structure Building a working device requires an understanding of not only magnetic properties but also electronic properties and their interplay. We expect that new devices will be constructed from a variety of magnetic (conventional and unconventional) materials as well as others already known in microelectronics. Insulating oxides (except EuO) and rare-earth compounds with well-localized external-shell f electrons are solid state
Gd 0.9 Rh 2.8
Pd 2.0 Au 1.6 GaP 1.9 MgO 1.2
Ta 3.0
W 3.5
Pt 2.7
GaAs 0.9 Al2 O3 1.4
materials with atom-like magnetism. Magnetic atoms, ions and molecules or associated with well-defined localized orbitals and individual moments arising from orbital, spin or total angular momentum. When these moments get close to one another, as in the solid state, they interact as defined by Heisenberg: Aij Mi .M j [4.29]. The latter is altered by the presence of the surrounding free-electron gas. Therefore we must understand magnetism in a free-electron gas, its counterpart arising from localized moments, and finally its nature when we have the hybrid case (itinerant magnetism), which occurs in a transition metal (free s and localized d electrons). This problem is very complicated, and so we will rely upon a “one-electron approximation” of band structure, and more precisely spin-polarized band structure [4.11]. In a free-electron gas, one can assume independent noninteracting electrons, so many-electron and nonlocal effects (arising from exchange) do not need to be taken into account. Magnetism in this case is due to individual electron spins and it is straightforward to establish that so-called Pauli paramagnetism holds [4.27]. In addition,
Table 4.5 Lattice-matched combinations of magnetic materials, substrates and spacer layers. There are two main groups
of lattice-matched systems with lattice constants close to 4.0 Å or 3.6 Å respectively, after making 45◦ rotations of the lattice or doubling the lattice constant (After Himpsel et al.[4.10] with minor editing) First group Magnetic metal 21/2 a (Å) (a (Å)) Simple or noble metal a (Å) Semiconductor a /21/2 (Å) (a (Å)) Insulator a (Å) (a /21/2 (Å)) Second group
Cr (bcc) 4.07 (2.88) Al 4.05 Ge 3.99 (5.65) LiF 4.02 (2.84)
Fe (bcc) 4.05 (2.87) Ag 4.09 GaAs 4.00 (5.65) NaCl 5.65 (3.99)
Co (bcc) 3.99 (2.82) Au 4.07 ZnSe 4.01 (5.67) MgO 4.20 (2.97)
Material a (Å)
Fe (fcc) 3.59
Co (fcc) 3.55
Ni (fcc) 3.52
Cu 3.61
Diamond 3.57
Part A 4.2
high surface energy, owing to their partially filled d shells. Noble metal substrates have smaller surface energies, and insulating substrates even less. Additionally, when one performs epitaxial growth, another concern is lattice-matching the different underlayers, as displayed in Table 4.4 and Table 4.5, along with typical quantities of interest in representative magnetic materials.
Ni 25 Ru 3.4 Ag 1.3 Ge 1.1 CaF2 0.45
98
Part A
Fundamental Properties
Part A 4.2
tion at T = 0 K. The bands obtained depend on spin, as depicted in the figures cited earlier. One can obtain the spin-dependent band structure from the spin-polarized density of states for each spin polarization (up ↑ or down ↓, also called the majority and minority, like in ordinary semiconductors). Tables 4.7 and 4.8 give the polarizations and some spin-dependent band-structure quantities for representative transition metals and their alloys. This semiconductor-like nomenclature (majority– minority) will eventually become confusing when we start dealing with metals and semiconductors simultaneously. For the time being, however, this nomenclature is acceptable so long as we are dealing solely with magnetic metals, and one can define a new type of gap (originating from the exchange interaction) called the exchange splitting or (spin) gap between two spindependent bands (Fig. 4.14 and Fig. 4.15). This explains the existence of novel materials such as half-metals, which can be contrasted with semi-metals (graphite) where we have a negative electronic gap because of valence and conduction band overlap. Half-metals (such as CrO2 and NiMnSb) possess one full spin-polarized band (up for instance) while the other (down) is empty. These materials are very important for spintronics and (especially) when injecting spin-polarized carriers.
4.2.4 Prospects for Spintronics and Quantum Information Devices Presently, we are witnessing the extension of electronics to deal with spin and charge instead of charge only, the realm of traditional electronics. The reason spin becomes interesting and useful stems from the following ideas. As device integration increases and feature length decreases towards the nanometer scale, the spins of individual carriers (electrons or holes) become good quantum numbers. This means that spin value is conserved over the nanoscale (the spin diffu-
sion length is typically 5–50 nm), whereas it was not previously (in the micron regime), so it can be used in the nanometer regime to carry useful information. This means that carriers transport energy, momentum, charge and additionally spin. In addition, there is the potential that quantum computers could be constructed from spintronic components [4.25]. In perfect analogy with ordinary electronics, spintronics is based on four pillars: 1. Spin injection: How do we create a non-equilibrium density of spin-polarized carriers – electrons with spin up n↑ (or down n↓ ) or holes with spin up p↑ (or down p↓ )? This can be viewed as the spin extension of the Haynes–Shockley experiment, and it can be done optically or electrically using thin magnetic layers serving as spin filters or analyzers/polarizers as in spin valves (Table 4.1). Spin injection can also be achieved with carbon nanotubes since they do not alter the spin state over large distances (Chapt. 51). 2. Spin detection: How do we detect the spin and charge of a non-equilibrium density of spin-polarized carriers? 3. Spin manipulation: How do we alter and control the spin and charge states of a non-equilibrium density of spin-polarized carriers? 4. Spin coherence: How do we maintain the spin and charge states of a non-equilibrium density of spinpolarized carriers over a given propagation length? Spintronics is based, like microelectronics, on particular materials, their growth techniques (epitaxial or other) and their physical properties (electrical, mechanical, magnetic, thermal), as well as theability to fabricate thin films and objects of reduced dimensionality (quantum dots, quantum wires, quantum pillars, clusters) and a knowledge of different processing steps (oxidation, diffusion, doping, implantation, etching, passivation, thermal insulation, annealing, texturing, sputtering, patterning) that can be used to build useful devices. All of the techniques established in the
Table 4.7 Spin polarization expressed in % for several ferromagnetic materials according to several authors. The discrep-
ancies between the different results stem from the various approaches used to estimate the density of states at the Fermi level, and points to how difficult it is to obtain a unanimous figure. Note that for a half-metal like CrO2 or NiMnSb, the polarization is 100% Fe Co Ni Ni80 Fe20 Co50 Fe50
Meservey, Tedrow [4.41]
Moodera, Mathon [4.42]
Monsma, Parkin [4.43]
40 35 23 32 47
44 45 33 48 51
45 42 31 45 50
Magnetic Properties of Electronic Materials
References
99
Table 4.8 Magnetic splittings δkex , full width half maxima δk↑ and δk↓ , and spin-dependent mean free paths λ↑ and λ↓
for NiFe and NiCr alloys (±0.01 Å−1 ) (After [4.44])
Ni Ni0.9 Fe0.1 Ni0.8 Fe0.2 Ni0.93 Cr0.07 Ni0.88 Cr0.12
δkex (Å−1 )
δk↑ (Å−1 )
δk↓ (Å−1 )
λ↑ (Å)
λ↓ (Å)
0.14 0.14 0.14 0.09 ≤ 0.05
0.046 0.04 0.03 0.096 0.12
0.046 0.10 0.22 0.086 0.11
> 22 > 25 > 33 11 8
> 22 10 5 10 9
parameter, since ferromagnetism (antiferromagnetism) is lost above the Curie (Néel) temperature. New types of materials emerge when spin-polarized carriers are used: for instance, half-metallic materials (such as CrO2 and NiMnSb) that possess carriers that are completely polarized in terms of spin (all up or all down). Additionally, magnetic interactions can be based on localized, free, itinerant, para-, dia-, ferro-, antiferro- or ferrimagnetic materials, which can be electrically metallic, insulating or semiconducting. For instance, the possibility of controlling ferromagnetic interactions between localized spins in a material using transport carriers (electrons or holes), as well as the demonstration of efficient spin injection into a normal semiconductor, have both recently renewed the interest in diluted magnetic semiconductors. If made functional at a reasonably high temperature, ferromagnetic semiconductors would allow one to incorporate spintronics into usual electronics, which would pave the way to integrated quantum computers (Table 4.1).
References 4.1 4.2 4.3 4.4 4.5 4.6 4.7 4.8 4.9 4.10
R. Boll, H. Warlimont: IEEE Trans. Magn. 17, 3053 (1981) E. C. Stoner, E. P. Wohlfarth: Phil. Trans. R. Soc. London A 240, 599 (1948) C. L. Platt, M. R. Mc Cartney, F. T. Parker, A. E. Berkowitz: Phys. Rev. B 61, 9633 (2000) J. C. Slonczewski: Phys. Rev. B 39, 6995 (1989) N. C. Koon: Phys. Rev. Lett. 78, 4865 (1997) C. Tannous, J. Gieraltowski: J. Mater. Sci. Mater. El. 15, 125 (2004) R. White: IEEE Trans. Magn. 28, 2482 (1992) F. Schatz, M. Hirscher, M. Schnell, G. Flik, H. Kronmueller: J. Appl. Phys. 76, 5380 (1994) M. J. Dapino, R. C. Smith, F. T. Calkins, A. B. Flatau: J. Intel. Mat. Syst. Str. 13, 737 (2002) F. J. Himpsel, J. E. Ortega, G. J. Mankey, R. F. Willis: Adv. Phys. 47, 511 (1998)
4.11 4.12 4.13 4.14 4.15 4.16 4.17 4.18 4.19 4.20 4.21
H. F. Jansen: Physics Today (Special Issue on Magnetoelectronics) April, 50 (1995) J. B. Goodenough: IEEE Trans. Magn. 38, 3398 (2002) H. J. Richter: J. Phys. D 32, 147 (1999) P. Farber, M. Hörmann, M. Bischoff, H. Kronmueller: J. Appl. Phys. 85, 7828 (1999) P. Coeure: J. Phys. (Paris) Coll. C-6 46, 61 (1985) P. E. Wigen: Thin Solid Films 114, 135 (1984) O. Gutfleisch: J. Phys. D 33, 157 (2000) G. Burkard, D. Loss: Europhys. News Sept-Oct, 166 (2002) H. Hauser, L. Kraus, P. Ripka: IEEE Instru. Meas. Mag. June, 28 (2001) G. A. Prinz: Science 282, 1660 (1998) I. Zutic, J. Fabian, S. Das Sarma: Rev. Mod. Phys. 76, 323 (2004)
Part A 4
field of microelectronics must be enlarged and extended to magnetism-based electronics (magnetoelectronics), which highlights the challenge of controlling magnetic interactions that have an anisotropic vectorial character in contrast to the scalar electrical interactions (based solely on charge) present in conventional microelectronic devices. Magnetoelectronics introduces the notions of anisotropy (magnitude, nature and direction), coercivity, saturation magnetization, and so on, which need to be controlled during growth (magnetic field-assisted growth or epitaxial growth must further developed in order to favor magnetic anisotropy along desired directions). For instance, there is, in some devices, the need to grow amorphous metallic magnetic layers (in order to get a very small anisotropy, resulting in a very magnetically soft layer), and these may be harder to grow than amorphous semiconductors or insulators (in metals, a cooling speed of one million degrees per second is typically needed to get an amorphous material). Temperature is a very important
100
Part A
Fundamental Properties
4.22 4.23 4.24 4.25
4.26
4.27 4.28
4.29 4.30
Part A 4
4.31 4.32
H. Kronmueller: J. Magn. Magn. Mater. 140-144, 25 (1995) J. L. Simonds: Physics Today (Special Issue on Magnetoelectronics) April, 26 (1995) C. M. Hurd: Contemp. Phys. 23, 469 (1982) M. A. Nielsen, I. L. Chuang: Quantum Computation and Quantum Information (Cambridge Univ. Press, New York 2000) S. Chikazumi: Physics of Ferromagnetism, Int. Ser. Monogr. Phys., 2nd edn. (Oxford Univ. Press, Clarendon 1997) C. Kittel: Introduction to Solid State Physics, 6th edn. (Wiley, New York 1986) M. R. Fitzsimmons, S. D. Bader, J. A. Borchers, G. P. Felcher, J. K. Furdyna, A. Hoffmann, J. B. Kortright, I. K. Schuller, T. C. Schulthess, S. K. Sinha, M. F. Toney, D. Weller, S. Wolf: J. Magn. Magn. Mater. 271, 103 (2004) S. O. Kasap: Principles of Electronic Materials and Devices, 3rd edn. (McGraw-Hill, New York 2001) L. O. Chua: Introduction to Non-Linear Network Theory (McGraw-Hill, New York 1969) B. K. Chakrabarti, M. Acharyya: Rev. Mod. Phys. 71, 847 (1999) A. Hubert, R. Schäfer: Magnetic Domains (Springer, Berlin, Heidelberg 1998)
4.33
4.34 4.35
4.36 4.37 4.38 4.39 4.40 4.41 4.42 4.43 4.44
A. P. Malozemoff, J. C. Slonczewski: Magnetic Domains in Bubble-Like Materials (Academic, New York 1979) D. Buntinx: . Ph.D. Thesis (Université Catholique de Louvain, Louvain 2003) D. Jiles: Introduction to Magnetism and Magnetic Materials, 2nd edn. (Chapman and Hall, New York 1991) W. A. Harrison: Electronic Structure and the Properties of Solids (Freeman, New York 1980) P. Bruno: Phys. Rev. B 52, 411 (1995) T. C. Schulthess, W. H. Butler: J. Appl. Phys. 87, 5759 (2000) A. I. Lichtenstein, M. I. Katsnelson, G. Kotliar: Phys. Rev. Lett. 87, 067205 (2001) A. Barthelemy: GDR Pommes Proceedings CNRS publication (June, Aspet, France, 2001) R. Meservey, P. M. Tedrow: Phys. Rep. 238, 173 (1994) J. Moodera, G. Mathon: J. Magn. Magn. Mater. 200, 248 (1999) D. J. Monsma, S. S. P. Parkin: Appl. Phys. Lett. 77, 720 (2000) K. N. Altmann, N. Gilman, J. Hayoz, R. F. Willis, F. J. Himpsel: Phys. Rev. Lett. 87, 137201 (2001)
101
5. Defects in Monocrystalline Silicon
Defects in Mo
As the feature size continues to shrink in device industry, a thorough understanding of defect behavior in bulk silicon becomes more and more important. Three major defect types relevant to device performance have been identified: vacancy aggregates (known as “voids”, which usually have a size of less than 150 nm); Si interstitial clusters embedded in a network of dislocation loops, each of which extend over several microns (L-pits); and large grown-in oxygen precipitates. The latter generate stacking faults (OSF) during wafer oxidation. The voids form in the center of the crystal, while L-pits are observed in the outer region. The two concentric defect regions are usually separated by a small OSF ring. The type of defect that develops in the growing crystal is determined by a simple parameter: the ratio of
5.1
Technological Impact of Intrinsic Point Defects Aggregates ..... 102
5.2
Thermophysical Properties of Intrinsic Point Defects ...................... 103
5.3
Aggregates of Intrinsic Point Defects ..... 5.3.1 Experimental Observations ......... 5.3.2 Theoretical Model: Incorporation of Intrinsic Point Defects ............ 5.3.3 Theoretical Model: Aggregation of Intrinsic Point Defects ............ 5.3.4 Effect of Impurities on Intrinsic Point Defect Aggregation ............
5.4
104 104 107 109 112
Formation of OSF Ring.......................... 115
References .................................................. 117
to accurately simulate the aggregation process so that the defect behavior of semiconductor silicon can be precisely tailored to the needs of the device manufacturer. Additionally, the impact of various impurities on the aggregation process is elucidated.
the pull rate to the temperature gradient at the growth interface. In industry, crystals with only one type of defect – voids – are produced almost exclusively. The formation and behavior of voids has been studied intensively and is accurately described by current theoretical models. As the feature size is now approaching the void size, the growth of so-called “perfect silicon” with almost no detectable defects may be adopted. Furthermore, the doping of crystals with impurities like nitrogen or carbon is being widely investigated. These impurities can significantly reduce the defect size, but they may also have harmful effects, such as enhancing the generation of OSFs. Some models have recently been proposed which may allow us to predict some of the effects of impurities.
Part A 5
The aggregation of instrinsic point defects (vacancies and Si interstitials) in monocrystalline silicon has a major impact on the functioning of electronic devices. While agglomeration of vacancies results in the formation of tiny holes (so-called “voids”, around 100 nm in size, which have almost no stress field), the aggregation of Si interstitials exerts considerable stress on the Si matrix, which, beyond a critical size, generates a network of dislocation loops around the original defect. These dislocation loops are typically microns in size. Consequently, they are much more harmful to device functioning than vacancy clusters. However, the feature size in electronic devices has now shrunk down to the 100 nm scale, meaning that vacancy aggregates are also no longer acceptable to many device manufacturers. This chapter is intended to give an introduction to the properties of intrinsic point defects in silicon and the nucleation and growth of their aggregates. Knowledge in this field has grown immensely over the last decade. It is now possible
102
Part A
Fundamental Properties
5.1 Technological Impact of Intrinsic Point Defects Aggregates
Part A 5.1
Single intrinsic point defects in silicon – vacancies and interstitials – have not been found to have any negative impact on device performance so far. However, if they aggregate into clusters they can be even detrimental to device functionality. This is also true of extended defects like dislocations. When silicon wafer technology was in its formative years, much of the work devoted to improve wafer quality focused on controlling dislocation density in the silicon crystals, as it was not possible to grow dislocation-free crystals. However, with the introduction of dislocation-free crystal growth processes into mass production, the issue of extended dislocations in relation to bulk silicon quality vanished. As the feature size decreased and the demand for higher device performance increased, it soon became apparent that intrinsic point defects and their aggregation during the cool-down phase of the crystal growth process were having an increasingly negative impact on device performance and yield. Historically, one of the first serious challenges in this regard was the aggregation of Si interstitials in floating zone (FZ)-grown crystals, which results in a local network of dislocation loops (secondary defects), so-called “A-swirls” [5.1] or L-pits [5.2]. Although the diameters of these dislocation loops are only a few microns, they are large enough to generate hot spots in the space charge regions of high-power devices [5.3, 4]. In the second half of the 1980s, the industry began to encounter problems with the early breakdown of the gate oxide in memory devices based on Czochralski (CZ)-grown silicon [5.5]. After intensive gate oxide integrity (GOI) investigations, it was found that the root cause of the gate oxide degradation was tiny micro holes – voids – which were formed by vacancy aggregation during crystal growth [5.6, 7]. Each void is thermally stabilized by an oxide layer present on its inner surface. After wafer polishing, the voids show up as dimples or laser light scattering (LLS) defects on the wafer surface, causing a local thinning of the gate oxide [5.8, 9]. Voids are considerably smaller (less than 150 nm) than A-swirl defects, and so their impact on device performance is only apparent if the location of a void coincides with that of an active element, such as a transistor. In addition, most of these defective transistors can be repaired due to the built-in redundancy of memory chips. Consequently, vacancy aggregates are tolerable for many devices, so long as their density is insignificant compared to those of device process-induced defects. This should be contrasted with A-swirls or L-pits, which al-
ways result in permanent device damage due to their large sizes. Empirically, it has been found that gate oxides 40–50 nm thick are most susceptible to void defects [5.9]. Thinner oxides show higher GOI yields, and when the thickness drops below 5 nm the influence of voids on the GOI yield disappears [5.10, 11]. However, as the feature size continues to shrink, additional adverse effects have been identified, such as shorts between trench capacitors and lack of device reliability [5.12,13]. As the design rule becomes equal to or less than the void size, these problems are expected to aggravate and device manufacturers may have to switch to materials with extremely small defect sizes or those that contain virtually no defects. There are three main ways to achieve silicon with no harmful intrinsic point defect aggregates. The first is to grow silicon crystals in a regime where Si interstitials and vacancies are incorporated in equal concentrations (see Sect. 5.3.2), resulting in almost complete mutual annihilation of point defects (so-called “perfect” or “pure” silicon) [5.14–17]. The method inevitably involves lower pull rates and very tight control over crystal growth parameters, which yields considerably lower throughput and higher costs, in particular for 300 mm crystal growth. The second approach is the growth of nitrogendoped crystals with very fast pull rates (high cooling rates) and subsequent high-temperature (≈ 1200 ◦ C) wafer annealing [5.18]. Nitrogen doping in conjunction with a fast pull rate decreases the vacancy aggregate size (Sect. 5.3.3 and Sect. 5.3.4) [5.19, 20], meaning that they are easy to dissolve using a high-temperature wafer treatment. Void annihilation first requires the dissolution of the inner oxide layer, which in turn, necessitates the outdiffusion of oxygen. Thus, annealed wafers only exhibit a near-surface defect-free region ≈10 µm in depth, which is, however, sufficient for device manufacturing. Annealed wafers also take advantage of the notable mechanical strengthening effects of nitrogen doping [5.21–23], which helps to suppress slippage generation during high-temperature treatment. One very recent development is rapid thermal wafer annealing at a temperature of around 1300 ◦ C. At this temperature, outdiffusion of oxygen is not necessary because the oxygen concentration is usually below that required for oxygen solubility, so the inner oxide layer dissolves throughout the bulk and the voids collapse. This process yields silicon of a simi-
Defects in Monocrystalline Silicon
lar quality to that resulting from perfect silicon crystal growth. The third method is the well-known epi wafer approach. All of these methods require rather precise defect engineering in order to obtain the properties demanded by the device industry, except in the case of pp+ epi wafers.
5.2 Thermophysical Properties of Intrinsic Point Defects
103
Here, the high boron concentration of the substrate suppresses intrinsic point defect aggregation (Sect. 5.3.4) and enhances oxygen precipitation in the bulk. Therefore, this wafer type not only provides a defect-free epi layer, but also gives metallic contaminants superior internal gettering (impurity removal) abilities and high slip resistances.
5.2 Thermophysical Properties of Intrinsic Point Defects infer the thermophysical properties of point defects. The most common of these are metal diffusion experiments where a metallic contaminant such as zinc, gold or platinum is introduced into the bulk via hightemperature drive-in diffusion [5.30–32]. The diffusion rate of the metallic impurity, which is easily detectable using standard methods, is related to the mobility and the concentration of intrinsic point defects (kick-out and Frank–Turnbull mechanism), which provides a way to indirectly probe the behavior of the point defects. These experiments yield good estimates for the product Ceq D of the equilibrium concentration Ceq and the diffusivity D for self-interstitials I and vacancies V, respectively. The following values are derived from zinc diffusion results [5.33]: CI DI = 1.5 × 1026 exp( − 4.95 eV/kB T )cm−1 s−1 eq
and CV DV = 1.3 × 1023 exp( − 4.24 eV/kB T )cm−1 s−1 . eq
Another frequently used experimental method is the defect analysis of CZ crystals grown with varying pull rates. In this case, the observables are the dynamic responses of the oxidation-induced stacking fault (OSF) ring and the interstitial–vacancy boundary as a function of changes in crystal growth process conditions [5.2, 34–36] (Sect. 5.4). These observables have been quantitatively correlated to intrinsic point defect distributions in crystals and, can therefore be used to derive thermophysical properties [5.37]. Of particular importance is the complementary nature of crystal growth and metal diffusion experiments with regard to parametric sensitivity. The high temperature dependence of the IV–boundary and its sensitivity to self-interstitial and vacancy competition implies that these experiments are suitable for determining some pre-exponential coefficients. The metal diffusion experiments, which can be carried out over a wide range of temperatures, are particularly useful for probing activation energies.
Part A 5.2
Understanding intrinsic point defect aggregation undoubtedly requires rather exact knowledge of their respective thermophysical properties. The intrinsic point defects – vacancies and Si intersititials – can exist in different configurations. Generally, six localized point defect configurations of high symmetry are considered: the vacancy and the split-vacancy on the one hand, and the tetrahedral, the hexagonal, the bond-centered and the [100] split or dumbbell Si interstitial on the other [5.24]. While the localized configuration works rather well for vacancies, theoretical calculations strongly favor an extended configuration of lower symmetry for Si interstitials [5.25, 26]. The extended self-interstitial model was originally proposed to explain the high pre-exponential factor in the coefficient of self-diffusion, and this model now has support from theoretical calculations [5.24, 27, 28]. According to theory, the high value of the pre-exponential factor results from the multitude of self-interstitial configurations with similar energies and the significant lattice relaxations that accompany some of these configurations. Vacancies and Si interstitials can also exist in various charged states (such as V2+ , V+ , V0 , V− , V2− ), and at the high temperatures (> 1000 ◦ C) where point defects start to aggregate all states should be present in dynamic equilibrium [5.29]. Due to this equilibration, it is not meaningful to assign a specific charge to vacancies and Si-interstitials, respectively. However, atomistic calculations show that the charged states are much higher in energy and so their populations should be negligible. So far, there is no indication that charged states have any impact on defect aggregation and so they are not considered in current defect nucleation models. Unfortunately, it is generally not possible to observe intrinsic point defects directly and so their thermophysical properties cannot be measured directly either. Thus, indirect approaches must be used that involve fitting defect concentrations along with many other parameters. Various experimental systems have been used to
Defects in Monocrystalline Silicon
in the Fermi level due to the high boron concentration. Based on this approach, the latter authors calculated a shift in the transition value ξtr which would account for the observed shrinkage of the void region. As with nitrogen doping, the ξtr shift entails the simultaneous appearance of L-pits in the outer crystal region and a shrinking void region, which is in conflict with experimental results. Another attempt by Sinno et al. [5.120] considers reversible reactions between boron and intrinsic point defects, in particular self-interstitials. The formation of BI and B2 I complexes consumes selfinterstitials which would otherwise be annihilated by recombination. As recombination consumes the remaining self-interstitials, BI and B2 I start to dissociate again according to le Chatelier’s principle. As a result, the self-interstitial concentration increases, which shifts the point defect balance towards the intersitial-rich side, causing the void region to shrink. No comment is made about whether or not the model is also able to account for the suppression of L-pit formation by storing supersaturated self-interstitials in boron complexes.
in [5.109], which predicts an upward shift of ξtr for carbon doping and, in turn, an enlargement of the interstitial-rich region. It was also found that carbon doping reduces the grown-in defect size [5.87, 122]. Although the size reduction is appreciable, the morphology of the voids is not changed, in contrast to the consequences of nitrogen doping. Only a tendency towards multiple void formation was observed. The thickness of the inner oxide layer was found to be similar to that of undoped crystals, which indicates that carbon doping has no influence on the growth of the inner oxide layer. As with boron, the effect on defect aggregation is only seen at significantly higher concentrations (≈1 × 1017 at/cm3 ) than for nitrogen. At higher concentrations, carbon is known to enhance oxygen precipitation too [5.123, 124]. As carbon predominantly resides on substitutional sites, it is very unlikely that, as in the case of nitrogen doping, a higher residual vacancy concentration is responsible for the stronger oxygen precipitation. On the other hand, the small carbon atom exerts a local tensile strain on the surrounding lattice and attracts Si interstitials to form Cx I y complexes. Thus, Si interstitials ejected into the lattice by growing oxygen precipitates are effectively removed and, in turn, further precipitate growth is not retarded by a build-up of Si interstitial supersaturation. The enhanced oxygen precipitation may also be related to heterogeneous nucleation at small carbon aggregates [5.125].
5.4 Formation of OSF Ring The ring-like distributed OSFs are oxygen precipitates with platelet shapes that grow particularly large at the edge of the void region, and exceed a critical size necessary to create stacking faults during subsequent wafer oxidation there [5.126]. The critical radius of the grownin platelets is ≈70 nm. The formation of OSFs can be suppressed if the cooling rate of the growing crystal is increased (this means, for example, that OSFs are not found in oxygen-doped FZ crystals [5.118] which have very high cooling rates) or if the oxygen content is decreased. The peculiar ring-like distribution is a consequence of the well-known strong enhancement of oxygen precipitation by vacancies [5.39, 127]. The reason for this is that the absorption of vacancies allows the oxygen precipitate, which occupies twice as much volume as the corresponding silicon lattice, to nucleate and grow
without building up notable strain energy. As will be described below, the radially inhomogeneous oxygen precipitation is a consequence of a substantial radial inhomgeneity of the free vacancy concentration in contrast to the rather flat radial profile of oxygen. The vacancy concentration, as pointed out previously, has its maximum at the center of the growing crystal after V–I recombination has ceased (Fig. 5.21). Therefore, the critical supersaturation for void formation is first reached at the crystal center at relatively high temperatures. Hence, the free vacancies are quickly consumed in this area. As the crystal cools, voids are also nucleated in the regions of lower initial vacancy concentration, meaning that vacancy consumption then also occurs further away from the crystal center. As the removal of vacancies is enhanced at higher temperatures, where the diffusivity is large, the ra-
115
Part A 5.4
Carbon Carbon doping is also known to impact intrinsic point defect aggregation. It has been reported that the void region in the crystal center disappears upon carbon doping [5.57, 121], while the region of Si interstitial aggregates is widened; in other words, carbon does not inhibit the formation of L-pits/A-swirl. This behavior appears to be in line with the model put forward
5.4 Formation of OSF Ring
118
Part A
Fundamental Properties
5.30 5.31 5.32 5.33
5.34 5.35
5.36 5.37 5.38 5.39 5.40 5.41
5.42
Part A 5
5.43
5.44
5.45 5.46 5.47 5.48
5.49 5.50 5.51 5.52 5.53
N. A. Stolwijk, J. Holzl, W. Frank, E. R. Weber, H. Mehrer: Appl. Phys. A 39, 37 (1986) H. Bracht, N. A. Stolwijk, H. Mehrer: Phys. Rev. B 52, 16542 (1995) H. Zimmermann, H. Ryssel: Appl.Phys. A 55, 121 (1992) H. Bracht: Native point defects in silicon, Proc. 3rd Int. Symp. on Defects in Silicon III, Seattle, Washington 1999, ed. by T. Abe, W. M. Bullis, S. Kobayashi, W. Lin, P. Wagner (The Electrochemical Society, Pennington 1999) 357 W. v. Ammon, E. Dornberger, H. Oelkrug, H. Weidner: J. Cryst. Growth 151, 273 (1995) M. Hourai, E. Kajita, T. Nagashima, H. Fujiwara, S. Umeno, S. Sadamitsu, S. Miki, T. Shigematsu: Mater. Sci. Forum 196–201, 1713 (1995) E. Dornberger, W. v. Ammon: J. Electrochem. Soc 143(5), 1648 (1996) T. Sinno, R. A. Brown, W. v. Ammon, E. Dornberger: Appl. Phys. Lett. 70(17), 2250 (1997) M. Akatsuka, M. Okui, N. Morimoto, K. Sueoka: Jpn. J. Appl. Phys. 40, 3055 (2001) R. Falster, V. V. Voronkov, F. Quast: Phys. Status Solidi B 222, 219 (2000) N. Fukata, A. Kasuya, M. Suezawa: Jpn. J. Appl. Phys. 40, L854 (2001) T. Frewen, T. Sinno, E. Dornberger, R. Hoelzl, W. v. Ammon, H. Bracht: J. Electrochem. Soc. 150(11), G673 (2003) D. A. Antoniadis, I. Moskowitz: J. Appl. Phys. 53(10), 6780 (1982) H. J. Gossmann, C. S. Rafferty, A. M. Vredenberg, H. S. Luftman, F. C. Unterwald, D. J. Eaglesham, D. C. Jacobson, T. Boone, J. M. Poate: Appl. Phys. Lett. 64(3), 312 (1994) T. Sinno: Thermophysical properties of intrinsic point defects in crystalline silicon, Proc 9th Int. Symp. on Silicon Materials Science and Technology, Semiconductor Silicon, Philadelphia 2002, ed. by H. R. Huff, L. Fabry, S. Kishino (The Electrochemical. Society, Pennington 2002) 212 T. Ueki, M. Itsumi, T. Takeda: Jpn. J. Appl. Phys. 37, 1669 (1998) M. Itsumi: J. Cryst. Growth 237–239, 1773 (2002) S. Umeno, Y. Yanase, M. Hourai, M. Sano, Y. Shida, H. Tsuya: Jpn. J. Appl. Phys. 38, 5725 (1999) M. Nishimura, Y. Yamaguchi, K. Nakamura, J. Jablonski, M. Watanabe: Electrochem. Soc. Symp. Proc. 98–13, 188 (1998) J. Ryuta, E. Morita, T. Tanaka, Y. Shimanuki: Jpn. Appl. Phys. 29, L1947 (1990) H. Yamagishi, I. Fusegawa, N. Fujimaki, M. Katayama: Semicond. Sci. Techn. 7, A135 (1992) P. J. Roksnoer, M. M. B. Van de Boom: J. Cryst. Growth 53, 563 (1981) H. Bender, J. Vanhellemont, R. Schmolke: Jpn. J. Appl. Phys. 36, L1217 (1997) R. Schmolke, W. Angelberger, W. v. Ammon, H. Bender: Solid State Phenom. 82–84, 231 (2002)
5.54 5.55 5.56 5.57
5.58 5.59
5.60
5.61 5.62 5.63
5.64
5.65
5.66 5.67
5.68
5.69
K. Nakai, M. Hasebe, K. Ohta, W. Ohashi: J. Cryst. Growth 210, 20 (2000) H. Föll, B. O. Kolbesen: Appl. Phys. 8, 319 (1975) P. M. Petroff, A. J. R. de Kock: J. Cryst. Growth 36, 1822 (1976) J. Chikawa, T. Abe, H. Harada: Impurity effect on the formation of microdefects during silicon crystal growth. In: Semiconductor Silicon, ed. by H. R. Huff, T. Abe, B. Kolbesen (The Electrochemical Society, Pennington 1986) p. 61 H. Föll, U. Gösele, B. O. Kolbesen: J. Cryst. Growth 40, 90 (1977) E. Dornberger, J. Esfandyari, D. Gräf, J. Vanhellemont, U. Lambert, F. Dupret, W. v. Ammon: Simulation of grown-in voids in Czochralski silicon crystals, Crystalline Defects and Contamination Control: Their Impact and Control in Device Manufacturing II, Nürnberg 1997, ed. by B. O. Kolbesen, P. Stallhofer, C. Claeys, F. Tardiff (The Electrochemical Society, Pennington 1997) 40 R. Schmolke, M. Blietz, R. Schauer, D. Zemke, H. Oelkrug, W. v. Ammon, U. Lambert, D. Gräf: Advanced silicon wafers for 0.18 µm design rule and beyond: Epi and fLASH!, High Purity Silicon VI, Phoenix 2000, ed. by C. L. Claeys, P. Rai-Choudhury, M. Watanabe, P. Stallhofer, H. J. Dawson (The Electrochemical. Society, Pennington 2000) 1 W. v. Ammon, E. Dornberger, P. O. Hansson: J. Cryst. Growth 198/199, 390 (1999) V. V. Voronkov: J. Cryst. Growth 59, 625 (1982) M. Hasebe, Y. Takeoka, S. Shinoyama, S. Naito: Ringlike distributed stacking faults in CZ-Si wafers. In: Defect Control in Semiconductors, ed. by K. Sumino (Elsevier, Amsterdam 1990) p. 157 H. Yamagishi, I. Fusegawa, K. Takano, E. Iino, N. Fujimaki, T. Ohta, M. Sakurada: Evaluation of FDPs and COPs in silicon single-crystals, Semiconductor Silicon, San Francisco 1994, ed. by H. R. Huff, W. Bergholz, K. Sumino (The Electrochemical Society, Pennington 1994) 124 W. v. Ammon, E. Dornberger: Properties of Crystalline Silicon, EMIS Datareviews Series 20, ed. by R. Hull (INSPEC, London 1999) p. 37 V. V. Voronkov, R. Falster: J. Cryst. Growth 194, 76 (1998) E. Dornberger, D. Gräf, M. Suhren, U. Lambert, P. Wagner, F. Dupret, W. v. Ammon: J. Cryst. Growth 180, 343 (1997) E. Dornberger, J. Esfandyari, J. Vanhellemont, D. Gräf, U. Lambert, F. Dupret, W. v. Ammon: Simulation of non-uniform grown-in void distributions in Czochralski crystal growth, Semiconductor Silicon, San Francisco 1998, ed. by H. R. Huff, U. Gösele, H. Tsuya (The Electrochemical Society, Pennington 1998) 490 M. Hourai, T. Nagashima, E. Kajita, S. Miki: Oxygen precipation behavior in silicon during Czochralski crystal growth, Semiconductor Silicon, San Francisco
Defects in Monocrystalline Silicon
5.70
5.71 5.72 5.73 5.74 5.75 5.76 5.77 5.78
5.80 5.81 5.82 5.83 5.84 5.85 5.86 5.87
5.88
5.89 5.90
5.91
5.92
5.93 5.94 5.95 5.96
5.97
5.98
5.99 5.100
5.101 5.102 5.103 5.104 5.105 5.106 5.107
Czochralski silicon, Semiconductor Silicon, San Francisco 1994, ed. by H. R. Huff, W. Bergholz, K. Sumino (The Electrochemical Society, Pennington 1994) 136 K. Nakai, Y. Inoue, H. Yokota, A. Ikari, J. Takahashi, A. Tachikawa, K. Kitahara, Y. Ohta, W. Ohashi: J. Appl. Phys. 85(8), 4301 (2001) F. Shimura, R. S. Hockett: Appl. Phys. Lett. 48, 224 (1986) Q. Sun, K. H. Yao, H. C. Gatos, J. Lagowski: J. Appl. Phys. 71(8), 3760 (1992) K. Aihara, H. Takeno, Y. Hayamizu, M. Tamatsuka, T. Masui: J. Appl. Phys. 88(6), 3705 (2000) K. Nakai, Y. Inoue, H. Yokota, A. Ikari, J. Takahashi, W. Ohashi: Formation of grown-in defects in nitrogen doped CZ-Si crystals, Proc 3rd Int. Symp. on Advanced Science and Technology of Silicon Materials, Kona, Hawaii 2000, ed. by M. Umeno (145th Committee of the Japan Society for the Promotion of Science, Kona 2000) 88 D. Gräf, U. Lambert, R. Schmolke, R. Wahlich, W. Siebert, E. Daub, W. v. Ammon: 300 mm Epi pp-wafer: Is there sufficient gettering?, Proc. 6th Int. Symp. on High Purity Silicon, Seattle, Washington 2000, ed. by C. L. Claeys, P. Rai-Choudhury, M. Watanabe, P. Stallhofer, H. J. Dawson (The Electrochemical Society, Pennington 2000) 319 H. J. Stein: Nitrogen in crystalline silicon, Proc. Int. Symp. on Oxygen, Carbon, Hydrogen and Nitrogen in Crystalline Silicon, Boston 1986, ed. by J. C. Mikkelsen Jr., S. J. Pearton, J. W. Corbett, S. J. Pennycook (Materials Research Society, Pittsburg 1986) 523 Y. Itoh, T. Abe: Appl. Phys. Lett. 53(1), 39 (1988) A. Hara, A. Ohsawa: Interaction of oxygen and other point defects in silicon crystals, Proc. Int. Symp. on Advanced Science and Technology of Silicon Materials, Kona, Hawaii 1991, ed. by K. Kohra (145th Committee of the Japan Society for the Promotion of Science, Kona 1991) 47 H. Sawada, K. Kawakami: Phys. Rev. B 62(3), 1851 (2000) H. Kageshima, A. Taguchi, K. Wada: Appl. Phys. Lett. 76(25), 3718 (2000) R. Jones, S. Öberg, F. B. Rasmussen, B. B. Nielson: Phys. Rev. Lett. 72, 1882 (1994) K. L. Brower: Phys. Rev. B 26, 6040 (1982) H. J. Stein: Appl. Phys. Lett. 47(12), 1339 (1985) K. Murakami, H. Itoh, K. Takita., K. Masuda: Appl. Phys. Lett. 45(2), 176 (1984) W. v. Ammon, D. Gräf, W. Zulehner, R. Schmolke, E. Dornberger, U. Lambert, J. Vanhellemont, W. Hensel: Suppression of point defect aggregation in FZ silicon single crystals by nitrogen doping; Extendend Abstracts, Semiconductor Silicon, San Diego 1998, ed. by H. R. Huff, U. Gösele, H. Tsuya (The Electrochemical Society, Pennington 1998) Abstract no. 512
119
Part A 5
5.79
1994, ed. by H. R. Huff, W. Bergholz, K. Sumino (The Electrochemical Society, Pennington 1994) 156 T. Iwasaki, A. Tomiura, K. Nakai, H. Haga, K. Kojima, T. Nakashizu: Influence of coolingcondition during crystal growth of CZ-Si on oxide breakdown property, Semiconductor Silicon, San Francisco 1994, ed. by H. R. Huff, W. Bergholz, K. Sumino (The Electrochemical Society, Pennington 1994) 744 K. Takano, K. Kitagawa, E. Iino, M. Kimura, H. Yamagishi: Mater. Sci. Forum 196–201, 1707 (1995) M. Akatsuka, M. Okui, S. Umeno, K. Sueoka: J. Electrochem. Soc. 150(9), G587 (2003) J. Furukawa, H. Tanaka, Y. Nakada, N. Ono, H. Shiraki: J. Cryst. Growth 210, 26 (2000) V. V. Voronkov, R. Falster: J. Appl. Phys. 86(11), 5975 (1999) A. Natsume, N. Inoue, K. Tanahashi, A. Mori: J. Cryst. Growth 225, 221 (2001) T. Sinno, E. Dornberger, W. v. Ammon, R. A. Brown, F. Dupret: Mater. Sci. Eng. 28, 149 (2000) Z. Wang, R. Brown: J.Crystal Growth 231, 442 (2001) E. Dornberger, W. v. Ammon, D. Gräf, U. Lambert, A. Miller, H. Oelkrug, A. Ehlert: The impact of dwell time above 900 ◦ C during crystal growth on the gate oxide integrity of silicon wafers, Proc. 4th Int. Symp. on High Purity Silicon, San Antonio 1996, ed. by C. L. Claeys, P. Rai-Choudhury, M. Watanabe, P. Stallhofer, H. J. Dawson (The Electrochemical Society, Pennington 1996) 140 J. Esfandyari, G. Hobler, S. Senkader, H. Pötzl, B. Murphy: J. Electrochem. Soc. 143, 995 (1996) V. V. Voronkov, R. Falster: J. Cryst. Growth 198/199, 399 (1999) V. V. Voronkov, R. Falster: J. Appl. Phys. 87(9), 4126 (2000) T. A. Frewen, S. S. Kapur, W. Haeckl, W. v. Ammon, T. Sinno: J. Crystal Growth 279, 258 (2005) N. I. Puzanov, A. M. Eidenzon: Semicond. Sci. Technol. 7, 406 (1992) K. Nakamura, T. Saishoji, J. Tomioka: J. Cryst. Growth 237–239, 1678 (2002) V. V. Voronkov: Mater. Sci. Eng. B73, 69 (2000) V. V. Voronkov, R. Falster: J. Crystal Growth 226, 192 (2001) J. Takahashi, K. Nakai, K. Kawakami, Y. Inoue, H. Yokota, A. Tachikawa, A. Ikari, W. Ohashi: Jpn. J. Appl. Phys. 42, 363 (2003) T. Abe, M. Kimura: In: Semiconductor Silicon, 1990, ed. by H. R. Huff, K. Barraclough, J. Chikawa (The Electrochemical Society, Pennington 1990) p. 105 D.-R. Yang, Y.-W. Wang, H.-N. Yao, D.-L. Que: Progress in Natural Science 3(2), 176 (1993) W. v. Ammon, R. Hoelzl, T. Wetzel, D. Zemke, G. Raming, M. Blietz: Microelectron. Eng. 66, 234 (2003) W. v. Ammon, A. Ehlert, U. Lambert, D. Gräf, M. Brohl, P. Wagner: Gate oxide related bulk properties of oxygen doped floating zone and
References
120
Part A
Fundamental Properties
Part A 5
5.108 K. Nakamura, T. Saishoji, S. Togawa, J. Tomioka: The effect of nitrogen on the grown-in defect formation in CZ silicon crystals. In: Proceedings of the Kazusa Akademia Park Forum on the Science and Technology of Silicon Materials, ed. by K. Sumino (Kazusa Akademia Park, Chiba 1999) p. 116 5.109 V. V. Voronkov, R. Falster: J. Electrochem. Soc. 149(3), G167 (2002) 5.110 W. B. Knowlton, J. T. Walton, J. S. Lee, Y. K. Wong, E. E. Haller, W. v. Ammon, W. Zulehner: Mater. Sci. Forum 196–201, 1761 (1995) 5.111 T. Ono, S. Umeno, T. Tanaka, E. Asayama, M. Hourai: Behavior of defects in nitrogen doped CZ-Si crystals, Proc. Int. Symp. of the Forum on the Science and Technology of Silicon Materials, Shonan Village Center, Kanagawa 2001, ed. by H. Yamata-Kaneta, K. Sumino (Japan Technical Information Service, Tokyo 2001) 95 5.112 K. Nakamura, T. Saishoji, S. Togawa, J. Tomioka: Influence of nitrogen on the pont defect reaction in silicon, Proc. Int. Symp. of the Forum on the Science and Technology of Silicon Materials, Shonan Village Center 2001, ed. by H. Yamata-Kaneta, K. Sumino (Japan Technical Information Service, Tokyo 2001) 109 5.113 W. v. Ammon, R. Hölzl, J. Virbulis, E. Dornberger, R. Schmolke, D. Gräf: J. Cryst. Growth 226(1), 19 (2001) 5.114 P. Wagner, R. Oeder, W. Zulehner: Appl. Phys. A 46, 73 (1988) 5.115 W. v. Ammon, P. Dreier, W. Hensel, U. Lambert, L. Köster: Mater. Sci. Eng. B36, 33 (1996) 5.116 M. W. Qi, S. S. Tan, B. Zhu, P. X. Cai, W. F. Gu, M. Xu, T. S. Shi, D. L. Que, L. B. Li: J. Appl. Phys. 69, 3775 (1991) 5.117 A. Gali, J. Miro, P. Deak, C. Ewels, R. Jones: J. Phys. Condens. Mat. 8, 7711 (1996)
5.118 W. v. Ammon: Crystal growth of large diameter CZ Si crystals, Proc 2nd Int. Symp. on Advanced Science and Technology of Silicon Materials, Kona, Hawaii 1996, ed. by M. Umeno (145th Committee of the Japan Society for the Promotion of Science, Kona 1996) 233 5.119 M. Suhren, D. Gräf, U. Lambert, P. Wagner: Crystal defects in highly boron doped silicon, Proc. 4th Int. Symp. on High Purity Silicon, San Antonio 1996, ed. by C. L. Claeys, P. Rai-Choudhury, M. Watanabe, P. Stallhofer, H. J. Dawson (The Electrochemical Society, Pennington 1996) 132 5.120 T. Sinno, H. Susanto, R. Brown, W. v. Ammon, E. Dornberger: Appl. Phys. Lett. 75, 1544 (1999) 5.121 T. Abe, T. Masui, H. Harada, J. Chikawa: In: VLSI Science and Technology, 1985, ed. by W. M. Bullis, S. Broyda (The Electrochemical Society, Pennington 1985) p. 543 5.122 R. Takeda, T. Minami, H. Saito, Y. Hirano, H. Fujimori, K. Kashima, Y. Matsushita: Influence of LSTD size on the formation of denuded zone in hydrogen-annealed CZ silicon wafers, Proc. 6th Int. Symp. on High Purity Silicon, Phoenix 2000, ed. by C. L. Claeys, P. Rai-Choudhury, M. Watanabe, P. Stallhofer, H. J. Dawson (The Electrochemical Society, Pennington 2000) 331 5.123 S. Kishino, M. Kanamori, N. Yoshihizo, M. Tajima, T. Iizuka: J. Appl. Phys. 50, 8240 (1978) 5.124 T. Fukuda: Appl. Phys. Lett. 65(11), 1376 (1994) 5.125 F. Shimura: J. Appl. Phys. 59, 3251 (1986) 5.126 K. Sueoka, M. Akatsuka, K. Nishihara, T. Yamamoto, S. Kobayashi: Mater. Sci. Forum 196–201, 1737 (1995) 5.127 J. Vanhellemont, C. Claeys: J. Appl. Phys. 62(9), 3960 (1987)
121
6. Diffusion in Semiconductors
Diffusion in S Atomic diffusion in semiconductors refers to the migration of atoms, including host, dopant and impurities. Diffusion occurs in all thermodynamic phases, but the solid phase is the most important in semiconductors. There are two types of semiconductor solid phase: amorphous (including organic) and crystalline. In this chapter we consider crystalline semiconductors and describe the processes by which atoms and defects move between lattice sites. The emphasis is on describing the various conditions under which diffusion can occur, as well as the atomic mechanisms that are involved, rather than on tabulating data. For brevity’s sake, we also focus on the general features found in the principal semiconductors from Groups IV, III–V and II–VI; IV–VI and oxide semiconductors are excluded from consideration. It is not surprising that most of the data available in this field relate to the semiconductors that are technologically important – they are used to fabricate electronic and optoelectronic devices. One unavoidable consequence of this technological need is that diffusion data tend to be acquired in a piecemeal fashion.
Basic Concepts..................................... 122
6.2
Diffusion Mechanisms .......................... 6.2.1 Vacancy and Interstitial Diffusion Mechanisms ............................. 6.2.2 The Interstitial–Substitutional Mechanism: Dissociative and Kick-Out Mechanisms .......... 6.2.3 The Percolation Mechanism ........
122 122
122 123
Diffusion describes the movement of atoms in space, primarily due to thermal motion, and it occurs in all forms of matter. This chapter focuses on atom diffusion in crystalline semiconductors, where diffusing atoms migrate from one lattice site to another in the semiconductor crystal. The diffusion of atoms and defects is at the heart of material processing, whether at the growth or post-growth stage, and control over diffusion
Diffusion Regimes ............................... 6.3.1 Chemical Equilibrium: Selfand Isoconcentration Diffusion ... 6.3.2 Chemical Diffusion (or Diffusion Under Nonequilibrium Conditions)........ 6.3.3 Recombination-Enhanced Diffusion .................................. 6.3.4 Surface Effects .......................... 6.3.5 Short Circuit Paths ..................... 6.4 Internal Electric Fields ......................... 6.5 Measurement of Diffusion Coefficients... 6.5.1 Anneal Conditions ..................... 6.5.2 Diffusion Sources ...................... 6.5.3 Profiling Techniques .................. 6.5.4 Calculating the Diffusion Coefficient................................ 6.6 Hydrogen in Semiconductors ................ 6.7 Diffusion in Group IV Semiconductors .... 6.7.1 Germanium .............................. 6.7.2 Silicon ..................................... 6.7.3 Si1−x Gex Alloys ......................... 6.7.4 Silicon Carbide .......................... 6.8 Diffusion in III–V Compounds................ 6.8.1 Self-Diffusion ........................... 6.8.2 Dopant Diffusion ....................... 6.8.3 Compositional Interdiffusion ...... 6.9 Diffusion in II–VI Compounds................ 6.9.1 Self-Diffusion ........................... 6.9.2 Chemical Self-Diffusion.............. 6.9.3 Dopant Diffusion ....................... 6.9.4 Compositional Interdiffusion ...... 6.10 Conclusions ......................................... 6.11 General Reading and References........... References ..................................................
123 123
123 125 125 125 126 126 126 126 126 127 127 128 128 128 129 129 130 130 130 131 131 132 132 132 132 133 133 133
is the basis of process simulation and defect engineering. Such control calls for an understanding of the diffusion processes involved in a given situation. The needs of device technology have provided the main impetus for investigations into the diffusion of atoms in semiconductors. As the physical dimensions of devices have shrunk, the barriers to understanding diffusion mechanisms and processes in complex structures have greatly multiplied.
Part A 6
6.1
6.3
122
Part A
Fundamental Properties
6.1 Basic Concepts Consider a particle in a three-dimensional isotropic lattice which migrates by making jumps from one lattice site to a nearest neighbor site. If the distance between nearest neighbor sites is a and the particle makes n jumps in time t, then, assuming each jump is random (so the directions of successive jumps are independent of each other), the mean square displacement R2 is equal to na2 [6.1]. Fick’s first law defines the associated diffusivity D to be na2 /6t = R2 /6t = va2 /6, where v = n/t is the average jump rate√ of the particle. Taking the diffusion length as 2 (Dt), it follows that this is also equal to 2 (R2 /6). For D = 10−12 cm2 /s, t = 104 s
and a = 2 × 10−8 cm, the diffusion length is 2 µm, n = 1.5 × 108 jumps and the total distance na traveled by the particle is 3 cm. However, it turns out that in most diffusion mechanisms successive jumps are correlated, not random. The effect of nonrandom jumps is to decrease the diffusivity of the particle relative to what it would be if the jumps were random. Taking this correlation into account leads to D = fva2 /6, where f (≤ 1) is the correlation factor [6.1], v is temperaturedependent and f may or may not be, depending on the particular situation. Overall, the temperature dependence of D is found to obey the Arrhenius relation D = D0 exp(−Q/kT ).
6.2 Diffusion Mechanisms
Part A 6.2
Two categories of diffusion mechanism are recognized: defect and nondefect. A simple example of the latter class is the simultaneous jumps of two adjacent atoms in order to exchange sites. There is a general consensus, however, that nondefect mechanisms do not play any significant role in semiconductor diffusion, although recently nondefect contributions have been proposed for self- and dopant diffusions in Si [6.2]. In the elemental semiconductors Si and Ge, vacancies and interstitials are the primary defects. In binary compound semiconductors (such as GaAs and ZnSe) there are two sublattices, the anion and cation, so there are vacancies and interstitials for each sublattice together with antisite defects on each sublattice. Further complexity arises due to the various states of ionization of the defects: the mobility of a defect depends on its charge state. The relative concentrations of the different charge states will be determined by the position of the Fermi level.
6.2.1 Vacancy and Interstitial Diffusion Mechanisms In the Si lattice, a vacancy VSi can migrate by a nearest neighbor Si atom jumping into the vacancy (in other words, the Si atom and VSi have exchanged sites so that the Si atom has also migrated). Equally, a substitutional dopant atom can migrate by jumping into a VSi at a nearest neighbor site. Similarly, in a binary semiconductor such as GaAs, Ga atoms can migrate over the Ga sublattice via jumps into nearest neighbor Ga vacancies, as can dopant atoms substituting into the Ga sublattice. Anti-site defects can diffuse by jumping into vacancies
in the same sublattice, such as the As anti-site defect in GaAs, AsGa , diffusing by jumps into Ga vacancies. For self-interstitials, such as Sii or Gai , their concentrations are sufficiently small for neighboring interstitial sites to always be empty, which means that the occupancy of nearest neighbor sites is not a factor when determining jump rates. If a self-interstitial, such as Sii , pushes a Si atom on a normal lattice site into an interstitial site instead of jumping into a neighboring interstitial site, and therefore replaces the displaced Si atom, the process is known as the “interstitialcy mechanism”. This concept extends to a substitutional dopant atom forming a pair with a self-interstitial, which then migrates with the dopant atom, alternating between substitutional and interstitial sites. It is also possible for point defects to form complexes which can diffuse as a single entity. Examples are the Frenkel pair VSi Sii , di-vacancies such as VGa VGa or VGa VAs , and the defect pair formed between a substitutional dopant atom and an adjacent vacancy.
6.2.2 The Interstitial–Substitutional Mechanism: Dissociative and Kick-Out Mechanisms The interstitial–substitutional diffusion mechanism arises when a dopant species Z occupies both interstitial and substitutional sites, represented by Zi and Zs respectively, and diffusion is restricted to jumps of Zi . In this case, we may ask how the Zs concentration [Zs ] is linked to the Zi migration. Consider the diffusion of Z in Si. The dissociative mechanism (also known as the Frank–Turnbull mechanism) is based on the defect
Diffusion in Semiconductors
interaction Zi + VSi Zs and application of the law of mass action (LMA) leads to [Zi ][VSi ]∝[Zs ]. In the kick-out mechanism, the defect interaction is Zi + Sis Zs + Sii and therefore [Zi ]∝[Zs ][Sii ] ([Sis ] is omitted because it is effectively constant). In order to sustain growth in [Zs ] by either mechanism, it is clearly necessary to have either a supply of VSi or a means of removing Sii . For simplicity, neutral charge states have been assigned to all of the defects in these two interactions. A detailed treatment of the kick-out mechanism has been given by Frank et al. [6.3]. For in-diffusion of Z, the Frank–Turnbull mechanism consumes vacancies and will therefore tend to reduce the local vacancy concentration, whereas the
6.3 Diffusion Regimes
123
local self-interstitial concentration will be enhanced by the kick-out mechanism. Out-diffusion of Z reverses the effects on the native defect concentrations.
6.2.3 The Percolation Mechanism The percolation mechanism [6.4] was proposed to explain group V dopant diffusion in Si at high dopant concentrations (in excess of ≈ 1%). At low concentrations diffusion is via dopant-VSi pairs. As the dopant concentration increases, regions occur in the Si lattice where the proximity of the dopant atoms enhances the mobility and concentration of the VSi . Within this network the diffusivity of dopant-VSi pairs is thereby also enhanced so that the dopant diffusivity increases overall. The percolation network only forms once the dopant concentration exceeds a certain critical value. In principle this mechanism could extend to other highly doped materials.
6.3 Diffusion Regimes The mobility of a native defect and/or dopant atom reflects the physical and chemical environment under which diffusion is occurring. Two types of environment arise: conditions of chemical equilibrium and those of chemical nonequilibrium. Diffusion in temperature gradients is excluded – only isothermal conditions are considered.
Chemical equilibrium means that the concentrations of all chemical components, including native defects, are uniform throughout the semiconductor, and where appropriate (such as in a compound material), the solid is in equilibrium with the ambient vapor of the components so that the level of nonstoichiometry is defined. Experimentally this requires diffusion to be carried out in a sealed system. Self-diffusion refers to the diffusion of the host atoms, such as Si atoms diffusing in the Si lattice. Isoconcentration diffusion describes the diffusion of dopant atoms when the same dopant concentration is uniform throughout the sample, such as for As diffusion in Si for a constant As doping level. In either case, diffusion can only be observed if some of the particular diffusing atoms are tagged, such as by using a radioisotope or an isotopically enriched diffu-
6.3.2 Chemical Diffusion (or Diffusion Under Nonequilibrium Conditions) This category contains all of the diffusion phenomena that are of technological interest and importance. In this case, diffusion occurs due to spatial gradients in the concentrations of the chemical components in the material, which are in turn caused by departures from equilibrium: the diffusion processes are attempting to either restore or achieve equilibrium. Chemical Self-Diffusion Chemical self-diffusion describes the process whereby a compound semiconductor changes from one level of nonstoichiometry to another through changes in the native defect populations. These changes can arise due to a change in the ambient partial pressure of one
Part A 6.3
6.3.1 Chemical Equilibrium: Self- and Isoconcentration Diffusion
sion source. The diffusivity of a tagged or tracer species is related to the concentration of the native defect that provides the diffusion path, and the self-diffusivity (the diffusivity of the tracer) is always significantly smaller than the associated defect diffusivity. Specific relations can be found in Shaw [6.5]. A diffusion flux of the tracer arises from a tracer concentration gradient, which is not to be confused with a chemical concentration gradient.
124
Part A
Fundamental Properties
of the components (that of As in the case of GaAs for example), or through a change in temperature under a defined or controlled component partial pressure. Good examples are provided by II–VI semiconductors [6.6] and in particular Hg0.8 Cd0.2 Te [6.7], where p- to n-type conversion is used to form p–n junctions by annealing in Hg vapor. Changes in the native defect concentrations can also lead to an increased dopant diffusivity; an increase in the vacancy concentration will enhance any diffusivity based on a vacancy mechanism for instance.
Part A 6.3
Dopant Diffusion Suppose we have a dopant diffusing into the semiconductor from a source located at an external surface (such as a surface layer) or in an external phase (such as a gas or vapor). The existence of the dopant concentration gradient can lead to various effects which can influence the dopant flux. For example, if a dopant diffuses via a vacancy mechanism, then at any position in the diffusion region the increase in the dopant concentration requires a supply of vacancies, so that to maintain local defect equilibrium there must also be an associated vacancy flux. If the dopant controls the position of the Fermi level, then the concentrations of ionized native defects will increase or decrease, depending on their charge state, relative to their intrinsic concentrations (the Fermi-level effect). This means that the concentrations of native defects of opposite (the same) polarity to the dopant will be increased (decreased). Increases in the concentrations of ionized native defects due to Zn, Si or Te diffusion into GaAs/GaAlAs superlattice structures explain the disordering of the superlattices [6.8]. Usually a substitutional dopant atom will have a different size to that of the host atom it replaces. This size difference creates a local mechanical strain which in turn can cause changes in the local concentrations of native defects as well as to jump rates and hence dopant diffusivity [6.9]. If the strain is large enough, misfit dislocations will be generated [6.10], otherwise there will be a strain energy gradient matching the dopant concentration gradient which can enhance or retard the dopant flux [6.11]. More recently a new scenario has emerged: dopant diffusion in strained epilayers. Whether diffusion is enhanced or retarded depends on several poorly understood parameters [6.12]. However, significant effects are found, such as the decrease in the B diffusivity in strained Si1−x Gex epilayers by a factor of ≈ 10 as the strain increased from zero to 0.64 [6.13].
Compositional Interdiffusion (CID) Compositional interdiffusion describes diffusion across the interface separating two materials of different chemical composition. Chemical composition here refers to major components; dopants and deviations from stoichiometry are excluded. CID can be exploited when making graded bandgap structures and during material preparation, such as in Hg1−x Cdx Te, where an alternating sequence of HgTe and CdTe epilayers of appropriate thicknesses are first grown and then interdiffused. CID can also pose problems in the fabrication of multiple quantum wells and superlattice structures when sharp boundaries are necessary. In particular, donor or acceptor dopant diffusion into GaAs-based superlattices can cause essentially complete intermixing on the cation sublattice [6.8]. This phenomenon is also known as diffusion-induced disorder. B or As doping also results in rapid intermixing at a Si/Ge interface [6.14]. Transient Enhanced Diffusion (TED) Ion implantation is often the preferred way to achieve a doped layer. The implantation process does however create a significant amount of lattice damage so that a subsequent anneal stage is needed in order to achieve full electrical activity of the implanted dopant and recovery of the lattice damage. During the implantation process, the implant ions create collision cascades of vacancies and self-interstitials (an excess of native defects). The post-implant anneal serves to remove or reduce this excess. In addition to vacancy/interstitial recombination, the excess native defects can interact to form clusters (which also may contain implant ions as well as residual impurities) and extended defects, such as dislocation loops. At the start of the post-implant anneal, the local concentrations of vacancies and selfinterstitials in the implant region can greatly exceed equilibrium values and therefore enhance the implant ion diffusivity in the implant region. As annealing proceeds the excess concentrations will diminish and will be reflected in a diminishing dopant diffusivity until values appropriate to local equilibrium are reached. This temporary enhancement in the dopant diffusivity is known as TED. The topic is a complex one to analyze quantitatively and detailed consideration of the issues involved in the case of B implants in Si can be found in the review by Jain et al. [6.15]. In the fabrication of shallow p–n junctions using ion implants and rapid thermal annealing (RTA), TED can determine the lower limit to junction depth. TED of B in Si can be reduced with coimplants of Si prior to RTA [6.16]. TED of Be and Si
Diffusion in Semiconductors
in GaAs has also been discussed [6.17]. Some workers use the term TED to describe the enhanced diffusivity of a dopant, incorporated during growth, which occurs when the structure is annealed at a higher temperature than the growth temperature, such that there is an initial supersaturation of the relevant native defects at the anneal temperature.
125
been described by Solmi et al. [6.25]. A cluster (or agglomerate) refers to a configuration of at least a few dopant atoms (with or without associated native point defects) or host species. Solmi and Nobili [6.26] have identified (2AsSi − VSi )0 and (4AsSi − VSi )+ clusters in heavily As-doped Si. Heavy C doping [6.27] and B implants [6.28] in Si give rise to self-interstitial clusters with C and B respectively. In Si, according to Ortiz et al. [6.29], if the number of self-interstitials in a cluster exceeds ≈ 10 there is a transition to a {113} defect.
6.3.3 Recombination-Enhanced Diffusion The local energy released in the nonradiative recombination of excess free carriers can help a diffusing species to surmount the energy barrier separating it from an adjacent lattice site – in other words, the energy barrier facing a jump is effectively reduced. This situation is important in the degradation of performance of device structures which utilize high excess minority carrier concentrations, such as light-emitting and laser diodes.
6.3.4 Surface Effects The concentrations of native point defects within the bulk can be altered by surface processes. In the case of Si it is well known that during surface oxidation or nitridation there is injection of Si interstitials or of vacancies respectively. All diffusants can therefore be affected during the duration of the process. Ion beam milling causes the injection of Hg interstitials into Hg1−x Cdx Te in sufficient quantities to effect p- to n-type conversion.
6.3.5 Short Circuit Paths The existence of dislocations and subgrain boundaries in single-crystal materials generally provides high diffusivity routes for all atomic species relative to the surrounding matrix. Care is always needed when evaluating experimental data to ensure that bulk diffusion is not being masked by short circuit paths [6.30]. In the case of polycrystalline Si, the grain boundaries may provide high diffusivity routes, as in the cases of As and B [6.31], or retard diffusion, as for Au [6.32]. The situation is a complex one, as grain growth also occurs during any anneal. Kaur et al. [6.33] have provided a comprehensive account of short circuit path diffusion.
Part A 6.3
Segregation, Gettering, Precipitation and Clustering A variety of important scenarios arise, involving many of the above regimes, during growth and/or thermal processing stages of materials and structures. The segregation of acceptor dopants in InP [6.18] and in III–V heterostructures [6.19, 20] has been observed and modeled. The segregation (or accumulation) due to diffusion of the dopant is in effect a partitioning process to preferred (higher solubility) regions within the layer structure. Gettering describes the segregation, or cleanup, of a fast-diffusing impurity from the active regions of a device structure. Such impurities are typically Group IB and transition metals and are incorporated either during growth or during subsequent processing. Gettering sites in Si are provided by O precipitates, self-ion implant damage layers and nanocavities [6.21]. In contrast, Group IB impurities are gettered in Hg1−x Cdx Te by regions of high cation vacancy concentration [6.22]. Precipitation occurs when a species – whether native defect, dopant or impurity – becomes supersaturated, and in order to achieve its equilibrium concentration the species excess is removed by the formation of precipitates within the host lattice. A self-interstitial or vacancy excess can be removed through the nucleation of dislocation loops, stacking faults or voids, which then provide sites for the precipitation of the remaining excess. In the case of a dopant, impurity or nonstoichiometric excess, nucleation of a precipitate can be spontaneous (homogeneous) or heterogeneous. The latter occurs at the site of an impurity atom (for example, C atoms in Si serve as nucleation centers for the precipitation of O) or at dislocations, giving rise to the term “decoration”. Growth of any precipitate proceeds via diffusion of the particular species from solution in the matrix to the precipitate and is generally diffusion-limited. Invariably local stress fields will be present which influence the diffusion and, if present initially, they may also play a role in the nucleation stage. The precipitation of O impurities in Si presents a unique case study because of the high [O], its technological importance and its complexity [6.23, 24]. The rather simpler case of B precipitation in Si has
6.3 Diffusion Regimes
126
Part A
Fundamental Properties
6.4 Internal Electric Fields When the dopant concentration is large enough to make the diffusion zone electrically extrinsic, free carriers from the dopant, due to their much higher mobility, will diffuse ahead of the parent dopant atoms. This separation creates a local electric field whose direction is such as to pull the dopant atoms after the free carriers (and also to pull the free carriers back). Provided that the diffusion length >≈ six Debye screening lengths (typically ≈ 102 nm), the diffusion zone can be regarded as electrically neutral (the space charge density is negligible) [6.34]. In this situation the local electric field E is given by −(kT/en)(∂n/∂x) for an ionized donor dopant diffusing parallel to the xaxis, and nondegenerate conditions apply: k, T , e and n are Boltzmann’s constant, the absolute temperature, the electronic charge and the free electron concentration respectively. E exerts a force on each ionized donor (D+ ) parallel to the x-axis, creating a local donor flux −(D(D+ )[D+ ]/n)(∂n/∂x) due to drift in the electric
field: D(D+ ) is the donor diffusivity [6.35]. This drift flux adds to the diffusion flux, −D(D+ )∂[D+ ]/∂x, to give the total donor flux at any position in the diffusion region, so that the donor flux in this case is increased due to E. E will also cause drift of any other charged species. Internal electric fields can arise in other circumstances such as in depletion layers where E must be calculated from Poisson’s equation, in graded bandgap structures [6.11, 36], and at the interfaces of heterostructures. Cubic II–VI and III–V strained layer heterostructures grown on the {111} direction are piezoelectric and typical strains from lattice mismatch of ≈ 1% can give E ≈ 105 V/cm in the absence of free carrier screening [6.37]. In wurtzite heterostructures based on the Ga, In nitrides, even higher fields are found (E ≈ 106 V/cm) due to piezoelectric and spontaneous polarization [6.38]. These fields can be important in CID and chemical self-diffusion.
6.5 Measurement of Diffusion Coefficients 6.5.1 Anneal Conditions
Part A 6.5
Accurate control of sample temperature and ambient are essential if controlled and reproducible results are to be obtained in a diffusion anneal. Depending on the time spent at the anneal temperature, the warm-up and cool-down times may also be important. An appropriate choice of ambient is needed to preserve the sample surface (to avoid evaporation, surface melting or alloying with the dopant source for example). For compound semiconductors it is necessary to define the level of nonstoichiometry by controlling the ambient partial pressure of one of the components, such as As for GaAs or Hg for Hg1−x Cdx Te. If the dopant is in an external phase, knowledge of the phase diagram of all of the components is required [6.1, 35]. Control over partial pressure is best achieved in a sealed system, typically a fused silica ampoule. Annealing in a vertical or horizontal resistance-heated furnace requires a minimum anneal time of 30 to 60 min in order to avoid uncertainties due to warm-up and cool-down. The drive to shallow dopant profiles has been facilitated through rapid thermal annealing (RTA) techniques. These are based on radiant heating of the sample, and linear heating rates of 100–400 ◦ C/s with cooling rates of up to 150 ◦ C/s are available. RTA however precludes the use of a sealed
system and, in this case, a popular means of preventing surface deterioration is to seal the sample with an inert, impervious capping layer, made of silicon nitride for example.
6.5.2 Diffusion Sources Consideration is limited to planar samples with diffusion normal to a principal face. This is a common situation and diffusion of a dopant or tracer species can take place from: (i) a surrounding vapor or gas phase; (ii) a surface layer, which may be evaporated, chemically deposited (CVD) or a spun-on silicate glass, all incorporating the diffusant; (iii) epilayers containing the diffusant, which may provide the external surface or be buried within the epitaxial structure; (iv) ion implants of a dopant either directly into the sample surface or into a thin surface layer so as to avoid lattice damage. It is obviously desirable that negligible diffusion occurs prior to reaching the anneal temperature when the diffusant is incorporated into an epilayer. In self-diffusion experiments the tracer can be a radiotracer or an isotopically enriched species. A key requirement for either form of tracer is availability, and a radiotracer must have a half-life that is long enough for the experiments to be carried out.
Diffusion in Semiconductors
6.5.3 Profiling Techniques
(HRXRD) [6.40] or photoluminescence (PL). The detail in the X-ray diffraction patterns reflects the CID profiles at the interfaces and can also reveal the presence of strain in the structures. The use of PL requires the presence of optically active centers in the quantum well. CID changes the shape and depth of the quantum well, which in turn changes the photon energies in the luminescence spectra. HRXRD and PL can also be combined. A particular advantage of these techniques is that they allow successive anneals to be performed on the same sample.
6.5.4 Calculating the Diffusion Coefficient Once a planar concentration profile has been obtained, the first step is to see if the profile can be fitted to a solution of Fick’s second law. The simplest solution occurs for a diffusivity D independent of the diffusant concentration (c), for a constant surface concentration c0 and a diffusion length the layer √ or sample thickness. The solution is c = c0 erfc[x/2 (Dt)] [6.1]. If the profile is not erfc, it may be because D varies with c, and D (as a function of c) can be obtained by a Boltzmann–Matano analysis [6.35]. It is important to recognize that the erfc or Boltzmann–Matano solutions are only valid provided √ c0 does not change with time and that c/c0 versus x/ t for profiles at various t reduce to a single profile. More complex situations and profiles require numerical integration of the appropriate diffusion equation(s) and matching to the experimental c versus x profile; in other words a suitable model with adjustable parameters is used to simulate the observed profiles. The interpretation of HRXRD and/or PL data provides a good example of a simulation scene in which an assumed D, either c-dependent or -independent, is used to calculate the resulting CID profile and its effect on the X-ray patterns and/or PL spectra. Whereas SIMS can observe diffusivities as low as ≈ 10−19 cm2 /s, the HRXRD limit is ≈ 10−23 cm2 /s.
6.6 Hydrogen in Semiconductors Hydrogen is a ubiquitous element in semiconductor materials and can be incorporated either by deliberate doping or inadvertently, at significant concentrations, during growth and/or in subsequent surface treatments where organic solvents, acid or plasma etching are used. H is known to passivate electrically active centers by forming complexes with dopants and native defects as well as by bonding to the dangling bonds at extended
127
defects. Such interactions may well affect the diffusivities of the dopant and native defect. This expectation is realized in the case of O in Si, where the presence of H can enhance O diffusivity by two to three orders of magnitude [6.41]. Ab initio calculations show that, at least in the Group IV and III–V semiconductors, H is incorporated interstitially in the three charge states, H+ , H0 and H− , with the Fermi level controlling
Part A 6.6
Determining the spatial distribution of a diffusant for various anneal times is fundamental to obtaining its diffusion coefficient or diffusivity. Most methods are destructive, as they generally require a bevel section through the diffusion zone or the sequential removal of layers. The two broad profiling categories are electrical and species-specific. Electrical methods are primarily the p–n junction method, spreading resistance and capacitance–voltage profiling. Limitations of the electrical methods are: (i) assumptions are needed to link the electrical data to the diffusant (for example, that the diffusant is the only electrically active center and that it is fully ionized); (ii) the assumption that the anneal temperature defect situation is “frozen-in” during cooldown. Electrical methods are the most direct means of measuring chemical self-diffusivities and can readily detect changes in host concentrations of < 1 part in 104 . Species-specific (chemical element or isotope) profiling means that the chemical concentration of the diffusant is determined regardless of its location(s) in the lattice and of its electrical state. Profiling of the diffusant using a radiotracer has been widely used [6.39], but in the past decade or so secondary ion mass spectrometry (SIMS) has become what is essentially the standard procedure for diffusant profiling. This is because SIMS can measure diffusant concentrations within the range 1016 to 1022 cm−3 with spatial resolutions at best of several nanometers per decade (of concentration). Primary factors determining the resolution are progressive roughening of the eroded surface and “knock-on” effects due to the probing ion beam displacing the diffusant to greater depths. A further problem may arise when the atomic mass of a dopant is close to that of the host species. Nondestructive profiling techniques applicable to CID in quantum well and superlattice structures utilize either high-resolution X-ray diffraction
6.6 Hydrogen in Semiconductors
128
Part A
Fundamental Properties
the relative concentrations. In addition to interactions with dopant atoms and native defects, H2 molecules also form. Mathiot [6.42] has modeled H diffusion in terms of simultaneous diffusion by the three interstitial charge
states with the formation of immobile neutral complexes. In polycrystalline Si, the grain boundaries retard H diffusion, so H diffuses faster in the surrounding lattice than in the grain boundary.
6.7 Diffusion in Group IV Semiconductors Diffusants divide into one of five categories: self-, other Group IVs, slow diffusers (typically dopants from Groups III and V), intermediate diffusers and fast diffusers. The materials of interest are Ge, Si, Si/Ge alloys and SiC. A particular feature is that self-diffusion is always slower than the diffusion of other diffusants. With the exception of SiC, which has the zinc blende structure, as well as numerous polytypes (the simplest of which is the wurtzite, 2H − SiC, form), the other members of this group have the diamond lattice structure.
6.7.1 Germanium
Part A 6.7
The evidence to date identifies the dominant native defect in Ge as the singly ionized vacancy acceptor, V− Ge [6.43], which can account for the features found in self-diffusion and in the diffusivities of dopants from groups III and V. The self-diffusivity, relative to the electrically intrinsic value, is increased in n-type Ge and decreased in p-type as expected from the dependence of [V− Ge ] on the Fermi level. In intrinsic Ge the best parameters for the self-diffusivity are D0 = 13.6 cm2 /s and Q = 3.09 eV, from Werner et al. [6.44], because of the wide temperature range covered (535–904 ◦ C). The diffusivities of donor dopants (P, As, Sb) are very similar in magnitude, as are those for acceptor dopants (Al, In, Ga). The acceptor group diffusivities, however, are very close to the intrinsic self-diffusivity, whereas those for the donor group are 102 to 103 times larger. Li is a fast (interstitial) diffuser with a diffusivity exceeding the donor group diffusivities by factors of 107 to 105 between 600 and 900 ◦ C, whereas Cu [6.45] and Au [6.46] are intermediate (dissociative) diffusers.
6.7.2 Silicon Si stands alone due to the intensive investigations that have been lavished on it over the past 50 years. In the early days diffusion data yielded many perplexing features. Today the broad aspects are understood along with considerable detail, depending on the topic. Diffusion in Si covers many more topics than arise in any other semi-
conductor and it is still a very active area of R & D. It is now recognised that, apart from foreign purely interstitial species, self-interstitials, Sii , and vacancies, VSi , are involved in all diffusion phenomena. So far the best self-diffusion parameters obtained for intrinsic Si are D0 = 530 cm2 /s and Q = 4.75 eV in the temperature range 855–1388 ◦ C [6.47]. Two distinct facets of selfand dopant diffusion in Si are: (a) the diffusivity has two or three components, each with differing defect charge states; (b) the diffusivity reflects contributions from both Sii and VSi [6.2, 43, 48, 49]. Thus the Si self-diffusivity is determined by Sii and VSi mechanisms and by three separate defect charge states: neutral (0), positive (+) and negative (−). Identifying which charge state goes with which defect remains a problem. For the common dopants (B, P, As and Sb), B and P diffuse primarily via the Sii defect, As diffuses via both Sii and VSi defects, whereas Sb diffuses primarily via VSi . Two defect charge states are involved for B (0, 1+), As (0, 1−) and Sb (0, 1−), and three for P (0, 1−, 2−). The situation for Al [6.50], Ga [6.9] and In [6.51] has Sii dominant for Al and In diffusion whereas both Sii and VSi are involved for Ga. The associated charge states are Al (0, 1+), Ga (0, 1+) and In (0). The diffusivities of the Group V donor dopants (P, As, Sb) lie close to each other and are up to a factor of ≈ 10 greater than the self-diffusivity. The acceptor dopants (B, Al, Ga, In) also form a group with diffusivities that are up to a factor of ≈ 102 greater than the donor dopants. A recently observed interesting feature is that the diffusivities of B and P in intrinsic material depend on the length of the anneal time, showing an initial change until reaching a final value [6.52]. This time effect is attributed to the time needed for equilibration of the VSi and Sii concentrations at the anneal temperature. The data presented by Tan and Gösele [6.43] show that Au, Pt and Zn are intermediate (kick-out) diffusers and that H, Li, Cu, Ni and Fe are fast interstitial diffusers. Recent evidence shows that Ir diffusion occurs via both kick-out and dissociative mechanisms [6.53]. To provide some perspective: at 1000 ◦ C the diffusivity of H is ≈ 10−4 cm2 /s compared to a self-diffusivity
Diffusion in Semiconductors
of 8 × 10−17 cm2 /s. C and O are important impurities because, though electrically neutral, they occur in high concentrations and can affect the electrical properties. Although O occupies interstitial sites and diffuses interstitially it should be classed as an intermediate diffuser because a diffusion jump entails the breaking of two Si − O bonds. C has a diffusivity that is a little larger than those of Group III dopants: its mechanism is unresolved between the “kick-out” mode or a diffusing complex comprising a Sii and a substitutional C.
6.7.3 Si1−x Gex Alloys
6.7.4 Silicon Carbide Its large bandgap, high melting point and high dielectric breakdown strength make SiC a suitable material for devices intended for operation at high temperatures and high powers. It also has potential optoelectronic appli-
cations. Characterizing the material is complicated, as SiC occurs in a range of polytypes (different stacking sequences of close packed layers). Common polytypes are the cubic zinc blende phase 3C − SiC and the hexagonal phases 2H − SiC (wurtzite), 4H − SiC and 6H − SiC. This combination of high melting point, polytypism and variations in stoichiometry makes it difficul to measure diffusivities. Typical diffusion anneal temperatures for acceptor (B, Al, Ga) and donor (N, P) dopants are in the range 1800–2100 ◦ C. Ab initio calculations for single vacancies and anti-sites in 4H − SiC [6.59] found the SiC and CSi anti-sites to be both neutral and therefore generally inactive (electrically and optically).The C vacancy is amphoteric with charge states ranging from 2+ to 2−. The Si vacancy is also amphoteric with charge states ranging from 1+ to 3−. Similar calculations for self-interstitials in 3C − SiC [6.60] predict divalent donor behavior for both Si and C interstitials. Bockstedte et al. [6.61] have calculated, using ab initio methods, the activation energies Q for self-diffusion in 3C − SiC by vacancies and self-interstitials. Generally Q is smaller for self-interstitials but the defect charge state is also an important factor. The Si vacancy is predicted to be metastable, readily transforming to the stable complex VC −CSi : the complex VSi −SiC is unstable, reverting to VC . The Si and C self-diffusivities, D(Si) and D(C), respectively, were measured between 1850 and 2300 ◦ C by Hong et al. ([6.62] and references therein) in both 3C − SiC and 6H − SiC. The ratio D(C)/D(Si) was ≈ 650 in 3C − SiC and ≈ 130 in 6H − SiC. N doping increased D(Si) and reduced (marginally) D(C). This behavior suggests that native acceptors are important for Si self-diffusion and that native donors are only marginally involved in determining D(C). Of particular interest is that, between the two polytypes, the self-diffusivities in 6H-SiC exceeded those in 3C − SiC by less than a factor of ≈ 3. This suggests that diffusivities are insensitive to the particular polytype. More recent measurements of D(C), between 2100 and 2350 ◦ C, in 4H − SiC found diffusivities that were ≈ 105 times smaller than the earlier results for 3C − SiC and 6H − SiC, mainly because of differences in D0 [6.63]. There is currently no explanation for these huge differences and the question of the reliability of self-diffusivity data must be considered. Earlier work by Vodakov et al. [6.64] found that the diffusivity of B in six different polytypes of SiC, excluding 3C-SiC, varied by ≤ 30%, not only for diffusion along the c-axis but also perpendicular to it. The diffusivities of some common dopants have been sum-
129
Part A 6.7
Si and Ge form a continuous range of alloys in which there is a random distribution of either element as well as a continuous variation of bandgaps. The alloys have attracted considerable interest from a device perspective and are usually prepared as epilayers on Si substrates so that the epilayer will generally be in a strained state. Diffusivity data are sparse and, in the case of dopants, limited to B, P and Sb. One might expect that the diffusivity D(Z) of dopant Z would increase continuously as x goes from 0 to 1 at any given temperature below the melting point of Ge. However, in the case of B, D(B) hardly varies for x 0.4; even so, D(B) increases by a factor ≈ 103 from ≈ 10−15 cm2 /s in traversing the composition range at 900 ◦ C [6.54, 55]. D(P) increases by a factor of ≈ 4 for x values between 0 and 0.24, only to show a decrease at x = 0.40 [6.55]. Limited data suggest that D(Sb) rises continuously across the composition range, increasing by a factor ≈ 106 at 900 ◦ C [6.56]. Surface oxidation enhances D(B) and D(P), indicating that the diffusivities are dominated by a self-interstitial mechanism, whereas D(Sb) is reduced by surface oxidation, pointing to a vacancy mechanism. Compressive strain retards D(B) whereas tensile strain gives a marginal enhancement [6.55]. Compressive strain enhances D(P) and D(Sb) [6.57]. Overall, some disagreement exists between different workers about the behavior of D(Z), which may well stem from difficulties with characterizing the experimental conditions. Compositional interdiffusion has been characterized at the interface between Si and layers with x < 0.2 [6.58].
6.7 Diffusion in Group IV Semiconductors
130
Part A
Fundamental Properties
marized by Vodakov and Mokhov [6.65]. B diffusion mechanisms in 4H and 6H-SiC have been discussed by Usov et al. [6.66]. A recent finding is that an SiO2 layer on the surface of 6H-SiC greatly enhances B diffusion [6.67], yielding a diffusivity of ≈ 6 × 10−16 cm2 /s
at 900 ◦ C. This compares to a temperature of ≈ 1400 ◦ C (extrapolated) for the same diffusivity without an SiO2 layer. Electric fields of ≈ 106 V/cm have been found in 4H/3C/4H-SiC quantum wells due to spontaneous polarization in the 4H-SiC matrix [6.68].
6.8 Diffusion in III–V Compounds
Part A 6.8
The III–V binary compounds are formed between the cations B, Al, Ga, In and the anions N, P, As and Sb. Mutual solubility gives rise to the ternaries, such as Al1−x Gax As, and to the quaternaries, such as In1−x Gax As1−y Py . The B compounds offer little more than academic interest, whereas the rest of the III–V family are important materials in both electronic and optoelectronic devices. The nitrides all have the wurtzite structure, with the remaining compounds possessing the zinc blende structure. In view of the wide range of binaries, ternaries, and so on, it is not surprising that diffusivity measurements have focused mainly on those compounds relevant to devices: essentially GaAs and GaAs-based materials. An important characteristic of these compounds is the high vapor pressures of the anion components; it is the variations in these components that lead to significant changes in levels of nonstoichiometry. This means that a proper characterization, at a given temperature, of any diffusivity must specify the doping level and the ambient anion vapor pressure during the anneal: the latter determines native defect concentrations in intrinsic samples, and both factors have equal importance in controlling the concentrations under extrinsic conditions. On both the anion and the cation sublattices, the possible native point defects are the vacancy, the self-interstitial and the anti-site and all can occur in one or more charge states.
and Ga2+ could dominate at high doping levels. Bei tween 800 and 1200 ◦ C the Arrhenius parameters for D(Ga) are D0 = 0.64 cm2 /s and Q = 3.71 eV in intrinsic GaAs under a partial As4 vapor pressure of ≈ 1 atm. The situation for As self-diffusion is less clear, but the evidence points to the dominance (in the diffusion process) of the neutral As interstitial over the As vacancy (the supposedly dominant native defect, the As antisite, is not involved). Data have been obtained for both Ga and Sb self-diffusion in intrinsic GaSb under Gaand Sb-rich conditions. There is a conflict between the results obtained with bulk material and those from isotope heterostructures (see [6.70] and references therein). Shaw [6.70] concluded that the defects involved in Ga self-diffusion were the Frenkel pair Gai VGa and VGa even though the Ga anti-site GaSb appears to be the dominant native defect. Two parallel mechanisms were also identified for Sb self-diffusion, namely one due to the defect pair Sbi VGa and the second due to either to the mixed vacancy pair VGa VSb or to the triple defect VGa GaSb VGa . Reliable results for D(Ga) in intrinsic GaP under a partial vapor pressure (P4 ) of ≈ 1 atm are also available [6.71]: between 1000 and 1190 ◦ C the Arrhenius parameters for D(Ga) are D0 = 2.0 cm2 /s and Q = 4.5 eV. Data on the effects of doping and changing partial pressure are lacking.
6.8.2 Dopant Diffusion 6.8.1 Self-Diffusion Self-diffusivity data are limited to the Ga and In compounds [6.35, 69], and even here systematic measurements are restricted to GaAs [6.43, 69] and GaSb ([6.70] and references therein). For GaAs, early evidence (based largely on CID in AlGaAs structures) concluded that the Ga self-diffusivity D(Ga) was determined by the triply ionized Ga vacancy V3− Ga and doubly ionized Ga interstitial Ga2+ i . More recent and direct measurements of D(Ga) in Ga isotope heterostruc1− tures identified the three vacancy charge states V2− Ga , VGa 0 and VGa as being responsible for D(Ga) in intrinsic and lightly doped GaAs; the possibility remains that V3− Ga
Most of the data on dopant diffusion in the III–Vs refer to GaAs [6.35], notably for Be [6.72], Cd [6.69], C, Si, S, Zn and Cr [6.43]. The singly ionized acceptors Be, Zn and Cd (which occupy Ga sites) and the singly ionized donors C and S (which occupy As sites) all diffuse via the kick-out mechanism. The native interstitials involved are Ga2+ and As0i , apart from Be where the i data are best accounted for in terms of the singly ionized interstitial Ga1+ i . Si is an amphoteric dopant and at low concentrations it predominantly occupies Ga sites as a singly ionized donor Si1+ Ga . At high concentrations compensation starts to occur due to increasing occupancy as a singly ionized acceptor on As sites. At low
Diffusion in Semiconductors
concentrations Si1+ Ga diffusion is attributed to a vacancy mechanism (V3− Ga ). Cr sits on Ga sites and is a deep-level acceptor dopant important in the growth of high resistivity GaAs. Depending on circumstances, it can diffuse by either the kick-out or the Frank–Turnbull mechanism. The creation of extended defects in the diffusion zone by Zn in-diffusion in GaAs is a well-established feature. The same feature has also been found by Pöpping et al. [6.73] for Zn in-diffusion in GaP. They further concluded that Zn diffuses via the kick-out process in GaP 2+ through the involvement of either Ga1+ i or Gai .
6.8.3 Compositional Interdiffusion
however, is found in GaAs-GaAsSb, where either Si or Be reduce CID. Two generally accepted reasons for these dopant effects are: (i) the Fermi-level effect in which the dopant (acceptor/donor) concentration is high enough to make the semiconductor extrinsic so that the concentrations of native (donor/acceptor) defects are increased; (ii) if the dopant diffuses by the kick-out mechanism then in-diffusion will generate a local excess of the native self-interstitial. Clearly (i) operates for dopants incorporated during growth or by subsequent in-diffusion, whereas (ii) is restricted to in-diffusion. Either way the increase in the local native defect concentration(s) leads to a direct enhancement of CID. In the case of GaAs-GaAsSb, cited above, Si will also decrease the concentrations of native donors such as native anion vacancies, which would have a direct impact on and reduction of CID on the anion sublattice. On the other hand, Be should increase native donor concentrations and therefore give enhanced CID of the anions, contrary to observation. Overall, the general features of the dopant-induced disordering process seem to be understood but problems still remain. Harrison [6.74] has commented on the approximations commonly made when extracting quantitative information from CID data. The demands of III–V device technology present increasing complexity when attempting to understand the physical processes involved, so that recourse to empirical recipes is sometimes needed. This is illustrated by structures comprising GaInNAs quantum wells with GaAs barriers, all enclosed within AlAs outer layers, whose optoelectronic properties can be improved by the judicious choice of time/temperature anneals [6.75].
6.9 Diffusion in II–VI Compounds Interest in II–VI materials pre-dates that in the III–Vs because of their luminescence properties in the visible spectrum, which, based on powder technology, resulted in the application of the bigger bandgap materials (such as ZnS) as phosphors in luminescent screens. The development of crystal growth techniques extended interest in the optoelectronic properties of the wider family of II–VI binary compounds formed between the group II cations Zn, Cd and Hg and the group VI anions S, Se and Te. As with the III–Vs, ternary and quaternary compounds are readily formed. The ternary range of compositions Hg1−x Cdx Te has proved to be the most important family member because of their unique properties and consequent extensive exploitation in infra-red systems. ZnS,
CdS and CdSe crystallize in the wurtzite structure, whereas the remaining binaries have the zinc blende structure. The native point defects that can occur are similar to the III–Vs; namely, vacancies, self-interstitials and anti-sites for the cation and anion sublattices. Recent interest has expanded to include the cations Be, Mg and Mn, usually in ternary or quaternary systems. A distinctive feature of atomic diffusion in the II–VI compounds is the much higher diffusivities relative to those in the Group IV and III–V semiconductors. The relative ease of measurement has ensured that much more self- and dopant diffusion data are available compared to the III–Vs. A further difference is that both cation and anion equilibrium vapor pressures are signif-
131
Part A 6.9
The III–V binaries, ternaries and quaternaries are the bases for the fabrication of numerous quantum well and superlattice structures. CID is clearly an issue in the integrity of such structures. The general situation in which the cation and anion sublattices in each layer can contain up to four different components with concentrations ranging from 0 to 100% presents an impossibly complex problem for characterizing diffusion behavior with any rigour. The role of strain in the layers must also be considered a parameter. As a consequence, CID studies have been limited to simpler structures, primarily GaAs-AlAs and GaAs-AlGaAs with interpretations in terms of known diffusion features in GaAs [6.43]. Doping is an important ingredient of these multilayer structures and it was soon discovered that the acceptors Be, C, Mg, Zn and the donors Si, Sn, S, Se and Te could all cause complete disorder of the structure through enhancement of the CID process on either or both sublattices [6.43, 74]. An interesting exception,
6.9 Diffusion in II–VI Compounds
132
Part A
Fundamental Properties
icant compared to the III–Vs, where the cation vapor pressures are negligible. Unless otherwise stated, the material in the following sections is drawn from the reviews by Shaw [6.6,76,77] and by Capper et al. [6.78].
6.9.1 Self-Diffusion
Part A 6.9
Where the anion self-diffusivity DA has been measured as a function of the ambient anion or cation partial pressure in undoped material (ZnSe, CdS, CdSe, CdTe and Hg0.8 Cd0.2 Te), a consistent pattern of behavior has emerged: in traversing the composition range from anion-rich to cation-rich, DA is inversely proportional to the rising cation vapor pressure, PC , until close to cation saturation, when DA starts to increase with PC . Strong donor doping in anion-rich CdS and CdSe had no effect on DA . This evidence points to either a neutral anion interstitial or a neutral anion antisite/anion vacancy complex as the diffusion mechanism over most of the composition range, changing to an anion vacancy mechanism as the cation-rich limit is approached. The situation for cation self-diffusion proves to be more complicated due to the different variations of the cation self-diffusivity DC with PC across the compounds. In undoped ZnSe, ZnTe, CdTe and Hg0.8 Cd0.2 Te (above ≈ 300 ◦ C), DC is largely independent of PC across the composition range. Such an independence excludes native point defect diffusion mechanisms and (excluding nondefect mechanisms) points to self-diffusion via neutral complexes such as a cation interstitial/cation vacancy or a cation vacancy/anion vacancy pair. Donor or acceptor doping increases DC , indicating the involvement of ionized native defects or complexes. The Arrhenius parameters for Zn self-diffusion in undoped ZnSe above 760 ◦ C are D0 = 9.8 cm2 /s Q = 3.0 eV and those for Hg in undoped Hg0.8 Cd0.2 Te above 250 ◦ C are D0 = 3.8 × 10−3 cm2 /s and Q = 1.22 eV. In the case of undoped ZnS, CdS, CdSe and HgTe, DC generally varies with PC across the composition range. The simplest variations are found in CdSe and HgTe. In CdSe, DC can be attributed to the parallel diffusion of singly (1+) and doubly (2+) ionized Cd self-interstitials. DC in HgTe initially falls with PC and then increases when crossing from anion-rich to cation-rich material, corresponding to diffusion by a singly ionized (1−) Hg vacancy and by a singly ionized (1+) Hg interstitial respectively. The behavior patterns in ZnS and CdS, however, present substantial problems in their interpretation: donor doping can also enhance DC , point-
ing to the participation of an ionized native acceptor mechanism.
6.9.2 Chemical Self-Diffusion Changes in the electrical conductivity or conductivity type caused by step changes to PC in sample anneals have been used to characterize the change in level of nonstoichiometry through the chemical self-diffusivity, D∆ , in CdS, CdTe and Hg0.8 Cd0.2 Te. D∆ obviously describes the diffusion of one or more ionized native defects, but in itself it does not identify the defect(s). In CdS and CdTe, D∆ is attributed to the singly ionized (1+) and/or doubly ionized (2+) Cd interstitial; in CdTe, depending on the temperature, D∆ exceeds DC by a factor 105 to 106 . Modeling based on the simultaneous in-diffusion and out-diffusion of doubly ionized cation interstitials (2+) and vacancies (2−) gives a satisfactory quantitative account of type conversion (p → n) in Hg0.8 Cd0.2 Te [6.7].
6.9.3 Dopant Diffusion Although much information on dopant diffusion is available, it is mainly empirical and it is not uncommon for a dopant diffusivity to be independent of dopant concentration (as revealed by an erfc profile – a constant diffusivity for a given diffusion profile) under one set of conditions only to give profiles which cannot be characterized by single diffusivities when the conditions are changed. Equally, the variation of a dopant diffusivity with PC may differ at different temperatures. A further difficulty when attempting to identify a diffusion mechanism is that the local electroneutrality condition is usually not known with any certainty due to significant concentrations of various ionized native defects. A good illustration of the problems encountered is provided by In diffusion in Hg0.8 Cd0.2 Te, where diffusion of the singly ionized (1−) pair InHg VHg can account for some of the diffusion features. Some dopants, however, can present clear-cut diffusion properties which permit a well-defined interpretation. The diffusion of As in Hg0.8 Cd0.2 Te is one such case [6.79]. All of the observed features of D(As) are accounted for on the basis that: (i) As occupies both cation and anion lattice sites as singly ionized donors (1+) and acceptors (1−) respectively; (ii) only the ionized donor is mobile and diffuses by a vacancy mechanism on the cation sublattice; (iii) the diffusion sample is electrically intrinsic throughout, so the As concentration is always less than the intrinsic free carrier concentration.
Diffusion in Semiconductors
6.9.4 Compositional Interdiffusion Empirical information, based on bulk material, exists for CID in the following ternaries: (ZnCdHg)Te, (ZnCd)Se, (ZnCdHg)SeTe, (ZnCd)SSe, CdSeTe, ZnCdS, HgCdTe and CdMnTe. It might be expected that features evident in the binaries, such as donor doping enhancing the cation diffusivity but having no effect on that of the anion, and the anion diffusivity increasing (decreasing) with anion (cation) vapor pressure across most of the composition range, would continue to be seen. This means that in a ternary or quaternary system,
References
133
donor doping will enhance CID on the cation sublattice, but not on the anion sublattice, and annealing under a high (low) anion (cation) vapor pressure will enhance CID on the anion sublattice. This effect of the anion vapor pressure has been confirmed in CdSSe and CdSeTe and more recently in ZnSSe/ZnSe superlattices [6.80]. In (donor) doping has also been found to enhance the CID of the cations in CdMnTe [6.81], as has N (acceptor) doping in ZnMgSSe/ZnSSE superlattices [6.82]. The consequences of doping on CID in the II–VIs are obviously very similar to the III–V situation.
6.10 Conclusions The first step in a diffusion investigation is to collect empirical data, which then leads to the second step where experiments can be designed to study the effects of the Fermi level (through the background doping level), of the ambient atmosphere (such as oxidizing, inert or vapor pressure of a system component) and of the sample structure (such as an MBE layer or a quantum well). The third step is to identify the diffusion mechanism and the associated defects using the experimental results in conjunction with the results from first-principles calculations of defect formation energies and their activation energies for diffusion. Clarification of the active processes involved can be gained
by numerical modeling (see Noda [6.83]). These data then provide the basis for the development of process simulators and defect engineering in which the concentrations and spatial distributions of host atoms, dopants and defects are organized according to requirement. Most progress towards achieving this ideal scenario has been made in Si and to a lesser extent in GaAs and Hg0.8 Cd0.2 Te. The reality elsewhere is that the boundaries between the steps are blurred, with the third step often being undertaken with inadequate experimental information. Much work remains to be done in order to master our understanding of diffusion processes in semiconductors.
General background material for diffusion in semiconductors can be found in Shaw [6.5], Tuck [6.1], Abdullaev and Dzhafarov [6.11] and Tan et al. [6.8]. More specific accounts are given by Fair [6.9] and Fahey et al. [6.84] for Si, by Frank et al. [6.3] for Si and Ge, by Tan and Gösele [6.43] for Si, Ge and GaAs, by Tuck [6.35] for the III–Vs and by Shaw [6.6, 77] for the II–VIs. H in Semiconductors II (1999) ed. by N. H. Nickel (Semi-
conductors and Semimetals, 61, Academic, San Diego) provides a recent account of H in semiconductors. The volumes in the EMIS Datareviews Series (IEE, Stevenage, UK) cover all of the important semiconductors. The series Defects and Diffusion in Semiconductors ed. by D. J. Fisher (Trans Tech., Brandrain 6, Switzerland) offers an annual and selective retrospective of recent literature.
References 6.1 6.2
B. Tuck: Introduction to Diffusion in Semiconductors (Peregrinus, Stevenage 1974) A. Ural, P. B. Griffin, J. D. Plummer: J. Appl. Phys. 85, 6440 (1999)
6.3
6.4
W. Frank, U. Gösele, H. Mehrer, A. Seeger: In: Diffusion in Crystalline Solids, ed. by G. E. Murch, A. S. Nowick (Academic, Orlando 1984) Chapt.2 D. Mathiot, J. C. Pfister: J. Appl. Phys. 66, 970 (1989)
Part A 6
6.11 General Reading and References
134
Part A
Fundamental Properties
6.5 6.6
6.7 6.8 6.9
6.10 6.11 6.12 6.13 6.14 6.15
6.16 6.17 6.18 6.19 6.20 6.21
Part A 6
6.22 6.23 6.24 6.25 6.26 6.27 6.28
6.29
6.30 6.31
D. Shaw: In: Atomic Diffusion in Semiconductors, ed. by D. Shaw (Plenum, London 1973) Chapt.1 D. Shaw: In: Widegap II–VI Compounds for Optoelectronic Applications, ed. by H. E. Ruda (Chapman and Hall, London 1992) Chapt.10 D. Shaw, P. Capper: J. Mater. Sci. Mater. El. 11, 169 (2000) T. Y. Tan, U. Gösele, S. Yu: Crit. Rev. Sol. St. Mater. Sci. 17, 47 (1991) R. B. Fair: In: Impurity Doping Processes in Silicon, ed. by F. F. Y. Wang (North-Holland, Amsterdam 1981) Chapt.7 S. M. Hu: J. Appl. Phys. 70, R53 (1991) G. B. Abdullaev, T. D. Dzhafarov: Atomic Diffusion in Semiconductor Structures (Harwood, Chur 1987) M. Laudon, N. N. Carlson, M. P. Masquelier, M. S. Daw, W. Windl: Appl. Phys. Lett. 78, 201 (2001) K. Rajendran, W. Schoenmaker: J. Appl. Phys. 89, 980 (2001) H. Takeuchi, P. Ranada, V. Subramanian, T-J. King: Appl. Phys. Lett. 80, 3706 (2002) S. C. Jain, W. Schoenmaker, R. Lindsay, P. A. Stolk, S. Decoutere, M. Willander, H. E. Maes: J. Appl. Phys. 91, 8919 (2002) L. Shao, J. Chen, J. Zhang, D. Tang, S. Patel, J. Liu, X. Wang, W-K. Chu: J. Appl. Phys. 96, 919 (2004) Y. M. Haddara, J. C. Bravman: Ann. Rev. Mater. Sci. 28, 185 (1998) I. Lyubomirsky, V. Lyahovitskaya, D. Cahen: Appl. Phys. Lett. 70, 613 (1997) C. H. Chen, U. Gösele, T. Y. Tan: Appl. Phys. A 68, 9, 19, 313 (1999) P. N. Grillot, S. A. Stockman, J. W. Huang, H. Bracht, Y. L. Chang: J. Appl. Phys. 91, 4891 (2002) E. Chason, S. T. Picraux, J. M. Poate, J. O. Borland, M. I. Current, T. Diaz de la Rubia, D. J. Eaglesham, O. W. Holland, M. E. Law, C. W. Magee, J. W. Mayer, J. Melngailis, A. F. Tasch: J. Appl. Phys. 81, 6513 (1997) J. L. Melendez, J. Tregilgas, J. Dodge, C. R. Helms: J. Electron. Mater. 24, 1219 (1995) A. Borghesi, B. Pivac, A. Sassella, A. Stella: J. Appl. Phys. 77, 4169 (1995) K. F. Kelton, R. Falster, D. Gambaro, M. Olmo, M. Cornaro, P. F. Wei: J. Appl. Phys. 85, 8097 (1999) S. Solmi, E. Landi, F. Baruffaldi: J. Appl. Phys. 68, 3250 (1990) S. Solmi, D. Nobili: J. Appl. Phys. 83, 2484 (1998) B. Colombeau, N. E. B. Cowern: Semicond. Sci. Technol. 19, 1339 (2004) S. Mirabella, E. Bruno, F. Priolo, D. De Salvador, E. Napolitani, A. V. Drigo, A. Carnera: Appl. Phys. Lett. 83, 680 (2003) C. J. Ortiz, P. Pichler, T. Fühner, F. Cristiano, B. Colombeau, N. E. B. Cowern, A. Claverie: J. Appl. Phys. 96, 4866 (2004) D. Shaw: Semicond. Sci. Technol. 7, 1230 (1992) H. Puchner, S. Selberherr: IEEE Trans. Electron. Dev. 42, 1750 (1995)
6.32 6.33
6.34 6.35 6.36 6.37 6.38 6.39
6.40
6.41 6.42 6.43
6.44 6.45 6.46 6.47 6.48 6.49 6.50 6.51
6.52 6.53 6.54
6.55
6.56
6.57
C. Poisson, A. Rolland, J. Bernardini, N. A. Stolwijk: J. Appl. Phys. 80, 6179 (1996) I. Kaur, Y. Mishin, W. Gust: Fundamentals of Grain and Interphase Boundary Diffusion (Wiley, Chichester 1995) S. M. Hu: J. Appl. Phys. 43, 2015 (1972) B. Tuck: Atomic Diffusion in III–V Seminconductors (Adam Hilger, Bristol 1988) L. S. Monastyrskii, B. S. Sokolovskii: Sov. Phys. Semicond. 16, 1203 (1992) E. A. Caridi, T. Y. Chang, K. W. Goossen, L. F. Eastman: Appl. Phys. Lett. 56, 659 (1990) A. Hangleiter, F. Hitzel, S. Lafmann, H. Rossow: Appl. Phys. Lett. 83, 1169 (2003) S. J. Rothman: In: Diffusion in Crystalline Solids, ed. by G. E. Murch, A. S. Nowick (Academic, Orlando 1984) Chapt.1 R. M. Fleming, D. B. McWhan, A. C. Gossard, W. Wiegmann, R. A. Logan: J. Appl. Phys. 51, 357 (1980) Y. L. Huang, Y. Ma, R. Job, W. R. Fahrner, E. Simeon, C. Claeys: J. Appl. Phys. 98, 033511 (2005) D. Mathiot: Phys. Rev. B 40, 5867 (1989) T. Y. Tan, U. Gösele: In: Handbook of Semiconductor Technology, Vol. 1, ed. by K. A. Jackson, W. Schröter (Wiley-VCH, Weinheim 2000) Chapt.5 M. Werner, H. Mehrer, H. D. Hochheimer: Phys. Rev. B 37, 3930 (1985) N. A. Stolwijk, W. Frank, J. Hölzl, S. J. Pearton, E. E. Haller: J. Appl. Phys. 57, 5211 (1985) A. Strohm, S. Matics, W. Frank: Diffusion and Defect Forum 194-199, 629 (2001) H. Bracht, E. E. Haller, R. Clark-Phelps: Phys. Rev. Lett. 81, 393 (1998) A. Ural, P. B. Griffin, J. D. Plummer: Phys. Rev. Lett. 83, 3454 (1999) A. Ural, P. B. Griffin, J. D. Plummer: Appl. Phys. Lett. 79, 4328 (2001) O. Krause, H. Ryssel, P. Pichler: J. Appl. Phys 91, 5645 (2002) S. Solmi, A. Parisini, M. Bersani, D. Giubertoni, V. Soncini, G. Carnevale, A. Benvenuti, A. Marmiroli: J. Appl. Phys. 92, 1361 (2002) J. S. Christensen, H. H. Radamson, A. Yu. Kuznetsov, B. G. Svensson: Appl. Phys. Lett. 82, 2254 (2003) L. Lerner, N. A. Stolwijk: Appl. Phys. Lett. 86, 011901 (2005) N. R. Zangenberg, J. Fage-Pedersen, J. Lundsgaard Hansen, A. Nylandsted-Larsen: Defect Diffus. Forum 194-199, 703 (2001) N. R. Zangenberg, J. Fage-Pedersen, J. Lundsgaard Hansen, A. Nylandsted-Larsen: J. Appl. Phys 94, 3883 (2003) A. D. N. Paine, A. F. W. Willoughby, M. Morooka, J. M. Bonar, P. Phillips, M. G. Dowsett, G. Cooke: Defect Diffus. Forum 143-147, 1131 (1997) J. S. Christensen, H. H. Radamson, A. Yu. Kuznetsov, B. G. Svensson: J. Appl. Phys. 94, 6533 (2003)
Diffusion in Semiconductors
6.58 6.59 6.60 6.61 6.62 6.63 6.64 6.65
6.66 6.67
6.68 6.69 6.70
D. B. Aubertine, P. C. McIntyre: J. Appl. Phys. 97, 013531 (2005) L. Torpo, M. Marlo, T. E. M. Staab, R. M. Nieminen: J. Phys. Condens. Matter 13, 6203 (2001) J. M. Lento, L. Torpo, T. E. M. Staab, R. M. Nieminen: J. Phys. Condens. Matter 16, 1053 (2004) M. Bockstedte, A. Mattausch, O. Pankratov: Phys. Rev. B 68, 205201 (2003) J. D. Hong, R. F. Davis, D. E. Newbury: J. Mater. Sci. 16, 2485 (1981) M. K. Linnarsson, M. S. Janson, J. Zhang, E. Janzen, B. G. Svensson: J. Appl. Phys. 95, 8469 (2004) Yu. A. Vodakov, G. A. Lomakina, E. N. Mokhov, V. G. Oding: Sov. Phys. Solid State 19, 1647 (1977) Yu. A. Vodakov, E. N. Mokhov: In: Silicon Carbide – 1973, ed. by R. C. Marshall, J. W. Faust Jr, C. E. Ryan (Univ. South Carolina Press, Columbia 1973) p. 508 I. O. Usov, A. A. Suvorova, Y. A. Kudriatsev, A. V. Suvorov: J. Appl. Phys. 96, 4960 (2004) N. Bagraev, A. Bouravleuv, A. Gippius, L. Klyachkin, A. Malyarenko: Defect Diffus. Forum 194-199, 679 (2001) S. Bai, R. P. Devaty, W. J. Choyke, U. Kaiser, G. Wagner, M. F. MacMillan: Appl. Phys. Lett. 83, 3171 (2003) N. A. Stolwijk, G. Bösker, J. Pöpping: Defect Diffus. Forum 194-199, 687 (2001) D. Shaw: Semicond. Sci. Technol. 18, 627 (2003)
6.71
6.72 6.73
6.74 6.75 6.76 6.77 6.78
6.79 6.80
6.81 6.82
6.83 6.84
References
135
L. Wang, J. A. Wolk, L. Hsu, E. E. Haller, J. W. Erickson, M. Cardona, T. Ruf, J. P. Silveira, F. Brione: Appl. Phys. Lett. 70, 1831 (1997) J. C. Hu, M. D. Deal, J. D. Plummer: J. Appl. Phys. 78, 1595 (1995) J. Pöpping, N. A. Stolwijk, G. Bösker, C. Jäger, W. Jäger, U. Södervall: Defect Diffus. Forum 194-199, 723 (2001) I. Harrison: J. Mater. Sci. Mater. Electron. 4, 1 (1993) S. Govindaraju, J. M. Reifsnider, M. M. Oye, A. L. Holmes: J. Electron. Mater. 32, 29 (2003) D. Shaw: J. Cryst. Growth 86, 778 (1988) D. Shaw: J. Electron. Mater. 24, 587 (1995) P. Capper, C. D. Maxey, C. L. Jones, J. E. Gower, E. S. O’Keefe, D. Shaw: J. Electron. Mater. 28, 637 (1999) D. Shaw: Semicond. Sci. Technol. 15, 911 (2000) M. Kuttler, M. Grundmann, R. Heitz, U. W. Pohl, D. Bimberg, H. Stanzel, B. Hahn, W. Gebbhart: J. Cryst. Growth 159, 514 (1994) A. Barcz, G. Karczewski, T. Wojtowicz, J. Kossut: J. Cryst. Growth 159, 980 (1996) M. Strassburg, M. Kuttler, O. Stier, U. W. Pohl, D. Bimberg, M. Behringer, D. Hommel: J. Cryst. Growth 184-185, 465 (1998) T. Noda: J. Appl. Phys. 94, 6396 (2003) P. M. Fahey, P. B. Griffin, J. D. Plummer: J. Appl. Phys. 61, 289 (1989)
Part A 6
137
Photoconduct 7. Photoconductivity in Materials Research
Photoconductivity is the incremental change in the electrical conductivity of a substance upon illumination. Photoconductivity is especially apparent for semiconductors and insulators, which have low conductivity in the dark. Significant information can be derived on the distribution of electronic states in the material and on carrier generation and recombination processes from the dependence of the photoconductivity on factors such as the exciting photon energy, the intensity of the illumination or the ambient temperature. These results can in turn be used to investigate optical absorption coefficients or concentrations and distributions of defects in the material. Methods involving either steady state currents under constant illumination or transient methods involving pulsed excitation can be used to study the electronic density of states as well as the recombination. The transient time-of-flight technique also allows carrier drift mobilities to be determined.
7.2
Steady State Photoconductivity Methods ............................................. 7.1.1 The Basic Single-Beam Experiment .............................. 7.1.2 The Constant Photocurrent Method (CPM) ........................... 7.1.3 Dual-Beam Photoconductivity (DBP) ....................................... 7.1.4 Modulated Photoconductivity (MPC) ....................................... Transient Photoconductivity Experiments........................................ 7.2.1 Current Relaxation from the Steady State ................ 7.2.2 Transient Photoconductivity (TPC) ........................................ 7.2.3 Time-of-Flight Measurements (TOF) ........................................ 7.2.4 Interrupted Field Time-of-Flight (IFTOF)......................................
138 138 141 141 141 142 143 143 144 145
References .................................................. 146
nation over time, will offer insights into the structure and electronic properties of the material under investigation. However, given the fact that three separate processes are involved in the production of a specific photocurrent, it follows that any analysis of experimental data in terms of system parameters will require a sufficiently comprehensive data set that will allow for differentiation between alternative interpretations. For instance, a low photocurrent may be the result of a low optical absorption coefficient at the given photon energy, but it may also be due to significant geminate recombination of the photogenerated electron–hole pairs, or it may reflect the formation of excitons. The combined use of different types of photoconductivity experiments is therefore often advisable, as is the combination of photoconductivity with related experiments such as photoluminescence or charge collection. A wide variety of experimental techniques based on photoconductivity have come into general use over the years. They can be divided into two main groups, one
Part A 7
Photoconductivity has traditionally played a significant role in materials research, and most notably so in the study of covalently bonded semiconductors and insulators. Indeed, since it is the incremental conductivity generated by the absorption of (optical) photons, photoconductivity can be most clearly resolved in situations where the intrinsic dark conductivity of the material is low. This conductivity in the dark, leading to “dark current”, is due to the thermal equilibrium density of free carriers in the material and must be subtracted from any measured current in order to obtain the actual photocurrent. The basic processes that govern the magnitude of the photocurrent are the generation of free electrons and holes through the absorption of incident photons, the transport of those free carriers through the material under the influence of an electric field, and the recombination of the photoexcited electrons and holes. The study of any of those aspects as a function of the characteristics of the current-inducing illumination, as well as the study of their development upon changes in that illumi-
7.1
Photoconductivity in Materials Research
while the photocurrent in chalcogenide glasses is carried by holes. In those instances, (7.1) effectively reduces to a one-carrier equation. In the µn ∆n or µp ∆ p products, the mobility µi is a material parameter that, in general, will depend on temperature and sample characteristics, while the excess carrier density ∆n = ∆ p is determined by a combination of material and external parameters. Phenomenologically, the excess density ∆n can be written as the product Gτi , where G is the rate of generation of free electrons and holes per unit volume, and τi is the average lifetime of the excess carrier. Introducing these quantities into (7.1) leads to the form σph = eG(µn τn + µp τp ) ,
(7.2)
which explicitly displays the mobility–lifetime products that are frequently used to characterize photoconductors. The relationship between the steady state values of ∆n and G is illustrated in Fig. 7.1c, where the build-up and decay of ∆n when the illumination is turned on and turned off are also shown. Those time-dependent aspects of photoconductivity will be addressed in a later section. The generation rate G is defined by G = η(I0 /hν)(1 − R)[1 − exp(−αd)]/d ,
(7.3)
G∼ = η(I0 /hν)(1 − R)α .
(7.4)
The free-carrier lifetimes of the excess electrons and holes, τn and τp , in (7.2) are governed by recombination with carriers of opposite sign. Assuming, for
139
simplicity, the frequently encountered case of photoconductivity dominated by one type of carrier (known as the majority carrier), and assuming electrons to be the majority carrier, the recombination rate can be written as τn−1 = b( p0 + ∆ p), where b is a recombination constant, and p0 and ∆ p are the equilibrium and excess minority carrier densities. It then follows that the photoconductivity σph ∝ ∆n = Gτn = G/b( p0 + ∆ p) = G/b( p0 + ∆n) .
(7.5)
Equation (7.5) indicates that a linear relationship σph ∝ G holds for ∆n p0 (a low excess carrier density), while high excitation levels with ∆n p0 lead to σph ∝ G 1/2 . These linear and quadratic recombination regimes are also referred to as mono- and bimolecular recombination. For a given light source and temperature, variations in G correspond to variations in the light γ intensity I0 , and therefore σph ∝ I0 with 1/2 ≤ γ ≤ 1. The value of γ itself will of course depend on the light intensity I0 . However, I0 is not the only factor that determines the value of γ : intermediate γ values may indicate a ∆n ≈ p0 condition, but they may equally be caused by a distribution of recombination centers, as outlined below [7.4]. From a materials characterization point of view, SSPC offers the possibility of using the above equations to determine the absorption coefficient as a function of the energy of the incoming photons, and thus explore the electronic density of states around the band gap of a semiconductor. When single-crystalline samples of materials with sufficiently well-defined energy levels are studied, maxima corresponding to specific optical transitions may be seen in the photoconductivity spectra. A recent example, involving the split valence band of a p-CdIn2 Te4 crystal, may be found in You et al. [7.5]. Another example is given in Fig. 7.2, where the spectral distribution of the photocurrent is shown for optical-quality diamond films prepared by chemical vapor deposition [7.6]. The rise in photocurrent around 5.5 eV corresponds to the optical gap of diamond, while the shoulders at ≈ 1.5 eV and ≈ 3.5 eV signal the presence of defect distributions in the gap. The data in Fig. 7.2 were obtained under ac conditions using chopped light and a lock-in amplifier. The changes in the observed phase shift can then also be used to locate the energies at which transitions to specific features of the density of states (DOS) become of importance. The use of ac excitation and lock-in detection has the added advantage of strongly reducing uncorrelated noise, but
Part A 7.1
where η is the quantum efficiency of the generation process, I0 is the incident illumination intensity (energy per unit time and unit area), hν is the photon energy, R is the reflection coefficient of the sample, α is the optical absorption coefficient of the material, and d is the sample thickness. A quantum efficiency η < 1 signifies that, due to geminate recombination of the carriers or of exciton formation, not every absorbed photon generates a free electron and hole that will contribute to the photocurrent. The values of the parameters η, R and α depend, in general, on the wavelength of the illuminating light. Consequently, monochromatic illumination from a tunable light source can be used to obtain energy-resolved information about the sample, while illumination with white light will only offer a global average. Under many experimental circumstances, the condition αd 1 will hold over a significant energy range (when the sample thickness is small with respect to the optical absorption depth of the material). Equation (7.3) can then be simplified to
7.1 Steady State Photoconductivity Methods
Photoconductivity in Materials Research
photoconductor’s bandgap under SSPC, the TPC experiments can be analyzed against the background of the thermal equilibrium distribution of carriers in the material.
7.2.1 Current Relaxation from the Steady State Upon termination of steady state illumination, the generation term drops out of the rate equation that describes the nonequilibrium carrier distribution, but the carrier density itself and the operative recombination process are not altered. Consequently, the initial photocurrent decay will be governed by whatever recombination mode existed under SSPC conditions. Spectroscopic analysis of the relaxation current in terms of the distribution of states in the bandgap can be readily achieved in the case of monomolecular recombination [7.19], with the product of photocurrent and time being proportional to the DOS: Iph (t)t ∝ g(E) ,
E = kB T ln(ν0 t) .
(7.8)
7.2.2 Transient Photoconductivity (TPC) In the standard transient photoconductivity (TPC) experiment, free carriers are excited into the transport band at time t = 0 by a short light pulse. They are then moved along by the electric field until their eventual disappearance through recombination, but before this happens
they will have been immobilized a number of times by various traps that are present in the material. Since the carrier distributions are in thermal equilibrium at the start of the experiment, both the trapping sites for electrons above the Fermi level and the hole trapping sites below EF are empty, such that the newly created carriers are not excluded from any of those trapping sites. Given that carrier release from a trap is a thermally activated process with the trap depth being the activation energy, deeper traps immobilize carriers for longer times and lead to lower values for the transient current. As shallower states release trapped carriers sooner, retrapping of those carriers will lead to increased occupation of the deeper states and further reduction of the current level. To allow this thermalization of the excited carriers to run its full course until recombination sets in, the experiments are traditionally carried out in the so-called secondary photocurrent mode, whereby the sample is supplied with ohmic electrical contacts and carrier loss is by recombination only. Coplanar electrode geometries (gap cells) are mostly used. Expressions that link the transient current to the distribution of localized states can be derived [7.20], but they are difficult to invert in the general case. Nevertheless, as long as recombination can be neglected, the relationship g(E) ∝ [I(t)t]−1 can be used as a first-order estimate. For the special case of an exponential DOS, the solution is straightforward: a g(E) ∝ exp(−E/E 0 ) distribution of trapping levels leads to a power law for the transient current I(t) ∝ t −(1−α) with α = kB T/E 0 . In other words, the width of the exponential distribution E 0 can be deduced from the slope of the power law decay of the current. Essentially exponential distributions were found to dominate the valence band tail of equilibrated amorphous As2 Se3 samples over a wide energy range [7.7], but no other examples have emerged. An elegant way to circumvent the difficulties posed by a time domain analysis of the transient current is to transpose the current decay into the frequency domain by a Fourier transform [7.21]. Since the TPC current decay is the photoconductor’s response to an impulse excitation, its Fourier transform gives the frequency response I (ω) of that photoconductor. In fact, this I(ω) corresponds to the photocurrent intensity Iac as used in the MPC method, and the same procedures can thus be used to extract the information on density and energy distribution of localized states in the band gap. Not just Fourier transform but also Laplace transform techniques have been applied to the conversion of TPC signals into DOS information. A comparison and discussion of the results may be found in [7.22]. Examples of Fourier
143
Part A 7.2
In (7.8), kB T is the Boltzmann energy and ν0 is the attempt-to-escape frequency. When, on the other hand, bimolecular recombination dominates, the link between the current and the distribution of recombination centers is much less direct and spectroscopic analysis is difficult. Unfortunately, bimolecular recombination is dominant in good photoconductors. In spite of the above, relaxation of the steady state current has often been used to obtain a first-order estimate for free-carrier lifetimes, even when this had to be done on a purely phenomenological basis due to a lack of sufficient information on the recombination mechanisms involved. An exponential fit to the initial part of the decay is then often used to make the estimate. In cases where more than one – sometimes vastly different – recombination mechanisms are operative, this initial decay does not necessarily represent the most significant proportion of carriers. This is certainly the case whenever so-called persistent photoconductivity is observed; one of the relaxation times involved is then longer than the observation time.
7.2 Transient Photoconductivity Experiments
146
Part A
Fundamental Properties
in the previous section in that the applied field that drives the photogenerated carrier packet through the sample is turned off for some period of time before the carriers have completed their transit. As illustrated in Fig. 7.11, a lower current intensity is measured when the field is turned on again, signalling that some of the drifting carriers have become immobilized in deep traps [7.28]. By studying the drop in current as a function of the interruption time ti , the deep-trapping lifetime of the carriers can be evaluated. Recombination can be routinely neglected in TOF experiments since only one type of carrier drifts through the sample, but by charging a sample with carriers of one polarity before performing an IFTOF experiment that drifts carriers of the opposite polarity through
the sample, recombination parameters can be studied too [7.29]. Another interesting method for studying the recombination process is – just like IFTOF – based on a simple modification of the TOF experiment: after generating free carriers through one contact and drifting the slower type of carrier into the sample, a second light pulse through the other contact sends a sheet of oppositely charged carriers towards the first one. The two carrier packages will cross and some electrons and holes will recombine during that crossing, thereby affecting the observed current levels and providing a way to study the recombination process. An elegant example of the application of this technique to amorphous selenium can be found in Haugen and Kasap [7.30].
References 7.1 7.2 7.3 7.4 7.5 7.6
7.7 7.8
7.9 7.10
Part A 7
7.11 7.12 7.13 7.14
R. H. Bube: Photoconductivity of Solids (Wiley, New York 1960) R. H. Bube: Photoelectronic Properties of Semiconductors (Cambridge Univ. Press, Cambridge 1992) S. M. Ryvkin: Photoelectric Effects in Semiconductors (Consultants Bureau, New York 1964) A. Rose: Concepts in Photoconductivity and Allied Problems (Krieger, Huntington 1978) S. H. You, K. J. Hong, T. S. Jeong, C. J. Youn, J. S. Park, D. C. Shin, J. D. Moon: J. Appl. Phys. 95, 4042 (2004) M. Nesládek, L. M. Stals, A. Stesmans, K. Iakoubovskii, G. J. Adriaenssens, J. Rosa, M. Vanˇ eˇcek: Appl. Phys. Lett. 72, 3306 (1998) G. J. Adriaenssens: Philos. Mag. B 62, 79 (1990) and references therein C. Main, A. E. Owen: In: Electronic and Structural Properties of Amorphous Semiconductors, ed. by P. G. Le Comber, J. Mort (Academic, London 1973) p. 527 J. G. Simmons, G. W. Taylor: J. Phys. C 7, 3051 (1974) G. J. Adriaenssens, N. Qamhieh: J. Mater. Sci. Mater. El. 14, 605 (2003) H. Fritzsche, B.-G. Yoon, D.-Z. Chi, M. Q. Tran: J. Non-Cryst. Solids 141, 123 (1992) M. Vanˇ eˇcek, J. Koˇcka, A. Poruba, A. Fejfar: J. Appl. Phys. 78, 6203 (1995) M. Vanˇ eˇcek, J. Koˇcka, J. Stuchlík, A. Tˇríska: Solid State Commun. 39, 1199 (1981) C. Main, S. Reynolds, I. Zrinˇsˇcak, A. Merazga: Mater. Res. Soc. Symp. Proc. 808, 103 (2004)
7.15 7.16 7.17 7.18 7.19
7.20 7.21 7.22 7.23 7.24 7.25 7.26 7.27 7.28 7.29 7.30
M. Günes, C. Wronski, T. J. McMahon: J. Appl. Phys. 76, 2260 (1994) C. Longeaud, D. Roy, O. Saadane: Phys. Rev. B 65, 85206 (2002) H. Oheda: J. Appl. Phys. 52, 6693 (1981) R. Brüggemann, C. Main, J. Berkin, S. Reynolds: Philos. Mag. B 62, 29 (1990) M. S. Iovu, I. A. Vasiliev, E. P. Colomeico, E. V. Emelianova, V. I. Arkhipov, G. J. Adriaenssens: J. Phys. Condens. Mat. 16, 2949 (2004) A. I. Rudenko, V. I. Arkhipov: Philos. Mag. B 45, 209 (1982) C. Main, R. Brüggemann, D. P. Webb, S. Reynolds: Solid State Commun. 83, 401 (1992) C. Main: J. Non-Cryst. Solids 299, 525 (2002) D. Hertel, A. Ochse, V. I. Arkhipov, H. Bässler: J. Imag. Sci. Technol. 43, 220 (1999) W. E. Spear: J. Non-Cryst. Solids 1, 197 (1969) M. Brinza, E. V. Emelianova, G. J. Adriaenssens: Phys. Rev. B 71, 115209 (2005) S. Kasap, B. Polishuk, D. Dodds, S. Yannacopoulos: J. Non-Cryst. Solids 114, 106 (1989) G. F. Seynhaeve, R. P. Barclay, G. J. Adriaenssens, J. M. Marshall: Phys. Rev. B 39, 10196 (1989) S. Kasap, B. Polishuk, D. Dodds: Rev. Sci. Instrum. 61, 2080 (1990) S. Kasap, B. Fogal, M. Z. Kabir, R. E. Johanson, S. K. O’Leary: Appl. Phys. Lett. 84, 1991 (2004) C. Haugen, S. O. Kasap: Philos. Mag. B 71, 91 (1995)
147
8. Electronic Properties of Semiconductor Interfaces
Electronic Pro
In this chapter we investigate the electronic properties of semiconductor interfaces. Semiconductor devices contain metal–semiconductor, insulator–semiconductor, insulator–metal and/or semiconductor–semiconductor interfaces. The electronic properties of these interfaces determine the characteristics of the device. The band structure lineup at all these interfaces is determined by one unifying concept, the continuum of interface-induced gap states (IFIGS). These intrinsic interface states are the wavefunction tails of electron states that overlap the fundamental band gap of a semiconductor at the interface; in other words they are caused by the quantum-mechanical tunneling effect. IFIGS theory quantitatively explains the experimental barrier heights of wellcharacterized metal–semiconductor or Schottky contacts as well as the valence-band offsets of semiconductor–semiconductor interfaces or
Experimental Database ........................ 149 8.1.1 Barrier Heights of Laterally Homogeneous Schottky Contacts . 149 8.1.2 Band Offsets of Semiconductor Heterostructures ....................... 152
8.2
IFIGS-and-Electronegativity Theory ....... 153
8.3
Comparison of Experiment and Theory .. 8.3.1 Barrier Heights of Schottky Contacts .................. 8.3.2 Band Offsets of Semiconductor Heterostructures ....................... 8.3.3 Band-Structure Lineup at Insulator Interfaces ...............
8.4
155 155 156 158
Final Remarks ..................................... 159
References .................................................. 159 semiconductor heterostructures. Insulators are viewed as semiconductors with wide band gaps.
the very simple and therefore attractive Schottky–Mott rule, Bardeen [8.5] proposed that electronic interface states in the semiconductor band gap play an essential role in the charge balance at metal–semiconductor interfaces. Heine [8.6] considered the quantum-mechanical tunneling effect at metal–semiconductor interfaces and noted that for energies in the semiconductor band gap, the volume states of the metal have tails in the semiconductor. Tejedor and Flores [8.7] applied this same idea to semiconductor heterostructures where, for energies in the band-edge discontinuities, the volume states of one semiconductor tunnel into the other. The continua of interface-induced gap states (IFIGS), as these evanescent states were later called, are an intrinsic property of semiconductors and they are the fundamental physical mechanism that determines the band-structure lineup at both metal–semiconductor contacts and semiconductor heterostructures: in other words, at all semiconductor interfaces. Insulator interfaces are also included in this, since insulators may be described as wide-gap semi-
Part A 8
In his pioneering article entitled Semiconductor Theory of the Blocking Layer, Schottky [8.1] finally explained the rectifying properties of metal–semiconductor contacts, which had first been described by Braun [8.2], as being due to a depletion of the majority carriers on the semiconductor side of the interface. This new depletion-layer concept immediately triggered a search for a physical explanation of the barrier heights observed in metal–semiconductor interfaces, or Schottky contacts as they are also called in order to honor Schottky’s many basic contributions to this field. The early Schottky–Mott rule [8.3, 4] proposed that n-type (p-type) barrier heights were equal to the difference between the work function of the metal and the electron affinity (ionization energy) of the semiconductor. A plot of the experimental barrier heights of various metal–selenium rectifiers versus the work functions of the corresponding metals did indeed reveal a linear correlation, but the slope parameter was much smaller than unity [8.4]. To resolve the failure of
8.1
154
Part A
Fundamental Properties
on silicon and germanium surfaces as a function of the difference X m − X s between the Pauling atomic electronegativity of the metal and that of the semiconductor atoms. The covalent bonds between metal and substrate atoms still persist at metal–semiconductor interfaces, as ab-initio calculations [8.23] have demonstrated for the example of Al/GaAs(110) contacts. The pronounced linear correlation of the data displayed in Fig. 8.9 thus justifies the application of Pauling’s electronegativity concept to semiconductor interfaces. The combination of the physical IFIGS and the chemical electronegativity concept yields the barrier heights of ideal p-type Schottky contacts and the valence-band offsets of ideal semiconductor heterostructures as p
ΦBp = Φbp − S X (X m − X s )
(8.11)
and p
p
∆Wv = Φbpr − Φbpl + D X (X sr − X sl ) ,
(8.12)
p Φbp
respectively, where = Wbp − Wv (Γ ) is the energy distance from the valence-band maximum to the branch point of the IFIGS or the p-type branch-point energy. It has the physical meaning of a zero-charge-transfer barrier height. The slope parameters S X and D X are explained at the end of this section. The IFIGS derive from the virtual gap states of the complex band structure of the semiconductor. Their branch point is an average property of the semiconductor. Tersoff [8.24, 27] calculated the branchp point energies Φbp of Si, Ge, and 13 of the III–V and II–VI compound semiconductors. He used a linearized augmented plane-wave method and the local density approximation. Such extensive computations may be avoided. Mönch [8.28] applied Baldereschi’s concept [8.29] of mean-value k-points to calculate the branch-point energies of zincblende-structure compound semiconductors. He first demonstrated that the quasi-particle band gaps of diamond, silicon, germanium, 3C-SiC, GaAs and CdS at the mean-value k-point equal their average or dielectric band gaps [8.30] Wdg = ωp / ε∞ − 1 , (8.13)
Part A 8.2
where ωp is the plasmon energy of the bulk valence p electrons. Mönch then used Tersoff’s Φbp values, calculated the energy dispersion Wv (Γ ) − Wv (kmv ) of the topmost valence band in the empirical tight-binding approximation (ETB), and plotted the resulting branch-point p energies Wbp−Wv (kmv ) = Φbp+[Wv (Γ )−Wv (kmv )]ETB at the mean-value k-point kmv versus the widths of the dielectric band gaps Wdg . The linear least-squares
Table 8.1 Optical dielectric constants, widths of the di-
electric band gap, and branch-point energies of diamond-, zincblende- and chalcopyrite-structure semiconductors and of some insulators p
Semiconductor
ε∞
Wdg (eV)
Φbp (eV)
C Si Ge 3C-SiC 3C-AlN AlP AlAs AlSb 3C-GaN GaP GaAs GaSb 3C-InN InP InAs InSb 2H-ZnO ZnS ZnSe ZnTe CdS CdSe CdTe CuGaS2 CuInS2 CuAlSe2 CuGaSe2 CuInSe2 CuGaTe2 CuInTe2 AgGaSe2 AgInSe2 SiO2 Si3 N4 Al2 O3 ZrO2 HfO2
5.70 11.90 16.20 6.38 4.84 7.54 8.16 10.24 5.80 9.11 10.90 14.44 – 9.61 12.25 15.68 3.72 5.14 5.70 7.28 5.27 6.10 7.21 6.15 6.3* 6.3* 7.3* 9.00 8.0* 9.20 6.80 7.20 2.10 3.80 3.13 4.84 4.00
14.40 5.04 4.02 9.84 11.92 6.45 5.81 4.51 10.80 5.81 4.97 3.8 6.48 5.04 4.20 3.33 12.94 8.12 7.06 5.55 7.06 6.16 5.11 7.46 7.02 6.85 6.29 5.34 5.39 4.78 5.96 5.60
1.77 0.36a 0.18a 1.44 2.97 1.13 0.92 0.53 2.37 0.83 0.52 0.16 1.51 0.86 0.50 0.22 3.04b 2.05 1.48 1.00 1.93 1.53 1.12 1.43 1.47 1.25 0.93 0.75 0.61 0.55 1.09 1.11 3.99c 1.93c 3.23c ≈ 3.2c 2.62c
∗ε ∞
= n 2 , a [8.24], b [8.25], c [8.26]
fit to the data of the zincblende-structure compound semiconductors [8.28] p
Φbp = 0.449 · Wdg−[Wv (Γ )−Wv (kmv )]ETB , (8.14)
Electronic Properties of Semiconductor Interfaces
indicates that the branch points of these semiconductors lie 5% below the middle of the energy gap at the meanvalue k-point. Table 8.1 displays the p-type branch-point energies of the Group IV elemental semiconductors, of SiC, and of III–V and II–VI compound semiconductors, as well as of some insulators. A simple phenomenological model of Schottky contacts with a continuum of interface states and a constant density of states Dis across the semiconductor band gap yields the slope parameter [8.31, 32] (8.15) S X = A X / 1 + e20 /εi ε0 Dis δis , where εi is an interface dielectric constant. The parameter A X depends on the electronegativity scale chosen and amounts to 0.86 eV/Miedema-unit and 1.79 eV/Pauling-unit. For Dis → 0, relation (8.15) yields S X → 1 or, in other words, if no interface-induced gap states were present at the metal–semiconductor interfaces one would obtain the Schottky–Mott rule. The extension δis of the interface states may be approximated by their charge decay length 1/2qis . Mönch [8.32] mi and q mi data for metal-induced gap used theoretical Dgs gs
8.3 Comparison of Experiment and Theory
155
states (MIGS), as the IFIGS in Schottky contacts are mi /2q mi traditionally called, and plotted the (e20 /ε0 )Dgs gs values versus the optical susceptibility ε∞ − 1. The linear least-squares fit to the data points yielded [8.32] A X /S X − 1 = 0.1 · (ε∞ − 1)2 ,
(8.16)
where the reasonable assumption εi ≈ 3 was made. To a first approximation, the slope parameter D X of heterostructure band offsets may be equated with the slope parameter S X of Schottky contacts, since the IFIGS determine the intrinsic electric-dipole contributions to both the valence-band offsets and the barrier heights. Furthermore, the Group IV semiconductors and the elements constituting the III–V and II–VI compound semiconductors are all placed in the center columns of the Periodic Table and their electronegativities thus only differ by up to 10%. Consequently, the electric-dipole term D X · (X sr − X sl ) may be neglected [8.9], so that (8.12) reduces to p p ∆Wv ∼ = Φbpr − Φbpl
(8.17)
for practical purposes.
8.3 Comparison of Experiment and Theory 8.3.1 Barrier Heights of Schottky Contacts Experimental barrier heights of intimate, abrupt, clean and (above all) laterally homogeneous Schottky contacts on n-Si and n-GaAs as well as n-GaN, and the three SiC polytypes 3C, 6H and 4H are plotted in Figs. 8.10 and 8.11, respectively, versus the difference in the Miedema electronegativities of the metals and the semiconductors. Miedema’s electronegativities [8.33, 34] are preferred since they were derived from properties of metal alloys and intermetallic compounds, while Pauling [8.8] considered covalent bonds in small molecules. The p- and n-type branch-point p n = W − W , reenergies, Φbp = Wbp − Wv (Γ ) and Φbp c bp spectively, add up to the fundamental band-gap energy Wg = Wc − Wv (Γ ). Hence, the barrier heights of n-type Schottky contacts are (8.18)
The electronegativity of a compound is taken as the geometric mean of the electronegativities of its constituent atoms. First off all, the experimental data plotted in Figs. 8.10 and 8.11 clearly demonstrate that the different
Part A 8.3
hom n ΦBn = Φbp + S X (X m − X s ) .
experimental techniques, I/V , BEEM, IPEYS and PES, yield barrier heights of laterally homogeneous Schottky contacts which agree within the margins of experimental error. Second, all experimental data are quantitatively explained by the branch-point energies (8.14) and the slope parameters (8.16) of the IFIGS-and-electronegativity theory. As was already mentioned in Sect. 8.1.1, the stacking fault, which is part of the interfacial Si(111)(7 × 7)i reconstruction, causes an extrinsic electric dipole in addition to the intrinsic IFIGS electric dipole. The latter one is present irrespective of whether the interface structure is reconstructed or (1 × 1)i -unreconstructed. The extrinsic stacking fault-induced electric dipole quantitatively explains the experimentally observed barrier height lowering of 76 ± 2 meV. Third, the IFIGS lines in Figs. 8.11a and 8.11b were drawn using the branch-point energies calculated for cubic 3C-GaN and 3C-SiC, respectively, since relation (8.12) was derived for zincblende-structure compounds only. However, the Schottky contacts were prepared on wurtzite-structure 2H-GaN and not just on cubic 3C-SiC but also on its hexagonal polytypes 4H and 6H. The good agreement between the experimen-
Electronic Properties of Semiconductor Interfaces
tionable validity for the insulators considered here since they are much more ionic. Hence, the difference ϕvbo − 1 may be attributed to intrinsic electric-dipole layers at these insulator–semiconductor interfaces. The p p-type branch-point energies Φbp of the insulators obtained from the linear least-squares fits are displayed in Table 8.1. The reliability of these branch-point energies may be checked by, for example, analyzing barrier heights of respective insulator Schottky contacts. Such data are
References
159
only available for SiO2 . Figure 8.14b displays the barrier heights of SiO2 Schottky contacts as a function of the electronegativity difference X m − X SiO2 , where the electronegativity of SiO2 is estimated as 6.42 Miedemaunits. The linear least-squares fit ΦBn = (4.95 ± 0.19) + (0.77 ± 0.10) × (X m − X SiO2 )[eV]
(8.20)
to the experimental data agrees excellently with the prediction from the IFIGS-and-electronegativity theory.
8.4 Final Remarks The local density approximation to density functional theory (LDA-DFT) is the most powerful and widely used tool in theoretical studies of the ground-state properties of solids. However, excitation energies such as the width of the energy gaps between the valence and conduction bands of semiconductors cannot be correctly obtained from such calculations. The fundamental band gaps of the elemental semiconductors C, Si and Ge as well as of the III–V and II–VI compounds are notoriously underestimated by 25 to 50%. However, it became possible to compute quasi-particle energies and band gaps of semiconductors from first principles using the so-called GW approximation for the electron self-energy [8.43, 44]. The resulting band gap energies agree to within 0.1 to 0.3 eV with experimental values. For some specific metal–semiconductor contacts, the band-structure lineup was also studied by state-of-the-art ab-initio LDA-DFT calculations. The resulting LDADFT barrier heights were then subjected to a-posteriori corrections which consider quasi-particle effects and, if necessary, spin-orbit interactions and semicore-orbital effects. However, comparison of the theoretical results with experimental data gives an inconsistent picture. The mean values of the barrier heights of Al- and Zn/p-ZnSe contacts, which were calculated for different interface configurations using ab-initio LDA-DF theory and a-posteriori spin-orbit and quasi-particle corrections [8.45, 46], agree with the experimental data to within the margins of experimental error. The same conclusion was reached for Al/Al1−x Gax As Schottky
contacts [8.47]. However, ab-initio LDA-DFT barrier heights of Al-, Ag-, and Au/p-GaN contacts [8.48,49], as well as of Al- and Ti/3C-SiC(001) interfaces [8.50,51], strongly deviate from the experimental results. As already mentioned, ab-initio LDF-DFT valenc band offsets of Al1−x Gax As/GaAs heterostructures [8.41, 42] reproduce the experimental results well. The same holds for mean values of LDF-DFT valence-band offsets computed for different interface configurations of GaN- and AlN/SiC heterostructures [8.52–56]. The main difficulty which the otherwise extremely successful ab-initio LDF-DFT calculations encounter when describing semiconductor interfaces is not the precise exchange-correlation potential, which may be estimated in the GW approximation, but their remarkable sensitivity to the geometrical and compositional structure right at the interface. This aspect is more serious at metal–semiconductor interfaces than at heterostructures between two sp3 -bonded semiconductors. The more conceptual IFIGS-and-electronegativity theory, on the other hand, quantitatively explains not only the barrier heights of ideal Schottky contacts but also the valence-band offsets of semiconductor heterostructures. Here again, the Schottky contacts are the more important case, since their zero-charge-transfer barrier heights equal the branch-point energies of the semiconductors, while the valence-band offsets are determined by the differences in the branch-point energies of the semiconductors in contact.
Part A 8
References 8.1 8.2 8.3
W. Schottky: Naturwissenschaften 26, 843 (1938) F. Braun: Pogg. Ann. Physik Chemie 153, 556 (1874) N. F. Mott: Proc. Camb. Philos. Soc. 34, 568 (1938)
8.4 8.5 8.6
W. Schottky: Phys. Zeitschr. 41, 570 (1940) J. Bardeen: Phys. Rev. 71, 717 (1947) V. Heine: Phys. Rev. 138, A1689 (1965)
160
Part A
Fundamental Properties
8.7 8.8 8.9
8.10 8.11 8.12 8.13 8.14 8.15 8.16 8.17 8.18 8.19 8.20 8.21 8.22 8.23 8.24 8.25 8.26 8.27 8.28 8.29 8.30 8.31 8.32 8.33 8.34
C. Tejedor, F. Flores: J. Phys. C 11, L19 (1978) L. N. Pauling: The Nature of the Chemical Bond (Cornell Univ. Press, Ithaca 1939) W. Mönch: On the Present Understanding of Schottky Contacts. In: Festkörperprobleme, Vol. 26, ed. by P. Grosse (Vieweg, Braunschweig 1986) p. 67 W. Mönch: Phys. Rev. B 37, 7129 (1988) R. Schmitsdorf, T. U. Kampen, W. Mönch: Surf. Sci. 324, 249 (1995) J. L. Freeouf, T. N. Jackson, S. E. Laux, J. M. Woodall: AppI. Phys. Lett. 40, 634 (1982) W. Schottky: Phys. Zeitschr. 15, 872 (1914) W. Mönch: Electronic Properties of Semiconductor Interfaces (Springer, Berlin, Heidelberg 2004) H.A. Bethe: MIT Radiation Lab. Rep. 43-12 (1942) K. Takayanagi, Y. Tanishiro, M. Takahashi, S. Takahashi: Surf. Sci. 164, 367 (1985) H.-J. Im, Y. Ding, J. P. Pelz, W. J. Choyke: Phys. Rev. B 64, 075310 (2001) W. J. Kaiser, L. D. Bell: Phys. Rev. Lett. 60, 1406 (1988) L. D. Bell, W. J. Kaiser: Phys. Rev. Lett. 61, 2368 (1988) R. Turan, B. Aslan, O. Nur, M. Y. A. Yousif, M. Willander: Appl. Phys. A 72, 587 (2001) J. Cohen, J. Vilms, R.J. Archer: Hewlett-Packard R&D Report AFCRL-69-0287 (1969) R. W. Grant, J. R. Waldrop, E. A. Kraut: Phys. Rev. Lett. 40, 656 (1978) S. B. Zhang, M. L. Cohen, S. G. Louie: Phys. Rev. B 34, 768 (1986) J. Tersoff: J. Vac. Sci. Technol. B 4, 1066 (1986) W. Mönch: Appl. Phys. Lett. 86, 162101 (2005) W. Mönch: Appl. Phys. Lett. 86, 122101 (2005) J. Tersoff: Phys. Rev. Lett. 52, 465 (1984) W. Mönch: J. Appl. Phys. 80, 5076 (1996) A. Baldereschi: Phys. Rev. B 7, 5212 (1973) D. R. Penn: Phys. Rev. 128, 2093 (1962) A. M. Cowley, S. M. Sze: J. Appl. Phys. 36, 3212 (1965) W. Mönch: Appl. Surf. Sci. 92, 367 (1996) A. R. Miedema, F. R. de Boer, P.F. de Châtel: J. Phys. F 3, 1558 (1973) A. R. Miedema, P. F. de Châtel, F. R. de Boer: Physica 100B, 1 (1980)
8.35 8.36 8.37 8.38 8.39 8.40 8.41 8.42 8.43 8.44 8.45
8.46
8.47 8.48 8.49 8.50 8.51 8.52 8.53 8.54 8.55 8.56
A. Qteish, V. Heine, R. J. Needs: Phys. Rev. B 45, 6534 (1992) P. Käckell, B. Wenzien, F. Bechstedt: Phys. Rev. B 50, 10761 (1994) S. Ke, K. Zhang, X. Xie: J. Phys. Condens. Mat. 8, 10209 (1996) J. A. Majewski, P. Vogl: MRS Internet J. Nitride Semicond. Res. 3, 21 (1998) S.-H. Wei, S. B. Zhang: Phys. Rev. B 62, 6944 (2000) W. A. Harrison, E. A. Kraut, J. R. Waldrop, R. W. Grant: Phys. Rev. B 18, 4402 (1978) J. S. Nelson, A. F. Wright, C. Y. Fong: Phys. Rev. B 43, 4908 (1991) S. B. Zhang, M. L. Cohen, S. G. Louie, D. Tománek, M. S. Hybertsen: Phys. Rev. B 41, 10058 (1990) M. S. Hybertsen, S. G. Louie: Phys. Rev. B 34, 5390 (1986) R. W. Godby, M. Schlüter, L. J. Sham: Phys. Rev. B 37, 10159 (1988) M. Lazzarino, G. Scarel, S. Rubini, G. Bratina, L. Sorba, A. Franciosi, C. Berthod, N. Binggeli, A. Baldereschi: Phys. Rev. B 57, R9431 (1998) S. Rubini, E. Pellucchi, M. Lazzarino, D. Kumar, A. Franciosi, C. Berthod, N. Binggeli, A. Baldereschi: Phys. Rev. B 63, 235307 (2001) J. Bardi, N. Binggeli, A. Baldereschi: Phys. Rev. B 54, R11102 (1996) S. Picozzi, A. Continenza, G. Satta, S. Massidda, A. J. Freeman: Phys. Rev. B 61, 16736 (2000) S. Picozzi, G. Profeta, A. Continenza, S. Massidda, A. J. Freeman: Phys. Rev. B 65, 165316 (2002) J. Hoekstra, M. Kohyama: Phys. Rev. B 57, 2334 (1998) M. Kohyama, J. Hoekstra: Phys. Rev. B 61, 2672 (2000) M. Städele, A. J. Majewski, P. Vogl: Phys. Rev. B 56, 6911 (1997) J. A. Majewski, M. Städele, P. Vogl: Mater. Res. Soc. Symp. Proc. 449, 917 (1997) N. Binggeli, P. Ferrara, A. Baldereschi: Phys. Rev. B 63, 245306 (2001) B. K. Agrawal, S. Agrawal, R. Srivastava, P. Srivastava: Physica E 11, 27 (2001) M. R. Laridjani, P. Masri, J. A. Majewski: Mater. Res. Soc. Symp. Proc. 639, G11.34 (2001)
Part A 8
161
This chapter surveys general theoretical concepts developed to qualitatively understand and to quantitatively describe the electrical conduction properties of disordered organic and inorganic materials. In particular, these concepts are applied to describe charge transport in amorphous and microcrystalline semiconductors and in conjugated and molecularly doped polymers. Electrical conduction in such systems is achieved through incoherent transitions of charge carriers between spatially localized states. Basic theoretical ideas developed to describe this type of electrical conduction are considered in detail. Particular attention is given to the way the kinetic coefficients depend on temperature, the concentration of localized states, the strength of the applied electric field, and the charge carrier localization length. Charge transport via delocalized states in disordered systems and the relationships between kinetic coefficients under the nonequilibrium conditions are also briefly reviewed.
Many characteristics of charge transport in disordered materials differ markedly from those in perfect crystalline systems. The term “disordered materials” usually refers to noncrystalline solid materials without perfect order in the spatial arrangement of atoms. One should distinguish between disordered materials with ionic conduction and those with electronic conduction. Disordered materials with ionic conduction include various glasses consisting of a “network-formers” such as SiO2 , B2 O3 and Al2 O3 , and of “network-modifiers” such as Na2 O, K2 O and Li2 O. When an external voltage is applied, ions can drift by hopping over potential barriers in the glass matrix, contributing to the electrical conduction of the material. Several fascinating effects have been observed for this kind of electrical conduction. One is the extremely nonlinear dependence of the conductivity on the concentration of ions in the material. Another beautiful phenomenon is the so-called “mixed alkali effect”: mixing two different modifiers in one glass leads to an enormous drop in the conductivity in comparison to that
9.1
General Remarks on Charge Transport in Disordered Materials ........................ 163
9.2
Charge Transport in Disordered Materials via Extended States ............................. 167
9.3
Hopping Charge Transport in Disordered Materials via Localized States ............... 9.3.1 Nearest-Neighbor Hopping......... 9.3.2 Variable-Range Hopping ............ 9.3.3 Description of Charge-Carrier Energy Relaxation and Hopping Conduction in Inorganic Noncrystalline Materials............. 9.3.4 Description of Charge Carrier Energy Relaxation and Hopping Conduction in Organic Noncrystalline Materials.............
9.4
169 170 172
173
180
Concluding Remarks ............................ 184
References .................................................. 185
of a single modifier with the same total concentration of ions. A comprehensive description of these effects can be found in the review article of Bunde et al. [9.1]. Although these effects sometimes appear puzzling, they can be naturally and rather trivially explained using routine classical percolation theory [9.2]. The description of ionic conduction in glasses is much simplified by the inability of ions to tunnel over large distances in the glass matrix in single transitions. Every transition occurs over a rather small interatomic distance, and it is relatively easy to describe such electrical conductivity theoretically [9.2]. On the other hand, disordered systems with electronic conduction have a much more complicated theoretical description. Transition probabilities of electrons between spatially different regions in the material significantly depend not only on the energy parameters (as in the case of ions), but also on spatial factors such as the tunnelling distance, which can be rather large. The interplay between the energy and spatial factors in the transition probabilities of electrons makes the develop-
Part A 9
Charge Trans 9. Charge Transport in Disordered Materials
162
Part A
Fundamental Properties
Part A 9
ment of a theory of electronic conduction in disordered systems challenging. Since the description of electronic conduction is less clear than that of ionic conduction, and since disordered electronic materials are widely used for various device applications, in this chapter we concentrate on disordered materials with the electronic type of electrical conduction. Semiconductor glasses form one class of such materials. This class includes amorphous selenium, a-Se and other chalcogenide glasses, such as a-As2 Se3 . These materials are usually obtained by quenching from the melt. Another broad class of disordered materials, inorganic amorphous semiconductors, includes amorphous silicon a-Si, amorphous germanium a-Ge, and their alloys. These materials are usually prepared as thin films by the deposition of atomic or molecular species. Hydrogenated amorphous silicon, a-Si:H, has attracted much attention from researchers, since incorporation of hydrogen significantly improves conduction, making it favorable for use in amorphous semiconductor devices. Many other disordered materials, such as hydrogenated amorphous carbon (a-C:H) and its alloys, polycrystalline and microcrystalline silicon are similar to a-Si:H in terms of their charge transport properties. Some crystalline materials can also be considered to be disordered systems. This is the case for doped crystals if transport phenomena within them are determined by randomly distributed impurities, and for mixed crystals with disordered arrangements of various types of atoms in the crystalline lattice. In recent years much research has also been devoted to the study of organic disordered materials, such as conjugated and molecularly doped polymers and organic glasses, since these systems has been shown to possess electronic properties similar to those of inorganic disordered materials, while they are easier to manufacture than the latter systems. There are two reasons for the great interest of researchers in the conducting properties of disordered materials. On the one hand, disordered systems represent a challenging field in a purely academic sense. For many years the theory of how semiconductors perform charge transport was mostly confined to crystalline systems where the constituent atoms are in regular arrays. The discovery of how to make solid amorphous materials and alloys led to an explosion in measurements of the electronic properties of these new materials. However, the concepts often used in textbooks to describe charge carrier transport in crystalline semiconductors are based on an assumption of long-range order, and so they cannot be applied to electronic transport in disor-
dered materials. It was (and still is) a highly challenging task to develop a consistent theory of charge transport in such systems. On the other hand, the explosion in research into charge transport in disordered materials is related to the various current and potential device applications of such systems. These include the application of disordered inorganic and organic materials in photovoltaics (the functioning material in solar cells), in electrophotography, in large-area displays (they are used in thin film transistors), in electrical switching threshold and memory devices, in light-emitting diodes, in linear image sensors, and in optical recording devices. Readers interested in the device applications of disordered materials should be aware that there are numerous monographs on this topic: the literature on this field is very rich. Several books are recommended (see [9.3–12]), as are numerous review articles referred to in these books. In this chapter we focus on disordered semiconductor materials, ignoring the broad class of disordered metals. In order to describe electronic transport in disordered metals, one can more or less successfully apply extended and modified conventional theoretical concepts developed for electron transport in ordered crystalline materials, such as the Boltzmann kinetic equation. Therefore, we do not describe electronic transport in disordered metals here. We can recommend a comprehensive monograph to interested readers (see [9.13]), in which modern concepts about conduction in disordered metals are presented beautifully. Several nice monographs on charge transport in disordered semiconductors are also available. Although many of them were published several years ago (some even decades ago), we can recommend them to the interested reader as a source of information on important experimental results. These results have permitted researchers the present level of understanding of transport phenomena in disordered inorganic and organic materials. A comprehensive collection of experimental data for noncrystalline materials from the books specified above would allow one to obtain a picture of the modern state of experimental research in the field. We will focus in this chapter on the theoretical description of charge transport in disordered materials, introducing some basic concepts developed to describe electrical conduction. Several excellent books already exist in which a theoretical description of charge transport in disordered materials is the main topic. Among others we can recommend the books of Shklovskii and Efros [9.14], Zvyagin [9.15], Böttger and Bryksin [9.16], and Overhof and Thomas [9.17]. There appears to be
164
Part A
Fundamental Properties
Part A 9.1
the particular structure of the energy spectrum is not known for most disordered systems. From a theoretical point of view, it is enormously difficult to calculate this spectrum. There are several additional problems that make the study of charge transport in disordered materials more difficult than in ordered crystalline semiconductors. The particular spatial arrangements of atoms and molecules in different samples with the same chemical composition can differ from each other depending on the preparation conditions. Hence, when discussing electrical conduction in disordered materials one often should specify the preparation conditions. Another problem is related to the long-time relaxation processes in disordered systems. Usually these systems are not in thermodynamic equilibrium and the slow relaxation of the atoms toward the equilibrium arrangement can lead to some changes in electrical conduction properties. In some disordered materials a long-time electronic relaxation can affect the charge transport properties too, particularly at low temperatures, when electronic spatial rearrangements can be very slow. At low temperatures, when tunneling electron transitions between localized states dominate electrical conduction, this long-time electron relaxation can significantly affect the charge transport properties. It is fortunate that, despite these problems, some general transport properties of disordered semiconductors have been established. Particular attention is usually paid to the temperature dependence of the electrical conductivity, since this dependence can indicate the underlying transport mechanism. Over a broad temperature range, the direct current (DC) conductivity in disordered materials takes the form ! " ∆(T ) β σ = σ0 exp − (9.1) , kB T where the pre-exponential factor σ0 depends on the underlying system and the power exponent β depends on the material and also sometimes on the temperature range over which the conductivity is studied; ∆(T ) is the activation energy. In many disordered materials, like vitreous and amorphous semiconductors, σ0 is of the order of 102 –104 Ω−1 cm−1 . In such materials the power exponent β is close to unity at temperatures close to and higher than the room temperature, while at lower temperatures β can be significantly smaller than unity. In organic disordered materials, values of β that are larger than unity also have been reported. For such systems the value β ≈ 2 is usually considered to be appropriate [9.18].
Another important characteristic of the electrical properties of a disordered material is its alternating current (AC) conductivity measured when an external alternating electric field with some frequency ω is applied. It has been established in numerous experimental studies that the real part of the AC conductivity in most disordered semiconductors depends on the frequency according to the power law Re σ(ω) = Cωs ,
(9.2)
where C is constant and the power s is usually smaller than unity. This power law has been observed in numerous materials at different temperatures over a wide frequency range. This frequency dependence differs drastically from that predicted by the standard kinetic theory developed for quasi-free charge carriers in crystalline systems. In the latter case, the real part of the AC conductivity has the frequency dependence ne2 τ , (9.3) m 1 + ω2 τ 2 where n is the concentration of charge carriers, e is the elementary charge, m is the effective mass and τ is the momentum relaxation time. Since the band electrons in crystalline semiconductors usually have rather short momentum relaxation times, τ ≈ 10−14 s, the contribution of charge carriers in delocalized states to the AC conductivity usually does not depend on frequency at ω τ −1 . Therefore, the observed frequency dependence described by (9.2) should be ascribed to the contribution of charge carriers in localized states. One of the most powerful tools used to study the concentrations of charge carriers and their mobilities in crystalline semiconductors is the provided by measurements of the Hall constant, RH . Such measurements also provide direct and reliable information about the sign of the charge carriers in crystalline materials. Unfortunately, this is not the case for disordered materials. Moreover, several anomalies have been established for Hall measurements in the latter systems. For example, the sign of the Hall constant in disordered materials sometimes differs from that of the thermoelectric power, α. This anomaly has not been observed in crystalline materials. The anomaly has been observed in liquid and solid noncrystalline semiconductors. Also, in some materials, like amorphous arsenic, a-As, RH > 0, α < 0, while in many other materials other combinations with different signs of RH and α have been experimentally established. In order to develop a theoretical picture of the transport properties of any material, the first issues to clarify Re σ(ω) =
166
Part A
Fundamental Properties
Part A 9.1
potential V (x) with a Gaussian distribution function 1 V2 F(V ) = √ exp − 2 , (9.4) 2ε0 ε0 2π
where
one can solve the localization problem using the classical percolation theory illustrated in Fig. 9.4. In Fig. 9.4a, an example of a disorder potential experienced by electrons is shown schematically. In Fig. 9.4b and Fig. 9.4c the regions below a given energy level E c are colored black. In Fig. 9.4b this level is positioned very low, so that regions with energies below E c do not provide a connected path through the system. In Fig. 9.4c an infinite percolation cluster consisting only of black regions exists. The E c that corresponds to the first appearance of such a connected path is called the classical percolation level [9.14]. Mathematically soluving the percolation problem shows that the mobility edge identified with the classical percolation level in the potential V (x) is shifted with respect to the band edge of the ordered system by an amount ξε0 , where ξ ≈ 0.96 towards the center of the bandgap [9.15]. A similar result, though with a different constant ξ, can be obtained via a quantum-mechanical treatment of a short-range potential V (x) of white-noise type [9.20]. As the amplitude ε0 of the random potential increases the band gap narrows, while the conduction and valence bands become broader. Although this result is provided by both limiting models – by the classical one with a long-range smoothly varying potential V (x) and by the quantum-mechanical one with a short-range white-noise potential V (x) – none of the existing theories can reliably describe the energy spectrum of a disordered material and the properties of the charge carrier wavefunctions in the vicinity of the mobility edges, in other words in the energy range which is most important for charge transport. The DC conductivity can generally be represented in the form σ = e µ(ε)n(ε) dε , (9.5)
Here T is the temperature and kB is the Boltzmann constant. The Fermi level in almost all known disordered semiconductors under real conditions is situated in the mobility gap – in the energy range which corresponds to spatially localized electron states. The charge carrier mobility µ(ε) in the localized states below the mobility edge is much less than that in the extended states above the mobility edge. Therefore, at high temperatures, when a considerable fraction of electrons can be found in the delocalized states above the mobility edge, these states dominate the electrical conductivity of the system. The corresponding transport mechanism under such conditions is similar to that in ordered crystalline semiconductors. Electrons in the states within the energy range of the width, of the order kB T above the mobility edge, dominate the conductivity. In such a case the conductivity can be estimated as
where e is the elementary charge, n(ε) dε is the concentration of electrons in the energy range between ε and ε + dε and µ(ε) is the mobility of these electrons. The integration is carried out over all energies ε. Under equilibrium conditions, the concentration of electrons n(ε) dε is determined by the density of states g(ε) and the Fermi function f (ε), which depends on the position of the Fermi energy εF (or a quasi-Fermi energy in the case of the stationary excitation of electrons): n(ε) = g(ε) f (ε) ,
(9.6)
f (ε) =
1 . F 1 + exp ε−ε kB T
σ ≈ eµc n(εc )kB T ,
(9.7)
(9.8)
where µc is the electron mobility in the states above the mobility edge εc , and n(εc )kB T is their concentration. This equation is valid under the assumption that the typical energy scale of the DOS function g(ε) above the mobility edge is larger than kB T . The position of the Fermi level in disordered materials usually depends on temperature only slightly. Combining (9.6)– (9.8), one obtains the temperature dependence of the DC conductivity in the form ∆ σ = σ0 exp − (9.9) , kB T described by (9.1) with β = 1 and constant activation energy, which is observed in most disordered semiconductors at high temperatures. In order to obtain the numerical value of the conductivity in this high-temperature regime, one needs to know the density of states in the vicinity of the mobility edge g(εc ), and also the magnitude of the electron mobility µc in the delocalized states above εc . While the magnitude of g(εc ) is usually believed to be close to the DOS value in the vicinity of the band edge in crystalline semiconductors, there is no consensus among researchers on the magnitude of µc . In amorphous semiconductors µc is usually estimated to be in the range of 1 cm2 /V s to 10 cm2 /V s. Unfortunately, there are no reliable theoretical calculations of this quantity for most disordered
Charge Transport in Disordered Materials
such systems. This method can be extended to other disordered materials, provided the statistical properties of the disorder potential, essential for electron scattering, are known.
9.2 Charge Transport in Disordered Materials via Extended States The states with energies below εv and above εc in disordered materials are believed to possess similar properties to those of extended states in crystals. Various experimental data suggest that these states in disordered materials are delocalized states. However, traditional band theory is largely dependent upon the system having translational symmetry. It is the periodic atomic structure of crystals that allows one to describe electrons and holes within such a theory as quasi-particles that exhibit behavior similar to that of free particles in vacuum, albeit with a renormalized mass (the so-called “effective mass”). The energy states of such quasi-particles can be described by their momentum values. The wavefunctions of electrons in these states (the so-called Bloch functions) are delocalized. This means that the probability of finding an electron with a given momentum is equal at corresponding points of all elementary cells of the crystal, independent on the distance between the cells. Strictly speaking, the traditional band theory fails in the absence of translational symmetry – for disordered systems. Nevertheless, one still assumes that the charge carriers present in delocalized states in disordered materials can be approximately described by wavefunctions with a spatially homogeneous probability of finding a charge carrier with a given quasi-momentum. As for crystals, one starts from the quasi-free particle picture and considers the scattering effects in a perturbation approach following the Boltzmann kinetic description. This description is valid if the de Broglie wavelength of the charge carrier λ = / p is much less than the mean free path l = vτ, where τ is the momentum relaxation time and p and v are the characteristic values of the momentum and velocity, respectively. This validity condition for the description based on the kinetic Boltzmann equation can also be expressed as /τ ε, where ε is the characteristic kinetic energy of the charge carriers, which is equal to kB T for a nondegenerate electron gas and to the Fermi energy in the degenerate case. While this description seems valid for delocalized states far from the mobility edges, it fails for energy states in the vicinity of the mobility edges. So far, there has been
no consensus between the theorists on how to describe charge carrier transport in the latter states. Moreover, it is not clear whether the energy at which the carrier mobility drops coincides with the mobility edge or whether it is located above the edge in the extended states. Numerous discussions of this question, mostly based on the scaling theory of localization, can be found in special review papers. For the rest of this section, we skip this rather complicated subject and instead we focus on the description of charge carrier transport in a semiconductor with a short-range random disorder potential of white-noise type. This seems to be the only disordered system where a reliable theory exists for charge carrier mobility via extended states above the mobility edge. Semiconductor solid solutions provide an example of a system with this kind of random disorder [9.20–25]. Semiconductor solid solutions Ax B1−x (mixed crystals) are crystalline semiconductors in which the sites of the crystalline sublattice can be occupied by atoms of two different types, A and B. Each site can be occupied by either an A or a B atom with some given probability x between zero and unity. The value x is often called the composition of the material. Due to the random spatial distributions of the A and B atoms, local statistical fluctuations in the composition inside the sample are unavoidable, meaning that mixed crystals are disordered systems. Since the position of the band edge depends on the composition x, these fluctuations in local x values lead to the disorder potential for electrons and holes within the crystal. To be precise, we will consider the influence of the random potential on a conduction band electron. Let E c (x) be the conduction band minimum for a crystal with composition x. In Fig. 9.5 a possible schematic dependence E c (x) is shown. If the average composition for the whole sample is x0 , the local positions of the band edge E c (x) fluctuate around the average value E c (x0 ) according to the fluctuations of the composition x around x0 . For small deviations in composition ∆x from the average value, one can use the linear relation E c (x0 + ∆x) = E c (x0 ) + α∆x ,
(9.10)
167
Part A 9.2
materials. The only exception is provided by so-called mixed crystals, which are also sometimes called crystalline solid solutions. In the next section we describe the theoretical method which allows one to estimate µc in
9.2 Charge Transport in Disordered Materials via Extended States
170
Part A
Fundamental Properties
Part A 9.3
j with respect to the Fermi energy εF . Taking into account these occupation probabilities, one can write the transition rate between sites i and j in the form [9.31] 2rij νij = ν0 exp − a |εi − εF | + ε j − εF + ε j − εi × exp − . 2kB T (9.25)
Using these formulae, the theoretical description of hopping conduction is easily formulated. One has to calculate the conductivity provided by transition events (the rates of which are described by (9.25)) in the manifold of localized states (where the DOS is described by (9.22)).
9.3.1 Nearest-Neighbor Hopping Before presenting the correct solution to the hopping problem we would like to emphasize the following. The style of the theory for electron transport in disordered materials via localized states significantly differs from that used for theories of electron transport in ordered crystalline materials. While the description is usually based on various averaging procedures in crystalline systems, in disordered systems these averaging procedures can lead to extremely erroneous results. We believe that it is instructive to analyze some of these approaches in order to illustrate the differences between the descriptions of charge transport in ordered and disordered materials. To treat the scattering rates of electrons in ordered crystalline materials, one usually proceeds by averaging the scattering rates over the ensemble of scattering events. A similar procedure is often attempted for disordered systems too, although various textbooks (see, for instance, Shklovskii and Efros [9.14]) illustrate how erroneous such an approach can be in the case of disordered materials. Let us consider the simplest example of hopping processes, namely the hopping of an electron through a system of isoenergetic sites randomly distributed in space with some concentration N0 . It will be always assumed in this chapter that electron states are strongly localized and the strong inequality N0 α3 1 is fulfilled. In such a case the electrons prefer to hop between the spatially nearest sites and therefore this transport regime is often called nearest-neighbor hopping (NNH). This type of hopping transport takes place in many real systems at temperatures where the thermal energy kB T is larger than the energy scale of the DOS. In such sit-
uations the energy-dependent terms in (9.24) and (9.25) do not play any significant role and the hopping rates are determined solely by the spatial terms. The rate of transition of an electron between two sites i and j is described in this case by (9.23). The average transition rate is usually obtained by weighting this expression with the probability of finding the nearest neighbor at some particular distance rij , and by integrating over all possible distances: ∞ ν =
dr ν0 0
2r 4π 3 2 × exp − 4πr N0 exp − r N0 α 3 3 ≈ πν0 N0 α . (9.26) Assuming that this average hopping rate describes the mobility, diffusivity and conductivity of charge carriers, one apparently comes to the conclusion that these quantities are linearly proportional to the density of localized states N0 . However, experiments evidence an exponential dependence of the transport coefficients on N0 . Let us look therefore at the correct solution to the problem. This solution is provided in the case considered here, N0 α3 1, by percolation theory (see, for instance, Shklovskii and Efros [9.14]). In order to find the transport path, one connects each pair of sites if the relative separation between the sites is smaller than some given distance R, and checks whether there is a continuous path through the system via such sites. If such a path is absent, the magnitude of R is increased and the procedure is repeated. At some particular value R = Rc , a continuous path through the infinite system via sites with relative separations R < Rc arises. Various mathematical considerations give the following relation for Rc [9.14]: 4π N0 Rc3 = Bc , 3
(9.27)
where Bc = 2.7 ± 0.1 is the average number of neighboring sites available within a distance of less than Rc . The corresponding value of Rc should be inserted into (9.23) in order to determine kinetic coefficients such as the mobility, diffusivity and conductivity. The idea behind this procedure is as follows. Due to the exponential dependence of the transition rates on the distances between the sites, the rates for electron transitions over distances r < Rc are much larger than those over distances Rc . Such fast transitions do not play any significant role as a limiting factor in electron transport and so they can
174
Part A
Fundamental Properties
Part A 9.3
from the measurements of dispersive transport in timeof-flight experiments. In order to interpret the observed time dependence of the mobility of charge carriers, one usually assumes that the DOS for the band tail takes the form of (9.37) (see, for example, Orenstein and Kastner [9.38]). One of the main reasons for such an assumption is probably the ability to solve the problem analytically without elaborate computer work. In the following we start our consideration of the problem by also assuming that the DOS in a band tail of a noncrystalline material has an energy dependence that is described by (9.37). This simple function will allow us to introduce some valuable concepts that have been developed to describe dynamic effects in noncrystalline materials in the most transparent analytical form. We first present the concept of the so-called transport energy, which, in our view, provides the most transparent description of the charge transport and energy relaxation of electrons in noncrystalline materials. The Concept of the Transport Energy The crucial role of a particular energy level in the hopping transport of electrons via localized band-tail states with the DOS described by (9.37) was first recognized by Grünewald and Thomas [9.39] in their numerical analysis of equilibrium variable-range hopping conductivity. This problem was later considered by Shapiro and Adler [9.40], who came to the same conclusion as Grünewald and Thomas, namely that the vicinity of one particular energy level dominates the hopping transport of electrons in the band tails. In addition, they achieved an analytical formula for this level and showed that its position does not depend on the Fermi energy. Independently, the rather different problem of nonequilibrium energy relaxation of electrons by hopping through the band tail with the DOS described by (9.37) was solved at the same time by Monroe [9.41]. He showed that, starting from the mobility edge, an electron most likely makes a series of hops downward in energy. The manner of the relaxation process changes at some particular energy εt , which Monroe called the transport energy (TE). The hopping process near and below TE resembles a multiple-trapping type of relaxation, with the TE playing a role similar to the mobility edge. In the multiple-trapping relaxation process [9.38], only electron transitions between delocalized states above the mobility edge and the localized band-tail states are allowed, while hopping transitions between the localized tail states are neglected. Hence, every second transition brings the electron to the mobility edge. The TE of Monroe [9.41] coincides exactly with the energy
level discovered by Grünewald and Thomas [9.39] and by Shapiro and Adler [9.40] for equilibrium hopping transport. Shklovskii et al. [9.42] have shown that the same energy level εt also determines the recombination and transport of electrons in the nonequilibrium steady state under continuous photogeneration in a system with the DOS described by (9.37). It is clear, then, that the TE determines both equilibrium and nonequilibrium and both transient and steady-state transport phenomena. The question then arises as to why this energy level is so universal that electron hopping in its vicinity dominates all transport phenomena. Below we derive the TE by considering a single hopping event for an electron localized deep in the band tail. It is the transport energy that maximizes the hopping rate as a final electron energy in the hop, independent of its initial energy [9.43]. All derivations below are carried out for the case kB T < ε0 . Consider an electron in a tail state with energy εi . According to (9.24), the typical rate of downward hopping of such an electron to a neighboring localized state deeper in the tail with energy ε j ≥ εi is 2r(εi ) ν↓ (εi ) = ν0 exp − (9.38) , α where
⎡
4π r(ε) ≈ ⎣ 3
∞
⎤−1/3 g(x) dx ⎦
.
(9.39)
εi
The typical rate of upward hopping for such an electron to a state less deep in the tail with energy ε j ≤ εi is 2r(εi − δ) δ ν↑ (εi , δ) = ν0 exp − − , (9.40) α kB T where δ = εi − ε j ≥ 0. This expression is not exact. The average nearest-neighbor distance, r(εi − δ), is based on all states deeper than εi − δ. For the exponential tail, this is equivalent to considering a slice of energy with a width of the order ε0 . This works for a DOS that varies slowly compared with kB T , but not in general. It is also assumed for simplicity that the localization length, α, does not depend on energy. The latter assumption can be easily jettisoned at the cost of somewhat more complicated forms of the following equations. We will analyze these hopping rates at a given temperature T , and try to find the energy difference δ that provides the fastest typical hopping rate for an electron placed initially at energy εi . The corresponding energy
176
Part A
Fundamental Properties
Part A 9.3
energy to the nearest (in space) localization site. In the latter relaxation process, the typical electron energy is determined by the condition [9.41] ν↑ [εd (t), εt ] t ≈ 1 ,
(9.47)
where ν↑ [εd (t), εt ] is the typical rate of electron hopping upward in energy toward the TE [9.41]. This condition leads to a typical energy position of the relaxing electron at time t of εd (t) ≈ 3ε0 ln [ln (ν0 t)] − ε0 8/ N0 α3 .
(9.48)
This is a very important result, which shows that in a system where the DOS has a pure exponential energy dependence, described by (9.37), the typical energy of a set of independently relaxing electrons would drop deeper and deeper into the mobility gap with time. This result is valid as long as the electrons do not interact with each other, meaning that the occupation probabilities of the electron energy levels are not taken into account. This condition is usually met in experimental studies of transient processes, in which electrons are excited by short (in time) pulses, which are typical of time-of-flight studies of the electron mobility in various disordered materials. In this case, only a small number of electrons are present in the band tail states. Taking into account the huge number of localized band tail states in most disordered materials, one can assume that most of the states are empty and so the above formulae for the hopping rates and electron energies can be used. In this case the electron mobility is a time-dependent quantity [9.41]. A transport regime in which mobility of charge carriers is time-dependent is usually called dispersive transport (see, for example, Mott and Davis [9.32], Orenstein and Kastner [9.38], Monroe [9.41]). Hence we have to conclude that the transient electron mobility in inorganic noncrystalline materials with the DOS in the band tails as described by (9.37) is a time-dependent quantity and the transient electrical conductivity has dispersive character. This is due to the nonequilibrium behavior of the charge carriers. They continuously drop in energy during the course of the relaxation process. In some theoretical studies based on the Fokker– Planck equation it has been claimed that the maximum of the energy distribution of electrons coincides with the TE εt and hence it is independent of time. This statement contradicts the above result where the maximum of the distribution is at energy εd (t), given by (9.48). The Fokker–Planck approach presumes the diffusion of
charge carriers over energy. Hence it is invalid for describing the energy relaxation in the exponential tails, in which electron can move over the full energy width of the DOS (from a very deep energy state toward the TE) in a single hopping event. In the equilibrium conditions, when electrons in the band tail states are provided by thermal excitation from the Fermi energy, a description of the electrical conductivity can easily be derived using (9.5)–(9.7) [9.39]. The maximal contribution to the integral in (9.5) comes from the electrons with energies in the vicinity of the TE εt , in an energy range with a width, W, described by (9.44). Neglecting the temperature dependence of the pre-exponential factor, σ0 , one arrives at the temperature dependence of the conductivity:
εF − εt σ ≈ σ0 exp − −1/3 − kB T Bc α 2r(εt )
,
(9.49)
where coefficient Bc ≈ 2.7 is inserted in order to take into account the need for a charge carrier to move over macroscopic percolation distances in order to provide low-frequency charge transport. A very similar theory is valid for charge transport in noncrystalline materials under stationary excitation of electrons (for example by light) [9.42]. In such a case, one first needs to develop a theory for the steady state of the system under stationary excitation. This theory takes into account various recombination processes for charge carriers and provides their stationary concentration along with the position of the quasi-Fermi energy. After solving this recombination problem, one can follow the track of the theory of charge transport in quasi-thermal equilibrium [9.39] and obtain the conductivity in a form similar to (9.49), where εF is the position of the quasi-Fermi level. We skip the corresponding (rather sophisticated) formulae here. Interested readers can find a comprehensive description of this sort of theory for electrical conductivity in the literature (see, for instance, Shklovskii et al. [9.42]). Instead, in the next section we will consider a very interesting problem related to the nonequilibrium energy relaxation of charge carriers in the band tail states. It is well known that at low temperatures, T ≤ 50 K, the photoconductivities of various inorganic noncrystalline materials, such as amorphous and microcrystalline semiconductors, do not depend on temperature [9.44–46]. At low temperatures, the TE εt lies very deep in the band tail and most electrons hop downward in energy, as described by (9.38) and (9.39). In such a regime, the
Charge Transport in Disordered Materials
9.3 Hopping Charge Transport in Disordered Materials via Localized States
Einstein’s Relationship for Hopping Electrons Let us start by considering a system of nonequilibrium electrons in the band tail states at T = 0. The only process that can happen with an electron is its hop downward in energy (upward hops are not possible at T = 0) to the nearest localized state in the tail. Such a process is described by (9.37)–(9.39). If the spatial distribution of localized tail states is isotropic, the probability of finding the nearest neighbor is also isotropic in the absence of the external electric field. In this case, the process of the hopping relaxation of electrons resembles diffusion in space. However, the median length of a hop (the distance r to the nearest available neighbor), as well as the median time, τ = ν↓−1 (r), of a hop [see (9.38)] increases during the course of relaxation, since the hopping process brings electrons deeper into the tail. Nevertheless, one can ascribe a diffusion coefficient to such a process [9.42]:
1 D(r) = ν↓ (r)r 2 . 6
where ∞ N(ε) = ε
ε g(ε) dε = N0 exp − . ε0
It was assumed in the derivation of (9.51) that eFx ε0 . Due to the exponential dependence of the hopping rate on the hopping length r, the electron predominantly hops to the nearest tail state among the available states if r α, which we assume to be valid. Let us calculate the average projection x on the field direction of the vector r from the initial states at energy ε to the nearest available neighbor among sites with a concentration N(ε, x) determined by (9.51). Introducing spherical coordinates with the angle θ between r and the x-axis, we obtain [9.48] 2π x =
π dθ sin θ
dφ 0
0
∞ × [ dr · r 3 cos(θ) · N(ε, r cos θ)] 0
⎡ × exp ⎣−
2π
r
(9.50)
dθ sin θ 0
⎤ 2N
dr r
×
π dφ
0
Here ν↓ (r)r 2 replaces the product of the “mean free path” r and the “velocity” r · ν↓ (r), and the coefficient 1/6 accounts for the spatial symmetry of the problem. According to (9.37)–(9.39) and (9.50), this diffusion coefficient decreases exponentially with increasing r and hence with the number of successive electron hops in the relaxation process. In order to calculate the mobility of electrons during hopping relaxation under the influence of the electric field, one should take into account the spatial asymmetry of the hopping process due to the field [9.47, 48]. Let us consider an electron in a localized state at energy ε. If an external electric field with a strength F is applied along direction x, the concentration of tail states available to this hopping electron at T = 0 (in other words those that have energies deeper in the tail than ε) is [9.47] eFx N(ε, x) = N(ε) 1 + (9.51) , ε0
(9.52)
(ε, r cos θ)⎦ .
(9.53)
0
Substituting (9.51) for N(ε, r cos θ), calculating the integrals in (9.53) and omitting the second-order terms
eN −1/3 (ε)F ε0
2 1,
(9.54)
we obtain x =
eFN −2/3 (ε) Γ (5/3) , 3ε0 (4π/3)2/3
(9.55)
where Γ is the gamma-function and N(ε) is determined by (9.52). Equation (9.55) gives the average displacement in the field direction of an electron that hops downward from a state at energy ε to the nearest available neighbor in the band tail. The average length r of
Part A 9.3
photoconductivity is a temperature-independent quantity determined by the loss of energy during the hopping of electrons via the band-tail states [9.47]. During this hopping relaxation, neither the diffusion coefficient D nor the mobility of the carriers µ depend on temperature, and the conventional form of Einstein’s relationship µ = eD/kB T cannot be valid. The question then arises as to what the relation between µ and D is for hopping relaxation. We answer this question in the following section.
177
180
Part A
Fundamental Properties
Part A 9.3
are aware of no analytical theory that can support this numerical result. To wrap up this section we would like to make the following remark. It is commonly claimed in the scientific literature that transport coefficients in the hopping regime should have a purely exponential dependence on the applied electric field. The idea behind such statements seems rather transparent. Electric field diminishes potential barriers between localized states by an amount ∆ε = eFx, where x is the projection of the hopping radius on the field direction. The field should therefore diminish the activation energies in (9.24) and (9.25) by this amount, leading to the term exp(eFx/kB T ) in the expressions for the charge carrier mobility, diffusivity and conductivity. One should, however, take into account that hopping transport in all real materials is essentially described by the variable-range hopping process. In such a process, as discussed above, the interplay between spatial and energy-dependent terms in the exponents of the transition probabilities determine the conduction path. Therefore it is not enough to solely take into account the influence of the strong electric field on the activation energies of single hopping transitions. One should consider the modification of the whole transport path due to the effect of the strong field. It is this VRH nature of the hopping process that leads to a more complicated field dependence for the transport coefficients expressed by (9.60)–(9.62). We have now completed our description of electron transport in inorganic disordered materials with exponential DOS in the band tails. In the next section we tackle the problem of charge transport in organic disordered materials.
9.3.4 Description of Charge Carrier Energy Relaxation and Hopping Conduction in Organic Noncrystalline Materials Electron transport and energy relaxation in disordered organic solids, such as molecularly doped polymers, conjugated polymers and organic glasses, has been the subject of intensive experimental and theoretical study for more than 20 years. Although there is a wide array of different disordered organic solids, the charge transport process is similar in most of these materials. Even at the beginning of the 1980s it was well understood that the main transport mechanism in disordered organic media is the hopping of charge carriers via spatially randomly distributed localized states. Binary systems like doped polymeric matrices provide canonical examples of disordered organic materials that exhibit
the hopping transport mechanism. Examples include polyvinylcarbazole (PVK) or bis-polycarbonate (Lexan) doped with either strong electron acceptors such as trinitrofluorenone acting as an electron transporting agent, or strong electron donors such as derivatives of tryphenylamine of triphenylmethane for hole transport [9.62,63]. To avoid the need to specify whether transport is carried by electrons or holes each time, we will use a general notation of “charge carrier” below. The results are valid for both types of carrier – electrons or holes. Charge carriers in disordered organic materials are believed to be strongly localized [9.18,62–64]. The localization centers are molecules or molecular subunits, henceforth called sites. These sites are located in statistically different environments. As a consequence, the site energies, which are to great extent determined by electronic polarization, fluctuate from site to site. The fluctuations are typically on the order of 0.1 eV [9.65]. This is about one order of magnitude larger than the corresponding transfer integrals [9.65]. Therefore carrier wavefunctions can be considered to be strongly localized [9.65]. As discussed above, the crucial problem when developing a theoretical picture for hopping transport is the structure of the energy spectrum of localized states, DOS. It is believed that, unlike inorganic noncrystalline materials where the DOS is believed exponential, the energy dependence of the DOS in organic disordered solids is Gaussian (see Bässler [9.18] and references therein), ε2 N0 g(ε) = √ exp − 2 , 2ε0 ε0 2π
(9.63)
where N0 is the total concentration of states and ε0 is the energy scale of the DOS. The strongest evidence in favor of such an energy spectrum in disordered organic materials is the ability to reproduce the observed experimentally temperature dependence of the carrier mobility and that of hopping conductivity assuming the Gaussian DOS in computer simulations [9.18, 66]. It has been observed in numerous experimental studies [9.67–73] that the temperature dependence of the drift mobility of charge carriers in disordered organic solids takes the form ! " T0 2 µ ∝ exp − (9.64) T with a characteristic temperature T0 , as shown in Fig. 9.14a. Computer simulations and theoretical calculations [9.65, 66, 74, 75] with the Gaussian DOS
182
Part A
Fundamental Properties
Part A 9.3
with time until it approaches the thermal equilibrium value " ! ∞ ε ε exp − kB T g(ε) dε ε2 −∞ " =− 0 . ε∞ = ! kB T ∞ exp − kBεT g(ε) dε −∞
(9.66)
The time τrel required to reach this equilibrium is of key importance in the analysis of experimental data [9.65], since at t < τrel the carrier mobility decreases with time (dispersive transport) until it reaches its equilibrium, time-independent value at t ≈ τrel . It has been established by computer simulations that τrel strongly depends on temperature [9.18]: ! " ε0 2 τrel ∝ exp B (9.67) kB T with B ≈ 1.07. Given that the same hopping processes determine both µ and τrel , researchers were puzzled for many years by the fact that they had different coefficients B and C (in other words they have different temperature dependencies) [9.65]. Below we show how to calculate both quantities – µ and τrel – easily, and we explain their temperature dependencies (obtained experimentally and by computer simulations as expressed by (9.64), (9.65) and (9.67)). Our theoretical approach is based on the concept of transport energy (TE), introduced in Sect. 9.3.3, where it was calculated for the exponential DOS given by (9.37). Literally repeating these calculations with the Gaussian DOS, given by (9.63), we obtain the equation [9.76, 77] ⎤4/3 ⎡ x √ 2 2 ⎥ x ⎢ ⎢ exp(−t 2 ) dt ⎥ exp ⎦ 2 ⎣ −∞
−1/3 k T B = 9(2π)1/2 N0 α3 . ε0
(9.68)
If we denote the solution of (9.68) as X t (N0 α3 , kB T/ε0 ), then the transport energy in the Gaussian DOS is equal to εt = ε0 · X t N0 α3 , kB T/ε0 . (9.69) Charge carriers perform thermally activated transitions from states with energies below the TE, εt , to the states with energies close to that of the TE [9.76]. Charge carriers hop downward in energy from states with energies
above the TE to the spatially nearest sites with rates determined by (9.38) and (9.39). Now that we have clarified the relaxation kinetics of charge carriers in the Gaussian DOS, it is easy to calculate the relaxation time τrel and the drift mobility µ. We consider the case ε∞ < εt < 0, which corresponds to all reasonable values of material parameters N0 α3 and kB T/ε0 [9.76]. The energy relaxation of most carriers with energies ε in the interval ε∞ < ε < εt occurs via a multiple trapping-like process, well described in the literature (see, for example, Orenstein and Kastner [9.38] or Marschall [9.78]). Below εt the average energy of the carriers ε(t) moves logarithmically downward with time t. States above ε(t) achieve thermal equilibrium with states at εt at time t, while states below ε(t) have no chance at time t to exchange carriers with states in the vicinity of εt . Hence the occupation of those deep states does not correspond to the equilibrium one, being determined solely by the DOS of the deep states. The system reaches thermal equilibrium when the time-dependent average energy ε(t) achieves the equilibrium level ε∞ , determined by (9.66). This happens at t = τrel . Since the relaxation of carriers occurs via thermal activation to the level εt , the relaxation time τrel is determined by the time required for activated transitions from the equilibrium level ε∞ to the transport energy εt . Hence, according to (9.40) and (9.47), τrel is determined by the expression τrel = ν0−1 exp
2r(εt ) εt − ε∞ + α kB T
.
(9.70)
From (9.68)–(9.70) it is obvious that the activation energy of the relaxation time depends on the parameters N0 α3 and kB T/ε0 . Hence, generally speaking, this dependence cannot be represented by (9.67) and, if at all, the coefficient B should depend on the magnitude of the parameter N0 α3 . However, numerically solving (9.68)–(9.70) using the value N0 α3 = 0.001, which was also used in computer simulations by Bässler [9.18,65], confirms the validity of (9.67) with B ≈ 1.0. This result is in agreement with the value B ≈ 1.07 obtained from computer simulations [9.18, 65]. A way to describe the temperature dependence of the relaxation time τrel by (9.67) is provided by the strong temperature dependence of ε∞ in the exponent in (9.70), while the temperature dependencies of the quantities εt and r(εt ) in (9.70) are weaker and they almost cancel each other out. However, if N0 α3 = 0.02, the relaxation time is described by (9.67) with B ≈ 0.9. This
184
Part A
Fundamental Properties
Part A 9.4
So far we have discussed the drift mobility of charge carriers under the assumption that the concentration of charge carriers is much less than that of the localized states in the energy range relevant to hopping transport. In such a case one can assume that the carriers perform independent hopping motion and so the conductivity can be calculated as the product (9.74)
where n is the concentration of charge carriers in the material and µ is their drift mobility. If, however, the concentration n is so large that the Fermi energy at thermal equilibrium or the quasi-Fermi energy at stationary excitation is located significantly higher (energetically) than the equilibrium energy ε∝ , a more sophisticated theory based on the percolation approach is required [9.82]. The result obtained is similar to that given by (9.49).
Beautiful effects have been observed experimentally by studying the charge transport in disordered organic and inorganic materials. Among these, the transport coefficients in the hopping regime show enormously strong dependencies on material parameters. The dependence of the charge carrier mobility on the concentration of localized states N0 (Fig. 9.15) spreads over many orders of magnitude, as does its dependence on the temperature T (Fig. 9.14) and on the (high) electric field strength F (Fig. 9.12). Such strong variations in physical quantities are typical, say, in astrophysics, but they are not usual in solid state physics. This makes the study of the charge transport in disordered materials absolutely fascinating. The strong dependencies of kinetic coefficients (like drift mobility, diffusivity and conductivity) in disordered materials on various material parameters makes these systems very attractive for various device applications. Since they are relatively inexpensive to manufacture too, it is then easy to understand why disordered organic and inorganic materials are of enormous interest for various technical applications. These materials also provide a purely academic challenge with respect to their transport phenomena. While traditional kinetic theories developed for crystalline materials are largely dependent on the systems having translational symmetry, there is no such symmetry in disordered materials. However, we have shown in this chapter that it is still possible to develop a reliable theoretical approach to transport phenomena in disordered materials. Particularly interesting is the hopping transport regime. In this regime, charge carriers perform incoherent tunneling jumps between localized states distributed in space and energy. The enormously strong (exponential) dependence of the transition rates on the distances between the sites and their energies call for a completely new set of ideas compared to those for crystalline solids. Conventional transport theories based on the averaging of transition rates lead to ab-
surd results if applied to hopping transport in disordered materials. One can use ideas from percolation theory instead to adequately describe charge transport. One of the most important ideas in this field is so-called variablerange hopping (VRH) conduction. Although the rate of transitions between two localized states is a product of exponential terms that are separately dependent on the concentration of localized states N0 , the temperature of the system T , and also on the field strength F (for high field strengths), it is generally wrong to assume that the carrier drift mobility, diffusivity or conductivity can also be represented as the product of three functions that are separately dependent on N0 , T and F. Instead one should search for a percolation path that takes into account the exponential dependences of the hopping rates on all of these parameters simultaneously. Such a procedure, based on strong interplay between the important parameters in the exponents of the transition rates, leads to very interesting and (in some cases) unexpected results, some of which were described in this chapter. For example, it was shown that the effect of a strong electric field on transport coefficients can be accounted for by renormalizing the temperature. Most of the ideas discussed in this chapter were discussed in the early works of Mott and his coauthors (see, for example, Mott and Davis [9.32]). Unfortunately, these ideas are not yet known to the majority of researchers working in the field of disordered materials. Moreover, it is often believed that transport phenomena in different disordered materials need to be described using different ideas. Mott based his ideas, in particular the VRH, mostly on inorganic glassy semiconductors. Most of the researchers that are studying amorphous inorganic semiconductors (like a-Si:H) are aware of these ideas. However, new researchers that are working on more modern disordered materials, such as organic disordered solids and dyesensitized materials, are often not aware of these very useful and powerful ideas developed by Mott and his
σ = enµ ,
9.4 Concluding Remarks
Charge Transport in Disordered Materials
space. No correlations between the spatial positions of the sites and the energies of the electronic states at these sites were considered here. Some theoretical attempts to account for such correlations can be found in the literature, although the correlations have not been calculated ab initio: instead they are inserted into a framework of model assumptions. This shows how far the field of charge transport in disordered materials is from a desirable state. Since these materials are already widely used in various technical applications, such as field transistor manufacture, light-emitting diodes and solar cells, and since the sphere of such applications is increasing, the authors are optimistic about the future of research in this field. The study of fundamental charge transport properties in disordered materials should develop, leading us to a better understanding of the fundamental charge transport mechanisms in such systems.
References 9.1 9.2 9.3
9.4 9.5 9.6
9.7
9.8
9.9
9.10
9.11
9.12 9.13
A. Bunde, K. Funke, M. D. Ingram: Solid State Ionics 105, 1 (1998) S. D. Baranovskii, H. Cordes: J. Chem. Phys. 111, 7546 (1999) C. Brabec, V. Dyakonov, J. Parisi, N. S. Sariciftci: Organic Photovoltaics: Concepts and Realization (Springer, Berlin, Heidelberg 2003) M. H. Brodsky: Amorphous Semiconductors (Springer, Berlin, Heidelberg 1979) G. Hadziioannou, P. F. van Hutten: Semiconducting Polymers (Wiley, New York 2000) J. D. Joannopoulos, G. Locowsky: The Physics of Hydrogenated Amorphous Silicon I (Springer, Berlin, Heidelberg 1984) J. D. Joannopoulos, G. Locowsky: The Physics of Hydrogenated Amorphous Silicon II (Springer, Berlin, Heidelberg 1984) A. Madan, M. P. Shaw: The Physics and Applications of Amorphous Semiconductors (Academic, New York 1988) M. Pope, C. E. Swenberg: Electronic Processes in Organic Crystals and Polymers (Oxford Univ. Press, Oxford 1999) J. Singh, K. Shimakawa: Advances in Amorphous Semiconductors (Gordon and Breach/Taylor & Francis, London 2003) R. A. Street: Hydrogenated Amorphous Silicon, Cambridge Solid State Science Series (Cambridge Univ. Press, Cambridge 1991) K. Tanaka, E. Maruyama, T. Shimada, H. Okamoto: Amorphous Silicon (Wiley, New York 1999) J. S. Dugdale: The Electrical Properties of Disordered Metals, Cambridge Solid State Science Series (Cambridge Univ. Press, Cambridge 1995)
9.14
9.15
9.16 9.17
9.18 9.19 9.20
9.21 9.22 9.23 9.24 9.25 9.26 9.27 9.28 9.29
B. I. Shklovskii, A. L. Efros: Electronic Properties of Doped Semiconductors (Springer, Berlin, Heidelberg 1984) I. P. Zvyagin: Kinetic Phenomena in Disordered Semiconductors (Moscow University Press, Moscow 1984) (in Russian) H. Böttger, V. V. Bryksin: Hopping Conduction in Solids (Wiley, New York 1985) H. Overhof, P. Thomas: Electronic Transport in Hydrogenated Amorphous Semiconductors (Springer, Berlin, Heidelberg 1989) H. Bässler: Phys. Status Solidi B 175, 15 (1993) P. W. Anderson: Phys. Rev. 109, 1492 (1958) A. L. Efros, M. E. Raikh: Effects of Composition Disorder on the Electronic Properties of Semiconducting Mixed Crystals. In: Optical Properties of Mixed Crystals, ed. by R. J. Elliott, I. P. Ipatova (Elsevier, New York 1988) D. Chattopadhyay, B. R. Nag: Phys. Rev. B 12, 5676 (1975) J. W. Harrison, J. R. Hauser: Phys. Rev. B 13, 5347 (1976) I. S. Shlimak, A. L. Efros, I. V. Yanchev: Sov. Phys. Semicond. 11, 149 (1977) S. D. Baranovskii, A. L. Efros: Sov. Phys. Semicond. 12, 1328 (1978) P. K. Basu, K. Bhattacharyya: J. Appl. Phys. 59, 992 (1986) S. Fahy, E. P. O’Reily: Appl. Phys. Lett. 83, 3731 (2003) V. Venkataraman, C. W. Liu, J. C. Sturm: Appl. Phys. Lett. 63, 2795 (1993) C. Michel, P. J. Klar, S. D. Baranovskii, P. Thomas: Phys. Rev. B 69, 165211–1 (2004) T. Holstein: Philos. Mag. B 37, 49 (1978)
185
Part A 9
followers that can be used to describe charge transport in inorganic disordered systems. In this chapter we have shown that the most pronounced charge transport effects in inorganic and organic disordered materials can be successfully described in a general manner using these ideas. Although we have presented some useful ideas for describing charge transport in disordered systems above, it is clear that the theoretical side of this field is still embyonic. There are still no reliable theories for charge transport via extended states in disordered materials. Nor are there any reliable theoretical descriptions for the spatial structure of the localized states (DOS) in organic and inorganic noncrystalline materials. All of the theoretical concepts presented in this chapter were developed using very simple models of localization centers with a given energy spectrum that are randomly distributed in
References
186
Part A
Fundamental Properties
Part A 9
9.30 9.31 9.32 9.33 9.34 9.35 9.36
9.37 9.38 9.39 9.40 9.41 9.42
9.43 9.44 9.45 9.46
9.47 9.48
9.49 9.50 9.51 9.52
9.53 9.54 9.55 9.56 9.57
H. Scher, T. Holstein: Philos. Mag. 44, 343 (1981) A. Miller, E. Abrahams: Phys. Rev. 120, 745 (1960) N. F. Mott, E. A. Davis: Electronic Processes in NonCrystalline Materials (Clarendon, Oxford 1971) A. L. Efros, B. I. Shklovskii: J. Phys. C 8, L49 (1975) M. Pollak: Disc. Faraday Soc. 50, 13 (1970) S. D. Baranovskii, A. L. Efros, B. L. Gelmont, B. I. Shklovskii: J. Phys. C 12, 1023 (1979) I. Shlimak, M. Kaveh, R. Ussyshkin, V. Ginodman, S. D. Baranovskii, H. Vaupel, P. Thomas, R. W. van der Heijden: Phys. Rev. Lett. 75, 4764 (1995) S. D. Baranovskii, P. Thomas, G. J. Adriaenssens: J. Non-Cryst. Solids 190, 283 (1995) J. Orenstein, M. A. Kastner: Solid State Commun. 40, 85 (1981) M. Grünewald, P. Thomas: Phys. Status Solidi B 94, 125 (1979) F. R. Shapiro, D. Adler: J. Non-Cryst. Solids 74, 189 (1985) D. Monroe: Phys. Rev. Lett. 54, 146 (1985) B. I. Shklovskii, E. I. Levin, H. Fritzsche, S. D. Baranovskii: Hopping photoconductivity in amorphous semiconductors: dependence on temperature, electric field and frequency. In: Advances in Disordered Semiconductors, Vol. 3, ed. by H. Fritzsche (World Scientific, Singapore 1990) p. 3161 S. D. Baranovskii, F. Hensel, K. Ruckes, P. Thomas, G. J. Adriaenssens: J. Non-Cryst. Solids 190, 117 (1995) M. Hoheisel, R. Carius, W. Fuhs: J. Non-Cryst. Solids 63, 313 (1984) P. Stradins, H. Fritzsche: Philos. Mag. 69, 121 (1994) J.-H. Zhou, S. D. Baranovskii, S. Yamasaki, K. Ikuta, K. Tanaka, M. Kondo, A. Matsuda, P. Thomas: Phys. Status Solidi B 205, 147 (1998) B. I. Shklovskii, H. Fritzsche, S. D. Baranovskii: Phys. Rev. Lett. 62, 2989 (1989) S. D. Baranovskii, T. Faber, F. Hensel, P. Thomas, G. J. Adriaenssense: J. Non-Cryst. Solids 198-200, 214 (1996) R. Stachowitz, W. Fuhs, K. Jahn: Philos. Mag. B 62, 5 (1990) S. D. Baranovskii, T. Faber, F. Hensel, P. Thomas: Phys. Status Solidi B 205, 87 (1998) S. D. Baranovskii, T. Faber, F. Hensel, P. Thomas: J. Non-Cryst. Solids 227-230, 158 (1998) A. Nagy, M. Hundhausen, L. Ley, G. Brunst, E. Holzenkämpfer: J. Non-Cryst. Solids 164-166, 529 (1993) C. E. Nebel, R. A. Street, N. M. Johanson, C. C. Tsai: Phys. Rev. B 46, 6803 (1992) H. Antoniadis, E. A. Schiff: Phys. Rev. B 43, 13957 (1991) K. Murayama, H. Oheda, S. Yamasaki, A. Matsuda: Solid State Commun. 81, 887 (1992) C. E. Nebel, R. A. Street, N. M. Johanson, J. Kocka: Phys. Rev. B 46, 6789 (1992) B. I. Shklovskii: Sov. Phys. Semicond. 6, 1964 (1973)
9.58 9.59 9.60 9.61
9.62 9.63 9.64 9.65
9.66 9.67 9.68 9.69
9.70
9.71 9.72 9.73 9.74 9.75 9.76 9.77 9.78 9.79 9.80 9.81
9.82
M. Grünewald, B. Movaghar: J. Phys. Condens. Mat. 1, 2521 (1989) S. D. Baranovskii, B. Cleve, R. Hess, P. Thomas: J. Non-Cryst. Solids 164-166, 437 (1993) S. Marianer, B. I. Shklovskii: Phys. Rev. B 46, 13100 (1992) B. Cleve, B. Hartenstein, S. D. Baranovskii, M. Scheidler, P. Thomas, H. Baessler: Phys. Rev. B 51, 16705 (1995) M. Abkowitz, M. Stolka, M. Morgan: J. Appl. Phys. 52, 3453 (1981) W. D. Gill: J. Appl. Phys. 43, 5033 (1972) S. J. Santos Lemus, J. Hirsch: Philos. Mag. B 53, 25 (1986) H. Bässler: Advances in Disordered Semiconductors. In: Hopping and Related Phenomena, Vol. 2, ed. by M. Pollak, H. Fritzsche (World Scientific, Singapore 1990) p. 491 G. Schönherr, H. Bässler, M. Silver: Philos. Mag. B 44, 369 (1981) P. M. Borsenberger, H. Bässler: J. Chem. Phys. 95, 5327 (1991) P. M. Borsenberger, W. T. Gruenbaum, E. H. Magin, S. A. Visser: Phys. Status Solidi A 166, 835 (1998) P. M. Borsenberger, W. T. Gruenbaum, E. H. Magin, S. A. Visser, D. E. Schildkraut: J. Polym. Sci. Polym. Phys. 37, 349 (1999) A. Nemeth-Buhin, C. Juhasz: Hole transport in 1,1bis(4-diethylaminophenyl)-4,4-diphenyl-1,3-butadiene. In: Hopping and Related Phenomena, ed. by O. Millo, Z. Ovadyahu (Racah Institute of Physics, The Hebrew University Jerusalem, Jerusalem 1995) pp. 410–415 A. Ochse, A. Kettner, J. Kopitzke, J.-H. Wendorff, H. Bässler: Chem. Phys. 1, 1757 (1999) J. Veres, C. Juhasz: Philos. Mag. B 75, 377 (1997) U. Wolf, H. Bässler, P. M. Borsenberger, W. T. Gruenbaum: Chem. Phys. 222, 259 (1997) M. Grünewald, B. Pohlmann, B. Movaghar, D. Würtz: Philos. Mag. B 49, 341 (1984) B. Movaghar, M. Grünewald, B. Ries, H. Bässler, D. Würtz: Phys. Rev. B 33, 5545 (1986) S. D. Baranovskii, T. Faber, F. Hensel, P. Thomas: J. Phys. C 9, 2699 (1997) S. D. Baranovskii, H. Cordes, F. Hensel, G. Leising: Phys. Rev. B 62, 7934 (2000) J. M. Marshall: Rep. Prog. Phys. 46, 1235 (1983) W. D. Gill: J. Appl. Phys. 43, 5033 (1972) O. Rubel, S. D. Baranovskii, P. Thomas, S. Yamasaki: Phys. Rev. B 69, 014206–1 (2004) W. D. Gill: Electron mobilities in disordred and crystalline tritrofluorenone. In: Proc. Fifth Int. Conf. of Amorphous and Liquid Semiconductors, ed. by J. Stuke, W. Brenig (Taylor and Francis, London 1974) p. 901 S. D. Baranovskii, I. P. Zvyagin, H. Cordes, S. Yamasaki, P. Thomas: Phys. Status Solidi B 230, 281 (2002)
187
Dielectric Res 10. Dielectric Response
Nearly all materials are dielectrics, that is they do not exhibit a direct-current (DC) conductivity on the macroscopic scale, but instead act as an electrical capacitance i. e. they store charge. The measurement of the dielectric response is noninvasive and has been used for material characterisation throughout most of the 20th century, and consequently a number of books already exist that cover the technique from various points of view. Those that have stood the test of time are Debye [10.1], Smyth [10.2], McCrum et al. [10.3], Daniels [10.4], Bordewijk and Bottcher [10.5], and Jonscher [10.6]. These texts cover the subject in terms of the basic physics [10.1, 5], the material properties [10.2–4], and the electrical features [10.6]. An introduction to the wide range of dielectric response measurements that are undertaken can be obtained by referring to the proceedings
10.1
Definition of Dielectric Response........... 10.1.1 Relationship to Capacitance ....... 10.1.2 Frequency-Dependent Susceptibility ............................ 10.1.3 Relationship to Refractive Index .
188 188 188 189
10.2 Frequency-Dependent Linear Responses 190 10.2.1 Resonance Response ................. 190 10.2.2 Relaxation Response ................. 192 10.3 Information Contained in the Relaxation Response .................. 10.3.1 The Dielectric Increment for a Linear Response χ0 ............ 10.3.2 The Characteristic Relaxation Time (Frequency)....................... 10.3.3 The Relaxation Peak Shape.........
196 196 199 205
10.4 Charge Transport ................................. 208 10.5 A Few Final Comments ......................... 211 References .................................................. 211
readers can choose for themselves how far to rely on them.
publication of the International Discussion Meeting on Relaxations in Complex Systems [10.7]. In view of the enormous range of properties and materials covered by the topic it is not feasible or desirable to attempt to review the whole field in a chapter such as this. Instead the topic is approached from the viewpoint of a researcher who, having measured the dielectric spectrum (i. e. frequencydependent complex permittivity) of a material sample, wishes to know what information can be taken from the measurements. Along the way the limits on the information content and the problems (and controversies) associated with the microscopic and molecular-scale interpretation will be identified. Emphasis will be placed on the physical concepts involved, but inevitably there will be some mathematical expressions whose features I aim to place in as simple a physical context as possible.
Part A 10
Nearly all materials are dielectrics, and the measurement of their dielectric response is a very common technique for their characterisation. This chapter is intended to guide scientists and engineers through the subject to the point where they can interpret their data in terms of the microscopic and atomistic dynamics responsible for the dielectric response, and hence derive useful information appropriate to their particular needs. The focus is on the physical concepts underlying the observed behaviour and is developed from material understandable by an undergraduate student. Emphasis is placed on the information content in the data, and the limits to be placed on its interpretation are clearly identified. Generic forms of behaviour are identified using examples typical of different classes of material, rather than an exhaustive review of the literature. Limited-range charge transport is included as a special item. The theoretical concepts are developed from a basic level up to the ideas current in the field, and the points where these are controversial have been noted so that the
Dielectric Response
but (10.6) is equally valid if the field oscillates with a circular frequency ω (ω = 2π f , where f is the frequency in Hertz). In this case (10.6) becomes P(ω) = χ(ω)E(ω) ,
(10.7)
It is easy to see that χ (ω) determines the net separation of charge with the dielectric in the form of a macroscopic capacitor, but the nature of χ (ω) is not so obvious. The answer lies in considering the rate of change of polarisation, d[P(ω)]/ dt. This has the dimensions of a current density (current/area), is sometimes termed
189
the polarisation current density, and is given by, d[P(ω)]/ dt = χ (ω) − i χ (ω) d[E(ω)]/ dt = χ (ω) − i χ (ω) i ω[cos(ωt) (10.9) + i sin(ωt)]E0 . Thus χ (ω) determines the real component of the polarisation current density that is in phase with the electric field, i. e. Jpol (ω) given by Jpol (ω) = χ (ω)ωE0 cos(ωt) = σAC (ω)E0 cos(ωt) . (10.10)
χ (ω)ω
Here = σAC (ω) is the contribution to the AC conductivity due to the polarisation response to the electric field. If we remember Joule’s Law for the power dissipated thermally by an electric current, i. e. power lost = IV , then we can see that χ (ω)ω(E0 )2 is the power dissipated per unit volume resulting from the generation of a net polarisation by the electric field, i. e. the power dissipation density. The imaginary susceptibility χ (ω) is often termed the power dissipation component. It arises because the electric field has to carry out work on the dielectric in order to produce a net dipole moment density. Some of this energy is stored in the charge separations and is recoverable in an equivalent way to the elastic energy stored in a spring. The rest of the energy is used to overcome the friction opposing the establishment of the net dipole density. This energy is transferred to the dielectric in an unrecoverable way, i. e. it is dissipated within the dielectric. It can be seen that χ (ω) is dependent upon the form of the equations of motion governing the evolution of the net dipole moment density under the action of an electric field.
10.1.3 Relationship to Refractive Index Equation (10.7) can be regarded as relating to the polarisation response purely to an oscillating electric field, but of course all electromagnetic waves contain such a field. In general the topic of dielectric response includes the response of the material to the electric field component of an electromagnetic field, i. e. the electromagnetic spectrum of a material is a form of dielectric response. This form of response is generally characterised by a complex frequency-dependent refractive index n ∗ ( f ), with n ∗ ( f ) = n( f ) − i κ( f ) ,
(10.11)
where n is the real refractive index expressing the velocity of light in the material, v, as v = c/n, and κ is the
Part A 10.1
where E(ω) = E0 exp (iωt). The fundamental reason for the dependence of P(ω) upon the frequency of the alternating-current (AC) field, as in (10.7), can be envisaged by constructing a general picture of the way that a material responds to an electric field. Let us imagine that we have our material in thermal equilibrium in the absence of an electric field and we switch on a constant field at a specified time. The presence of the electric field causes the generation of a net dipole moment density (or change in one already existing). This alteration in the internal arrangement of positive and negative charges will not be instantaneous. Instead it will develop according to some equation of motion appropriate to the type of charges and dipole moments that are present. Consequently some time will be required before the system can come into equilibrium with the applied field. Formally this time will be infinity (equivalent to an AC frequency of zero), but to all intents and purposes we can regard the system as coming into equilibrium fairly rapidly after some relevant time scale, τ, with the polarisation approaching the static value P = P(0) for t τ. If now we think of the electric field as reversing sign before equilibrium is reached, as is the case for an AC field at a time t = 1/4 f after it is switched on, it is clear that the polarisation will not have reached its equilibrium value before the field is reversed and hence that P(ω) P(0), and χ(ω) χ(0). The frequency dependence of the dielectric susceptibility χ(ω) is therefore determined by the equation of motion governing the evolution of the ensemble of electric dipole moments. In general χ(ω) will be a complex function with a real component χ (ω) defining the component of P(ω) that is in phase with the applied AC field E(ω) = Re[E0 exp (ωt)] = E0 cos(ωt), and χ (ω) defining the component that is 90◦ out of phase. The conventional form is given by √ χ(ω) = χ (ω) − i χ (ω) , i = −1 . (10.8)
10.1 Definition of Dielectric Response
192
Part A
Fundamental Properties
Part A 10.2
frequency ω approaches the natural frequency Ω from below, passes through zero when ω and Ω are equal, and rises towards zero from a negative value when ω Ω. In some cases the exact frequency dependence of the relative susceptibility can be slightly different from that given above. Typically the peak in χr (ω) is broadened due to the possibility that either the resonance frequencies of different transitions of the same molecule can be close together and their responses can overlap, or that local electrical interactions between molecules cause the transition energies of individual molecules to be slightly shifted in energy. As long as the transition energies are sufficiently far apart to be resolved experimental data of the resonance type will yield three pieces of information, which can be related to the electronic (or vibration) structure of the molecules. These are: (a) the natural oscillation frequency Ω, (b) the damping constant γ , and (c) the amplitude factor χ0 . The natural frequency ν is equal to the energy difference of the electronic states between which the fluctuation occurs, ξ, divided by Planck’s constant i. e. ν = ξ/h, and so provides information about the different quantum states in relation to one another. The amplitude factor χ0 is proportional to the square of the transition dipole and therefore yields information on the relative rearrangement of positive and negative charges within the molecule by the transition fluctuation. Damping in these types of systems arises from the sharing of the transition energy between many energy states of the molecule and its vibrations. It removes energy from the specific oscillating dipoles for which the field produces a net dipole moment density. It may act through a delay in returning energy to the electromagnetic wave, i. e. incoherent reradiation, or by transferring it to other energy states where it cannot be reradiated. The damping therefore expresses the way that the energy transferred from the electromagnetic field to the molecule is absorbed and dissipated in the system. The damping factor γ often will have a complicated form. There is of course one other piece of information that is implied by data that fit (10.15, 16) and that is that the equation of motion for the natural oscillating dipole moments is given by (10.14). In some cases, however, the damping factor may be frequency dependent as a result of changes in the interaction between different energy states of the system that occur on the same time scale as the relaxation time, 1/γ . The equation of motion will now have a different and more complicated form than that of (10.14). The above outline of spectroscopic responses is of necessity very sketchy as it is not the main theme of this chapter, and is dealt with in detail in many stan-
dard textbooks (e.g. Heitler [10.14]). There are however, a number of general features that can be used as a guide to what happens in the linear relaxation response. In the first place the dipoles involved are a property of natural fluctuations of the system, in this case quantum fluctuations in molecules. They are not produced by the electric field. In the absence of an electric field the fluctuating dipoles do not contribute to the net dipole moment of the system, in this case individual molecules. The action of the electric field in linear responses is solely to alter the population of the fluctuations such that a net dipole moment density is produced. This is achieved in the resonance cases considered above by the production of a net density of molecules in an excited state proportional to χ0 E 0 . The irreversible transfer of energy from the electric field to the system relates to the sharing of this energy between the oscillating dipoles coupled to the electric field, and many equi-energetic states of the system that do not couple directly to the electric field. The energy shared in this way is dissipated among the many connected states. Dissipation is an essential consequence of natural fluctuations in an ensemble [10.18] and expresses the requirement that the fluctuation die away to zero at long times. The function φ(t) must therefore approach zero as t tends to infinity. In the absence of an electric field dipole density fluctuations utilise energy gained transiently from the ensemble and return that energy via the dissipation mechanism. When however an electric field is present, the relative number of fluctuations with dipole moments in different directions is altered and the dissipation term irreversibly transfers energy from the electric field to the ensemble.
10.2.2 Relaxation Response We turn now to the relaxation response. The simplest way to view this behaviour is as an overdamped oscillation of the net dipole moment density, i. e. one for which γ 2 > 4Ω 2 . There are a number of ways of addressing this situation and below I shall develop the description starting from the simplest model whose behaviour is rarely found in condensed matter. The Debye Response In this case we can neglect the force constant term in (10.14), i. e. the term Ω 2 φ. This leads to an equation of motion with the form
dφ/ dt + γφ = 0 .
(10.17)
The solution to this equation is the very familiar exponential form, φ(t) ∝ e−t/τ . Equation (10.17) can be
Dielectric Response
193
form χ0 , 1 + ω2 /γ 2 χ0 ω/γ χr (ω) = . 1 + ω2 /γ 2 χr (ω) =
(10.18) (10.19)
These functions show a peak in the imaginary susceptibility component, χr (ω), at a frequency Ω = γ , which is sometimes called the loss peak frequency since χr (ω) is associated with the dissipation of energy, or equivalently the loss of energy from the driving electric field. The real component of the susceptibility, χr (ω), changes monotonically from zero at high frequencies to a limiting low-frequency value of χ0 . This is termed the dielectric dispersion. Equations (10.17–10.19) define what has come to be known as the Debye response after P. Debye who first addressed the nature of relaxation dielectric responses [10.1]. It is characterised by two pieces of information: the magnitude of the dispersion χ0 and the damping factor γ , more usually defined via the relaxation time τ = 1/γ of the dipole density fluctuations. The dispersion magnitude χ0 is a measure of the net change in dipole density fluctuations that can be produced by a unit field (i. e. E 0 = 1 V m−1 ), and is proportional to the square of the individual permanent dipole moments. As with the resonance response an exact fit between the relaxation response data and (10.18), (10.19) implies a specific form for the equation of motion of the dipole density fluctuations of the permanent dipole ensemble, i. e. that of (10.17). Frequency-Dependent Dielectric Response in Condensed Matter In practice the Debye response is rarely observed outside of the gas phase. Instead the experimental data can usually be characterised through fractional power laws in the frequency dependence of χr (ω) [10.6, 8, 20] in the regions away from the peak (see Fig. 10.4), i. e. for ω γ , and ω γ , giving
χr (ω) ∝ χr (ω) ∝ ωn−1 , ω γ , χr (0) − χr (ω) ∝ χr (ω) ∝ ωm , ω γ .
(10.20) (10.21)
Here n, and m are fractional exponents, i. e. 0 < n, m < 1. This general form was first defined empirically as the Havriliak–Negami function [10.21, 22]. A number of special cases have been identified [10.5,8]. Thus for example the Cole–Cole function is given by n + m = 1. When m = 1, and 0 < n < 1, the Cole– Davidson form is produced, which obeys (10.20) and
Part A 10.2
interpreted by taking on board the lessons from the resonance response. As before we have to view it as describing the behaviour of a natural fluctuation in our system that produces a net dipole moment density as the result of a random impulse at t = 0. Now however, there is no evidence for dipole oscillation, so we are not looking at the quantum fluctuations of electronic charge clouds and nuclei positions of molecules. In this case the response originates with the permanent dipoles that many molecules possess due to the asymmetry of their atomic construction. We should also remember that, though atoms do not possess a permanent dipole moment, ion pairs in a material will act as dipoles. Such systems contain a large number (ensemble) of permanent dipoles and this ensemble will obey the laws of thermodynamics. Therefore, with the exception of such materials as electrets and ferroelectrics the orientation of the permanent dipoles will be random in the absence of an electric field, i. e. the average net dipole moment of the system will be zero. Thermodynamic ensembles are however described by distributions that allow for fluctuations about the defined average values, thus for example canonical ensembles allow for fluctuations in energy about a defined average energy content, and grand canonical ensembles allow for fluctuations in the number of effective units (e.g. net dipole moments) as well. In the case of dipole responses we are looking at fluctuations that involve the orientations of the permanent dipoles and hence create a net dipole density (Fig. 10.3c,d). Such fluctuations are natural to the ensemble, but are transient, i. e. as in Sect. 10.2.1 φ(t) → 0 as t → ∞. Equation (10.17) describes the way in which such a local fluctuation in the dipole moment density decays (regresses) to zero, i. e. the ensemble relaxes. An applied electric field couples with the permanent dipoles to produce a torque that attempts to line the dipole with the electric field vector where its energy is lowest. Consequently the linear response of the system to the application of an electric field is an increase in the population of the permanent dipole fluctuations with a component oriented in the field direction as compared to those which have components oriented in the reverse direction. This relative change in the populations of the natural fluctuations of the system gives a net dipole moment density that is driven at the frequency of the electric field as in (10.7) [10.19]. As in the resonance case the polarisation can be obtained by adding the AC driving force oscillating at frequency ω to (10.17) and determining the solution for φ oscillating with the same frequency. The corresponding relative susceptibility components have the
10.2 Frequency-Dependent Linear Responses
196
Part A
Fundamental Properties
φ(t) resulting from the Debye model, (10.17), should be generalised to the form (10.26) φ(t) ∝ (t/τ)−n exp −(t/τ)1−n .
Part A 10.3
This expression is sometimes called the expanded exponential function or the Kohlrausch–Williams–Watt function, as it was later found that it was first proposed in [10.29] for mechanical responses. It is not known to possess a simple equation of motion such as (10.14), (10.17), and (10.22) ∞but its relaxation function Φ(t), defined by Φ(t) = t φ(t) dt [10.19] obeys a relaxation equation of the form of (10.17) with a time-dependent damping factor γ (t) ∝ t −n . The corresponding frequency-dependent susceptibility has the same power-law form as (10.20) for ω γ = 1/τ, but
exhibits a slowly varying decrease of slope as the frequency γ is approached from below that, with suitable choices for the value n, can approximate a power law for χr (ω) such as is defined in (10.21). The relationship between experimental data for φ(t) and that derived in the Dissado–Hill cluster model, i. e. the solution to (10.22), is shown in Fig. 10.5. It can be seen that the data and the function for φ(t) approaches zero as t tends to infinity, with the time power law t −(1+m) , but accurate experimental data for times several decades beyond τ is required if this behaviour is to be distinguished from that of (10.26). A better means of distinguishing the two results can often be had by recourse to their appropriate frequency-dependent susceptibilities, see Fig. 10.6.
10.3 Information Contained in the Relaxation Response As described in Sect. 10.2.2 relaxation responses contain three pieces of information. The strength of the coupling of dipole density fluctuations to the electric field characterised by χ0 , a characteristic relaxation frequency γ = 1/τ, where τ is the characteristic relaxation time, and the relaxation dynamics characterised by the frequency dependence of χr (ω) and χr (ω). This latter feature is open to different interpretations, as will be discussed later.
10.3.1 The Dielectric Increment for a Linear Response χ0 The dielectric increment is proportional to the square of the permanent dipole moments that give rise to the dipole density fluctuation. It is a feature of the dielectric response that does not usually receive the most attention, mainly because a quantitative relationship to the molecular physics of the relaxation process is often difficult to achieve. Nevertheless it has been used to determine the dipole moments of polar molecules using measurement in the gas phase or if necessary dilute solutions in a nonpolar solvent. In these cases the permanent molecular dipoles, µ, can be assumed to be independent of one another and to be able to adopt all orientations with equal probability in the absence of an electric field, i. e. all dipole moment orientations are at the same energy. This section starts by outlining the derivation of χ0 for this situation even though this is not the topic area of this book and chapter. The aim is to demonstrate the procedure and bring out the assumptions involved so that the
more complicated nature of dipole density fluctuations in condensed-state materials can be better appreciated. Independent Free Dipoles In an electric field a dipole that is at an angle θ to the field direction is at the energy −µE cos θ. Those molecules aligned with the electric field are therefore at the lowest energy. The thermal motions of the molecules will however tend to randomise the dipole orientations and the probability of finding a dipole with an orientation angle θ becomes exp (µE cos θ/kB T ). The average value of µ cos θ is given by
M = µ cos θ π µ cos θ exp (µE cos θ/kB T ) sin θ dθ =
0
π
exp (µE cos θ/kB T ) sin θ dθ
0
(10.27)
and the contribution to the static polarisation is given by Nµ cos θ, where N is the number of permanent dipoles per unit volume. The term independent of the electric field is zero because all orientations are equally probable in the absence of the field. Equation (10.27) results in a nonlinear function in the electric field E for M, which is called the Langevin function, L(µE/kB T ), with, L(µE/kB T ) = coth(µE/kB T ) − (kB T/µE ) . (10.28)
Dielectric Response
This function saturates at unity for very high values of µE/kB T , reflecting the total alignment of all the permanent dipoles in the electric field. At low fields defined by µE/kB T 1, L(µE/kB T ) is a linear function of E and gives the linear dielectric increment as χ0 = µ2 /3kB T .
(10.29)
dipoles formed by small interstitial (or substitution) ions may not interact very strongly with the surrounding lattice, whereas polar groups attached to a polymer chain will in many cases interact very strongly when they adopt a different orientation. Similarly the reorientation of polar molecules in liquids may be expected to distort their surrounding solvent cage and create a disturbance that will be transmitted to other polar molecules. The special feature of this form of interaction is that it is transmitted along specific directions depending upon the lattice structure and hence is nonisotropic. Order–Disorder Ferroelectrics These are materials in which the permanent dipoles possess two or a limited number of possible orientations. At high temperatures the dipoles are randomly distributed between the alternative orientations in the absence of an electric field. As the temperature is lowered the electrostatic field of the dipoles acts on any one dipole to make one of the orientations more preferable than the others. This causes the permanent dipole system to adopt a specific orientation at the Curie temperature Tc . The mean-field approach results in an expression for χ0 that diverges at Tc , i. e.
χ0 ∝ µ2 /|(T − Tc )| .
(10.30)
This expression is so common to us that it is easy to overlook the physical meaning that it contains, which is much better expressed in the renormalisation group approach [10.33]. Essentially the interactions between the dipoles cause their orientation and dynamics to become correlated to some extent. As Tc is approached from above, the dipole fluctuations in the system are correlated over increasingly long distances and involve increasingly larger groups of individual dipole moments µ. The dielectric increment increases in proportion to a power of the correlation length ξ ∝ |(T − Tc )−δ | and a more exact form for χ0 is χ0 ∝ µ2 /|(T − Tc )|α .
(10.31)
At temperatures below Tc the material will possess domains in which all the dipoles are aligned together. Dipole fluctuations in this state have the opposite orientation to that of the polarity of the domain dipole that is they are changes in net dipole moment density, see Sect. 10.1.1. These dipole fluctuations also produce an electrostatic field that causes them to be correlated. As the temperature reduces their correlation length reduces and hence so does χ0 . These materials show that the responding dipole in condensed-phase materials will not
197
Part A 10.3
Dipoles in Condensed Matter In condensed-phase systems, particularly solids, the approximations that lead to the Langevin function and (10.29) no longer apply, and hence these expressions no longer hold. In the first place the dipoles are constrained by the local structure and in general will not be able to assume all orientations with equal probability in the absence of an electric field. In the second place we cannot assume that the dipoles are independent of one another. This dependence may arise in more than one way. For example there may be electrostatic interactions between the dipoles, such as would be responsible for the formation of ferroelectric and anti-ferroelectric states. However when the dipoles concerned are of a low concentration such as those that originate with impurities, lattice defects, interstitial ions etc., these dipole–dipole interactions may be weak. The dipoles concerned may also be arranged in such a way that even though they can adopt one or more alternative orientations their dipole– dipole interactions essentially cancel, such as might be expected in dipole glasses [10.30]. The common way to deal with this situation is to assume that a dipole representative of the average dipole in the ensemble experiences the average electric field of all the other dipoles. This is called the mean-field approach [10.31]. Since the mean field will be a function of the average dipole moment due to the applied electric field it is usually possible to construct an equation that can be solved to yield M and hence χ0 . Another way in which the dipoles can interact arises because permanent dipoles are part of the lattice structure of the material. Those permanent dipoles that lead to a polarisation in the presence of an electric field must have two or more local orientations available to them, i. e. they must be able to adopt a different orientation that in the presence of an electric field has a lower energy. Any such change will inevitably alter the local atomic and molecular interactions around the dipole that has moved. This effect will travel through the structure and influence other permanent dipoles through changes in atomic and molecular positions in its environment [10.32]. The strength of such interactions will vary depending of the type of dipole and the way that it is connected to the structure. For example reorientable
10.3 Information Contained in the Relaxation Response
Dielectric Response
10.3 Information Contained in the Relaxation Response
elements, here electric dipoles, are independent and their orientation is defined by a static local potential; as discussed above this will not be the case in general. More typically the dipoles will be correlated with the matrix in which they are embedded and/or one another. This means that the dipoles that are involved in the dipole density fluctuations are not site dipoles but groups of molecules/ions including dipoles, i. e. the responding features have a size intermediate between that of the molecule/unit cell and that of the sample. Determination of the temperature dependence will give some clues as to how to regard the dipole system through the definition of an effective dipole. The way the effective dipole changes with temperature will allow some interpretation of the kind of system that is present. Variation with other control parameters will produce more information, and systematic variation of the structure, for example replacement of side groups in polymers by longer or different side groups, or substitution of impurity ions by similar ions of different oxidation state or ionic radius, will help to identify the local dipole moment contributing the dielectric increment. However, even if the form of the site dipole is known, the quantitative evaluation of a factor such as the Kirkwood factor g (10.32) is not trivial. In condensed matter, as can be seen from Fig. 10.7, only a component of the site dipole is likely to be involved in reorientation. Unless the local structure is very well known it will not be possible to determine the actual value of the reorientable component in order to obtain a quantitative estimate of g. What can be achieved is a fingerprint of the dipole fluctuations in the material that can be used to characterise it. However at best this will be a partial picture of the dipole fluctuations in the material and information gained from the relaxation time and the relaxation dynamics should be used to enhance it further. In this way a holistic view of the dipole fluctuation can be attempted. It is important to realise that the picture obtained from these three features must be complementary. It is not acceptable to regard them as three independent features, as in fact they just yield different facets of the same process.
The Information Content of the Dielectric Increment As is clear from the above discussion it is not easy to make definite quantitative statements about the dipole system based on the dielectric increment. The basic reason for this situation is that the measurements are made on a macroscopic sample that contains an ensemble of an enormous number of dipoles, up to ≈ 1028 m−3 . The description of such systems can be carried through if the
10.3.2 The Characteristic Relaxation Time (Frequency) Equation (10.14), (10.17), (10.22), and (10.23) define a characteristic relaxation rate γ or relaxation time τ = 1/γ for the dipole density fluctuations. In the case of the Debye response, whose susceptibility functions are given by (10.18) and (10.19), γ is the frequency at which the imaginary (dielectric loss) component χr (ω)
Part A 10.3
tions becomes almost random and χ0 approaches the free-dipole result (10.29). In many experimental situations the value of the dielectric increment is essentially independent of temperature. It is difficult to see how this can occur in an ensemble where the dipole density fluctuations are produced by fluctuations in thermal energy about the average value, which couple to the electric field via changes in the heat content as in (10.27). However it may be possible to conceive of this behaviour as due to fluctuations in the configuration entropy of the molecular system, of which the dipole is a part, that take place without any change in the heat content. The effect of the field would be to change the configuration entropy S rather than the heat content H. As a result the susceptibility would be independent of temperature. This picture implies that we must think of the dipoles in this case not as local elements embedded within the material matrix moving in a fixed local potential, but as an integral part of the matrix whose dynamics is described by fluctuations in the Gibbs free energy G = H − TS of the whole ensemble. In this case correlations between dipoles would be expected to occur mainly via the indirect route through their interaction with their local environment, rather than their direct electrostatic interactions. Equation (10.31) describes the behaviour of a system undergoing an order–disorder transition among the permanent dipole orientations. A similar behaviour will be found for the relaxation response of a first-order ferroelectric or dipole alignment transition [10.37]. In general phase transitions will not give rise to a divergence in χ0 , which occurs because the phase transition in these cases is defined through the dipole, i. e. the dipole orientation is the order parameter. In other types of phase transition the dipoles are not the primary cause and what can be expected is an abrupt change of χ0 as the dipoles find themselves embedded into a different lattice structure with different local potentials and orientation positions, different ensemble energies, and different correlations with one another and the material matrix.
199
200
Part A
Fundamental Properties
Part A 10.3
exhibits a peak. It has therefore become customary to determine the dependence of the relaxation time (rate) upon the control parameters (e.g. temperature, pressure, etc.) that are varied via that of the loss peak frequency. As long as the frequency dependence of χr (ω) (i. e. the loss peak shape) remains unchanged this procedure is valid because essentially the dielectric response investigated can be treated as a single composite process, even though it has a wider frequency dependence than that predicted for the independent free dipoles. Both the theoretical equation of motion (10.22) and response function (10.26) do in fact describe the response as a single composite process with a characteristic relaxation rate (time). However the frequency of the peak in χr (ω) (loss peak frequency) predicted from (10.22) is not γ but γ multiplied by a numerical factor depending upon the power-law exponents, n and m. In many cases the loss peak is very broad (n → 1, m → 0) and it is difficult to locate the peak precisely and to be sure that the point located is at the same position with respect to the functional dependence of χr (ω) upon ω. Under these circumstances a better procedure is to construct a master curve, which is done by plotting χr (ω) as a function of ω in log–log coordinates. Translation of the data along the log(ω) and log[χr (ω)] axes will bring the data into coincidence if the susceptibility frequency dependence is unchanged. The translation required to achieve coincidence gives the dependence of the susceptibility increment [log(χ )-axis] and characteristic relaxation frequency [log(ω)-axis] on the controlled variable. For example it gives the ratios γ (T1 )/γ (T2 ) [or χ0 (T1 )/χ0 (T2 )] for the temperature change T1 to T2 . This technique also has the advantage of illustrating clearly whether or not the frequency dependence is independent of the variation in temperature (or other parameter), i. e. whether or not the different sets of data can be brought into coincidence. It can also be used to determine any relationship between χ0 and γ . This is done by selecting a reference point (e.g. the point χ0 = A, ω = B) and marking the position on the master curve of this point from each data set after it has been translated to achieve coincidence. A trace is formed giving the dependence of log[(χ0 )−1 ] as a function of log(γ −1 ). The relaxation rate is the dielectric response feature that shows most dependence upon the variation in the control parameters and so is the feature that is most often studied. In the following sections I will outline some of the most common types of behaviour and discuss their implications for the physics of the relaxation process.
Site Dipole Reorientation The simplest form of relaxation rate is that described by Debye for independent molecular dipoles suspended in a viscous continuum. As described in Sect. 10.3.1 these dipoles are regarded as free to adopt any orientation in the absence of an electric field. Relaxation of a dipole density fluctuation involves the rotation of the molecular dipoles in the fluctuation to a state in which the net dipole density is zero. In such a situation the rotation of each individual dipole occurs at the same speed determined by the viscosity, η, of the medium, and the relaxation time (τ = 1/γ ) of the dipole density fluctuation is governed by that speed. For a molecular dipole whose effective length is a the relaxation time has the form [10.10],
τ ∝ ηa3 /kB T .
(10.35)
The more viscous the medium, or the bigger the molecular dipole, the slower it rotates and the slower will be the relaxation of a fluctuation, giving a net dipole moment to the ensemble. Of course the conditions for this behaviour to be exactly applicable cannot be met except in a gaseous medium. Condensed-phase materials are not continua. Even liquids possess a local structure and molecular dipoles will either be part of that structure if they are contributed by the medium, or will be surrounded by a solvent shell if they are dissolved in the medium. In solids the molecular (or ionic) dipole is of necessity part of the structural matrix, and even though this must be irregular enough to permit rotational displacement to at least one other orientation the matrix can be expected to maintain some structural correlation to distances well away from the site of a reorientable dipole. These are the conditions that must be included in any description of the relaxation frequency (time). The first point of departure from the picture of a free dipole in a continuum is that the dipole will possess only a limited number of orientations that it can adopt. Consequently there will be a potential barrier between these alternative equilibrium orientations. The rate of transition between alternative orientations and hence the relaxation frequency will be determined by the rate at which a dipole or, to be more specific, the atoms or ions that form the local dipole can pass over the potential barrier to switch orientation, as shown for example in Fig. 10.7. In this case the relaxation frequency will possess an activated (Arrhenius) form where the activation energy ∆γ is the mean potential barrier height between the alternative orientations, i. e. γ = 1/τ = A exp (−∆γ /kB T ) .
(10.36)
Dielectric Response
201
relaxation frequency becomes temperature independent at temperatures below ≈ 100 mK. Relaxation on a Free Energy Surface The situation described in the previous section is one in which the dipole moves on a potential surface provided by the surrounding structural matrix. The only dynamic effect of the matrix is via elastic and inelastic interaction between the quantum vibrations of the dipole and the matrix. In many cases however, the atoms (ions) comprising the dipole will cause the displacement of the centres of motion of the surrounding atoms during its transit between alternative orientations. In this case the expression for the relaxation frequency has to refer to the group of atoms affected as a unit, and an appropriate form is that derived by Eyring [10.43] for chemical reactions
γ = (kB T/h) exp (−G # /kB T ) .
(10.37)
G#
Here is the change in Gibbs free energy on passing from the ground state to the transition state in the process of reorientation. The barrier is now a free energy rather than a potential barrier and reflects the need for the involvement of displacements in a number of atoms, ions or molecules in order to achieve the dipole reorientation. If we refer again to Fig. 10.7 the difference is that the normal coordinate of the quantum vibrations in the barrier region is a mixture of several different normal coordinates of the surrounding matrix as well as that of the dipole in the well. In general G # will be composed of an activation entropy contribution S# as well as an activation enthalpy contribution H # with G # = H # − TS# , and both will be properties of the group of atoms/molecules involved and their structural relationship. The expression for γ therefore takes a form similar to that of (10.36): γ = (kB T/h) exp (S# /k) exp − H # /kB T = Aeff exp − H # /kB T . (10.38) The activation entropy S# will reflect the configuration rearrangement required for the dipole to reorient. Thus for example, when reorientation requires the surrounding matrix to adopt a more irregular (disordered) arrangement so as to remove a steric hindrance to reorientation the transition region entropy will be greater than that of the dipole in the bottom of the well and S# will be positive. Alternatively the transition region may require specific local arrangements in order that the dipole can avoid such hindrances. In this case the entropy of the transition state will be less than that of the
Part A 10.3
The expression to be used for the pre-exponential factor A depends on the way in which the atoms/ions comprising the local dipole pass through the transition region at the top of the barrier [10.38, 39]. In the schematic drawing of Fig. 10.7 the dipole is described as having an atom (ion) at its head that performs quantum oscillations in one of two potential wells. As long as it can be assumed that there is a thermal equilibrium between all the vibration states and that the dipole head passes into the alternative well in a single transit of the barrier region, then A = ν, where ν is the frequency of the quantum vibrations at the bottom of the wells. This result continues to hold even if thermal equilibrium is established only for the states at the bottom of the well as long as the effective friction acting on the dipole head in the barrier region is weak. The type of potential surface with these properties is one that remains essentially rigid during the actual transit of the barrier region, which takes place in a time typically of ≈ 10−14 s. The activated factor in (10.36) expresses the thermal probability of finding a dipole in a quantum state at the top of the barrier. The other extreme situation occurs when the friction ς d in the barrier region is high, for which A ∝ (1/ς d ). This occurs when the transit of the barrier region is slow enough to allow interactions with neighbouring vibrating atoms to overdamp the motion. In this case the potential surface distorts during the transit of the barrier. Such situations can be expected when the barrier is broad and ill-defined, and correspond to local structures that are flexible, such as may be expected in viscoelastic materials. A general expression A = λr (ν/νb ) has been developed by Grote and Hynes [10.39] where λr is a function that describes the change from low to high friction and νb is the quantum frequency in the barrier region. An interesting consequence of this type of potential surface is that, regardless of the magnitude of the barrier energy ∆γ , a temperature should exist below which reorientation over the barrier would take so long that any dipole fluctuation would essentially remain unrelaxed, i. e. the dipole system becomes frozen. However when the moving atom in the permanent dipole is a hydrogen atom this is not the case; relaxation can occur by the tunnelling of the hydrogen atom through the barrier [10.40]. This has been demonstrated by experiments on deuterated oxidised polyethylene molecules at millikelvin temperatures [10.41, 42]. In this case the relaxation frequency is determined by the tunnelling probability of the deuterium/hydrogen atom through the barrier, which is dependent upon the atomic mass, the barrier width and height, but not the temperature, i. e. the
10.3 Information Contained in the Relaxation Response
Dielectric Response
dipole would be expected to adopt their lowest-energy orientation, resulting in a state of ordered dipole orientation. A dipole glass will result instead when the dipole–dipole interactions produce forces that generate barriers to the local reorientation and frustrate the ordering process at temperatures low enough that the barriers generated cannot be overcome in any conceivable time. Ferroelectric Transition The dielectric response of ferroelectrics at temperatures in the vicinity of their Curie (critical) temperature also exhibit relaxation frequencies that approach zero, just as their dielectric increment approaches infinity (Fig. 10.9a,b) as discussed in Sect. 10.3.1. In this case both the dielectric increment and the relaxation frequency are functions of a hidden variable that characterises the system, the correlation length ξ of the dipole fluctuations. Just as the dielectric increment increases with a power of the correlation length, the relaxation frequency will decrease. Put simply the more dipoles are correlated in the fluctuation the longer the time that is required for its relaxation. Scaling theory [10.33] describes the system by a hierarchy of self-similar correlations. The strongest correlations are between the dipole and its nearest neighbours. This gives a local geometrical arrangement of correlations. The next-strongest correlations are between the same geometrical arrangement of groups of nearest neighbours, and the next strongest is between the same geometry of groups of groups. Eventually the whole system up to the correlation length is constructed in this way. Because the geometrical arrangement is preserved at each stage the properties for each stage have to be proportional to a power of the size. This gives
γ ∝ |(T − Tc )|β ∝ ξ −β/δ
(10.40)
and using (10.31) the relationship χ0 ∝ (γ )−α/β
(10.41)
follows. But the theory can go further and predict the frequency dependence of χr (ω) and χr (ω) for ω > γ . This follows because we can think of the response of the system to a field of frequency ω as being due to the correlation scale that can relax at the frequency ω, i. e. ξω ∝ ω−δ/β . The dielectric increment appropriate to this length scale can be obtained from (10.31) as (ξω )α/δ and hence, χr (ω) ∝ χr (ω) ∝ (ξω )α/δ ∝ ω−α/β = ωn−1 (10.42)
203
Part A 10.3
ment of the surrounding structural matrix. It should not be expected however that this response is due just to a dipole reorientation with respect to the molecule it is attached to. In many cases the β-response involves the displacement of the molecule or part thereof as a whole [10.48]. In polymers this is a local inter-chain motion and either the free-energy expression (10.38) or the potential-energy expression (10.36) will apply, depending on whether the surrounding chains remain rigid during the relaxation or rearrange locally. These dipoles are active in the glass state and can be expected to have a relaxation rate of the form of (10.36), i. e. reorientation over a potential barrier. In the case of the α-response it is clear that the relaxations must involve displacements in a number of molecules/atoms other than just those comprising the permanent dipole, and hence it is instructive to discuss the behaviour in terms of the rate expression (10.37). What can be seen is that, as the temperature at which the system becomes rigid is approached, the gradient in the Arrhenius plot gets steeper, and H # therefore becomes larger. The non-thermally-activated pre-exponential factor, Aeff , in (10.36) is greater than kB T/h and hence S# > 0. As the temperature approaches Tg there is an increase in Aeff , by many decades in frequency, which must be due to an increase in S# . These changes in H # and S# indicate that, as Tg is approached, dipole-orientation relaxation not only requires an increased amount of energy in order to enter the transition (barrier) region but also a larger amount of configuration disordering in the surrounding structure that makes up the molecular/atom group involved in relaxation. Although it is possible that such a situation may come about because reducing the temperature produces a local increase in density that increases steric hindrances for the same group of atoms and molecules, it is more likely that the number of molecules that are displaced in order to allow the dipole to pass through the transition region has increased. These considerations are consistent with a structure that is becoming either tangled or interlocked as the temperature decreases. Detailed expressions based on these concepts but involving macroscopic parameters have been attempted (see for example [10.46, 49–52]). The glass formation discussed above has a structural basis and dipole–dipole interactions will play at most a minimal role. In some situations however, the glass is a disordered array of dipole orientations [10.30]. This sort of state is most likely to occur at very low temperatures in materials that possess dipoles occupying the sites of a regular lattice. At high temperatures the dipole orientations will be disordered but, as the temperature is reduced to low values, each individual
10.3 Information Contained in the Relaxation Response
Dielectric Response
the process this behaviour has to indicate an increased difficulty for the dipole to reorient, which here is associated with structural ordering, densification, and atomic packing, rather than long-range correlations as in ferroelectrics. This response is also one for which the dielectric increment is often insensitive to temperature. If we put the two dielectric response features together we come to a picture in which the electric field effectively modifies the configuration entropy of the system in generating a net dipole density fluctuation. The net dipole density produced is essentially the same at different temperatures, so the change in configuration density generated by the electric field does not vary, but the relaxation time increases as the activation enthalpy H # and entropy S# increases. Put together with the fact that in structural glass formation small local regions are attempting to adopt a crystalline structure, this data indicates that there are local values of ground-state configuration entropy that reduce as Tg is approached, with a transition state involving a disordering of the local regions to free the dipole enough to let it adopt an alternative orientation in an equally ordered but different configuration. The dipole density fluctuations that couple to the electric field seem to involve reorganisations of the structure that can occur without a change in the value of the heat content H, i. e. they are essentially configuration entropy fluctuations rather than thermal fluctuations. In contrast to the ferroelectric situation the slowing down of the relaxation is not caused by longerrange correlations but by the increasingly larger numbers of molecular adjustments required to achieve a dipole reorientation. The message of this section is that in most cases a detailed molecular description of the dipole motions is generally not accessible just from an analysis of the dielectric response. The reason is that, in general, dipole reorientations involve adjustments in the surrounding molecules/atoms that are not easy to define in molecular terms. However by putting together the behaviour of the dielectric increment and relaxation frequency it should be possible to obtain some general idea as to the extent of the connection of the reorientation to the molecular environment and the way in which it takes place.
10.3.3 The Relaxation Peak Shape The explanation of the frequency dependence of the susceptibility is currently the most contentious of the features of the dielectric response. Many workers are content with just defining the shape by one or other of the empirical functions mentioned in Sect. 10.2.2, or
205
Part A 10.3
bouring molecular cage as well as the molecular energy change. However, the molecular structure of the cyclohexanol can itself exist in two conformations, the chair and the boat, and can rearrange its orientation in a lattice by passing through the alternative conformation as an intermediate. The free-energy barrier to this interconversion is also ≈ 0.5 eV. So we cannot decide from the relaxation frequency whether the relaxation involves just −OH group transfer or transfer via a boat-to-chair transition or a mixture of both. In this case the pre-exponential frequency Aeff ≈ 6 × 1016 Hz, so relaxation has to have a positive S# and involve a number of atoms rather than a dipole reorienting on a rigid potential surface. In the case of near-crystalline materials where the dipole is associated with defect centres we would expect the potential-barrier approach to be the best, but even here the fact that local reorientation is possible implies some sort of interaction between the surroundings and the moving dipole head. Calculations based on a rigid cage should (see for example [10.55]) however be possible, and comparison with experiment can be expected to determine how well this represents the situation and to what extent the transit of the barrier is affected by the barrier friction. Information provided by the dielectric increment should be of help here. The calculation ought to be able to yield an estimate of the reorientable component of the dipole, and if as seems likely the alternative orientations are at different energies, the temperature dependence of χ0 should follow (10.34). Although it is conceptually simple to think of dipoles relaxing upon a potential surface that remains unchanged during the relaxation, this is likely to be only an approximation to reality. The fact that alternative orientations exist indicates that in most cases the surrounding structure must be modified to some extent to accommodate the change; at the very least we can expect the dipole to polarise its surroundings differently according to its orientation. The expressions in Sect. 10.3.2 for the relaxation frequency of dipoles relaxing on a free-energy surface and dipoles in ferroelectrics reflect this fact in different ways. The ferroelectric behaviour described in Sect. 10.3.2 shows that when the dipoles become extensively correlated the relaxation frequency reduces as an inverse power of the correlation length and the dielectric increment increases as a power of the relaxation length. The self-similar scaling relates this behaviour to the frequency dependence of the susceptibility. The behaviour of the α-response of a glass-forming system involves dipole–structure interaction in a different way. The relaxation frequency approaches zero as T approaches Tg from above. Whatever the details of
10.3 Information Contained in the Relaxation Response
206
Part A
Fundamental Properties
Part A 10.3
through the power-law exponents of (10.20, 10.21). This gives a fingerprint of the dipole dynamics but no more. In particular it does not provide a description of the equation of motion of the dipole density fluctuation. Others determine what is termed a distribution of relaxation times for the loss peak in χr (ω). Essentially this approach is predicated on the assumption that the broadening of the loss peak compared to that of the Debye response (10.19) is the result of dipoles of the same type and dipole moment that each relax according to the Debye equation of motion (10.17) but possess different relaxation times with a distribution denoted by g(τ), which is defined via (10.43) ∞ χ0 ωτ dτ . (10.43) χr (ω) = g(τ) 1 + ω2 τ 2 0
This construction is still no more than a fingerprint unless a physical reason for the distribution g(τ) can be found. Usually this is ascribed to a distribution of local activation energies associated with dipoles that each exist in their own potential surface independent of one another. The system usually quoted as an example is that of the β-response in the rigid glassy phase, which typically has a very broad loss peak. In this case it is assumed that each dipole that can reorient to contribute to the β-response is essentially trapped in a local potential surface that is held rigid in the glass state. Of course the potential surface is not truly rigid, molecular/atomic vibrations must take place, but it is assumed that their effect on the potential averages out during the relaxation and their only effect is to raise the energy state of the reorienting dipole head to the state at the top of the barrier. One problem associated with this explanation of the origin of g(τ) is that, if the function g(τ) is independent of temperature the values of exponents n and m (10.20), (10.21) will be temperature dependent. This does not seem to be the case in general, with these exponents usually either constant or changing at the most slowly or discretely at a transition of state (see for example [10.8, 56]), but there is no real agreement on this point. Of course a temperature-dependent distribution function g(τ) may be assumed, but then the question arises as to why it is temperature dependent in a system that is presumed to be macroscopically rigid. Another facet of the problem associated with non-Debye loss peaks that does not seem to have received any consideration is the possibility that the magnitude of the reorientable dipole moment associated with each site of a given activation energy is also distributed. It is clear that this is highly likely even if the dipole mo-
ment that changes direction is the same everywhere, as illustrated in Fig. 10.7. Also, as described in Sects. 10.2 and 10.3, the local dipole may be correlated with other dipoles or its surroundings, and in this case we can expect the Debye rate equation not to hold. The ferroelectric result (Sect. 10.3.2) already shows that this is the case when the dipoles motions are correlated giving the system a scale relationship in its dynamics, and even correlation between the dipole and its surroundings, for which there is considerable evidence (Sects. 10.2 and 10.3) can be expected to alter the form of the equation of motion from (10.17), by for example anharmonic coupling between the various modes. Even if we assume that all the criteria for the application of (10.43) are met, the g(τ) that are required to fit the experimental form of response defined by (10.20), (10.21) [and its corresponding theoretical response function, (10.22)] have unique features that require a physical justification, i. e. there is a cusp or sharp peak at the value of τ corresponding to the characteristic frequency (τc = 1/γ ), and power-law wings to either side whose power exponents are 1 − n[g(τ) ∝ τ 1−n ] for τ < τc , and −m[g(τ) ∝ τ −m ] for τ > τc . In the Debye case the distribution becomes a delta function at the characteristic relaxation time. Essentially the distribution of relaxation times approach is convenient but it is not as easy to justify as would seem at first sight. The Williams and Watt response function [10.28] started life as a heuristic suggestion but has received some later theoretical support [10.57–62]. The dynamic scaling behaviour appropriate to ferroelectrics gives a clue as to the way in which a frequency-dependent susceptibility of the form of (10.20) can come about, which results from both the equation of motion (10.22) and the response function (10.26). Essentially there has to be a self-similarity (or scaling) between the relaxation frequency of subcomponents of the system and their contribution to the dielectric increment (as illustrated in the circuit model of [10.8]). The theory proposed by Palmer et al. [10.60] refers this scaling to the removal of a hierarchy of constraints, thus for example we may imagine that close neighbours move quickest and remove the constraints imposed on larger groups of molecules and so on. This picture would be appropriate to a system such as a glass-forming material. The assumption however is that the motions are overdamped at all levels of the hierarchy, and hence no bridge is provided to the oscillatory motions known to occur at times close to quantum vibrations. A rather different stochastic approach has been taken by Weron and Jurlewicz [10.61, 62] who assumed that the system re-
Dielectric Response
figuration entropy as various amounts of different local modes are progressively coupled into the dipole motion [10.23]. In this case there is no necessity for n to be temperature dependent. At the characteristic relaxation frequency, the characteristic dipole group relaxes and transfers energy to the heat bath. The low-frequency behaviour of (10.21) is the result of a distribution in the ensemble of locally coupled dipole motions. This occurs because the motions of local dipole centres may be weakly coupled to one another. As a result the relaxation of the centres proceeds in a scaled or self-similar manner. First the dipole in a local centre relaxes with respect to its own environment, this leaves each dipole centre unrelaxed with respect to one another. Next groups of dipole centres, with some arrangement depending upon the specific structure involved, relax as a group. Then groups of groups relax and so on. Each level of inter-group complexity essentially has a time scale associated with its relaxation that cannot be reached until the preceding level has been completed. This is rather similar to the constraint relaxation concept of Palmer et al. [10.60]. The power-law exponent m expresses the way that this hierarchy of relaxing groups is scaled, by defining the power-law tail of the distribution of intergroup relaxation times in the ensemble [10.69]. A value of m = 1 corresponds to a sequence of inter-group relaxations with a relaxation time that is proportional to the number of groups involved in the sequence [10.69]. This implies that the sequential events are uncorrelated, i. e. the long-time relaxation is a white-noise (random) process [10.23]. When combined together with n = 0 the Debye response is recovered. On the other hand a value of m approaching zero corresponds to relaxation times that are a very high power of the number of groups involved [10.69] and indicates a very strong connection between groups at all levels of the hierarchy. This will spread the response to very low frequencies, as observed. Essentially m is a measure of the extent to which energy is transferred to the heat bath (dissipated) at each level of the hierarchy compared to being stored in the intergroup interactions of the next level. Again scaling is at the basis of the theory, but now with two different ways in which it can be involved. This theory is not generally accepted. The controversial parts of the theory are firstly the coupling of the dipole motions with vibration modes, which modifies the oscillator behaviour towards an overdamped form, and secondly the hierarchy of relaxations whereby energy is transferred to the heat bath. However it should be noted that the susceptibility function that results has a general form that agrees well with experiment. In addition the concepts are reasonable given
207
Part A 10.3
laxation followed a path in which the fastest dipoles out of a distribution relaxed first and then the fastest out of the residual distribution and so on. The key feature is that the relaxing dipole is the extreme fastest from the distribution existing at the time. It was argued that the extreme-value statistical distribution function then led automatically to the response function of (10.26). The choice of appropriate extreme-value distribution was made on the grounds that the relaxation time was a positive definite variable. However this is not a sufficient criterion [10.63]. In order for (10.26) to apply the continuous distribution density of relaxation times (i. e. the distribution the system would have if it were of infinite size) has to be stable to scale changes (see for example [10.64]) and thus has to approach the extreme of long times as an inverse power law, otherwise a different extreme value statistic or none at all applies. The required form of distribution from which an extreme selection has to be made is one that applies to the size distribution of scaling systems [10.65] such as percolation clusters [10.66] for example. So even with this stochastic approach we are led back to a system for which the dynamics scale in some way. The Dissado–Hill function [10.23, 24] for which the response function obeys (10.22) also has scaling features as its basis, however unlike the other approaches it starts with the vibration dynamics of the system. It is assumed that a dipole that can reorient couples local vibration modes to itself. These are no longer extended normal modes but modes centred on the dipole that reduce in frequency according to the molecular mass involved. Their frequencies lie in the region between optical modes and the relaxation frequency and have a scaling relationship one to another. In the theory of Nigmatullin and Le Mehaute [10.67, 68], the modes are impulses that are involved in the dipole relaxation process whose time of action is scaled, i. e. the longer the time of action the more correlated they are to the dipole motion. In general these modes are local versions of coupled optical and acoustic modes and it is not surprising that they extend to such low frequencies as those involved in relaxation, as acoustic modes essentially extend to zero frequency. Their coupling with the dipole leads to the high-frequency power law of (10.20), where n expresses the extent to which the dipole reorientation couples to the surroundings, i. e. n = 0 corresponds to no coupling and the dipole moves independently of its surroundings, and n = 1 corresponds to full coupling in which the dipole motion is just part of the local mode. In a sense the short-time development of the response function of (10.22) is that of the changes in the con-
10.3 Information Contained in the Relaxation Response
208
Part A
Fundamental Properties
Part A 10.4
the complexity that is likely to occur in the internal motions once an ideal crystalline regularity is ruled out by the possibility of dipole reorientation. Thus for example this concept would apply to the dipoles involved in the β-response of the glass state as well as correlated motions of dipoles over long distances, since even in a macroscopically rigid material local vibrations take place. In fact the limited regions of local order in a glass phase can be expected to favour such local modes and increase the coupling of the dipole motions with them, as observed. The Information Content of the Loss Peak Shape It is clear from the foregoing discussion that for all theoretical models of the loss peak shape the characteristic or loss peak frequency is but the culmination of a process in which subsections of the dipole and environment (with or without dipoles) are mixed into the motion of the dipole centre. In these models the dipole is not an independent entity, but rather an entity that is connected to some extent over a region that may be small or large. This implies that the dipole is not a particle that relaxes on a rigid potential surface independently of its environment. Only the distribution of relaxation times approach preserves the latter concept. If the theoretical models are correct they reflect the fact that we are looking at entities that are not truly of molecular scale but are of a mesoscopic nature. The correlations noted to occur in χ0 and the need to use free energy rather than potential surfaces in describing the relaxation frequency
support this view. The local entities involved are however not rigid features like permanent dipoles, and for this reason we should expect there to be weak connections between them that can be expected to relate to the way in which the relaxation of the whole system takes place. That is, not all entities relax at the characteristic time. As one entity relaxes its neighbours have to come into equilibrium with its new orientation and the system approaches equilibrium more slowly [i. e. as the time power law t −(1+m) ] than the exponential behaviour of the Debye response function or the expanded exponential function. The information contained in the loss peak shape indicates the way in which a dipole density fluctuation evolves from its state when initially created to an ensemble of mesoscopic dipole centres. The broadening of the peak from that of a Debye peak indicates the involvement of faster and slower processes as part of the overall mechanism, whatever their detailed origin, and in particular processes that have a scale relationship to one another. This must apply even to a distribution of relaxation times because of the unique form required for that distribution. Equation (10.22) implies an equivalent description that refers the overall relaxation process to a conversion of the vibration oscillation at short times to an overdamped motion as the dipole density fluctuation dissipates its energy irreversibly. In this sense evaluation of the shape parameters n and m give a means of describing this conversion process. At the very least they give a sense of the scaling involved in spreading the relaxation process around the characteristic relaxation frequency or equivalently the characteristic relaxation time.
10.4 Charge Transport All dielectrics possess a constant (DC) conductivity (σDC ), although usually it is very weak. Since χ (ω) = σ(ω)/ω as demonstrated in Sect. 10.1 (10.10), it would be expected that a dielectric response at low frequencies ( f 10−2 Hz) would take a form in which χ (ω) = σDC /ω and χ is independent of frequency. In many cases however the conduction process is blocked at the electrodes or internal interfaces. In this case the DC conduction charges the interface, which behaves as a capacitor, and the whole system behaves as a single dipole. As long as the interface does not possess relaxation dynamics of its own, the response that would be observed is that given by the Debye response of (10.18, 10.19), with τ = 1/γ = RCi , where R is the resistance of the body of the material and Ci is the
capacitance of the interface. The measured dielectric increment χ0 = dCi /A, where A is the electrode area and d is the sample thickness, and can be very large depending upon the ratio of the sample thickness to that of the interface. The situation where the interface has a frequency-dependent capacitance has been thoroughly discussed by Jonscher [10.6] who has shown thatχr (ω) is modified from χr (ω) ∝ ω−2 (ω > γ ) to χr (ω) ∝ ω−q , while χr (ω) ∝ (1/ω)(ω > γ ) as in (10.19). The value of q lies in the range 1 < q < 2 with its value depending upon the frequency dependence of the interface capacitance. The bulk DC conductance arises from charged particles whose movements are not bound to a charge of the opposite polarity as in dipoles but are free to
Dielectric Response
σ(ω) ∝ ω1− p i. e. χr (ω) ∝ χr (ω) ∝ ω− p ,
ω < ωc
(10.44)
and at frequencies above ωc , σ(ω) ∝ ωn i. e. χr (ω) ∝ χr (ω) ∝ ωn−1 ,
ω > ωc . (10.45)
The power-law exponents p in (10.44) and n in (10.45) have positive fractional values near to unity. It is obviously difficult to identify a value of p close to unity from measurements of χr (ω) [or equivalently σ(ω)] and in many cases it is assumed that the measured behaviour shows a static (DC) conductivity. It is then common to subtract its supposed value from the measured data for σ(ω) to obtain an expression for the dipole relaxation response supposedly responsible for the behaviour at ω > ωc . The values obtained in this way for χr (ω) at frequencies ω < ωc will not be zero as σ(ω) is not in fact constant, instead they will reduce as the frequency is reduced. This procedure yields a spurious loss peak in χr (ω) if the response is actually due to the q-DC mechanism, for which the high-frequency behaviour is an essential component of the whole q-DC mechanism and can never be resolved as a separate peak in χr (ω). The way to be certain that the response is really of the q-DC form is to measure the frequency response for χr (ω) and show that it takes the same frequency dependence. A convenient check is to determine the ratio of χr (ω) to χr (ω) (i. e. tan δ) which will have a constant value [10.6, 20] given by χr (ω)/χr (ω) = tan δ = cot[(1 − p)π/2] .
(10.46)
Here tan δ is called the loss tangent and δ is the phase angle between the real and imaginary components of the
susceptibility. This relationship holds for pairs of values of χr (ω) and χr (ω) at the same frequency even if the measurements are noisy and so make it difficult to determine accurately the value of p from the frequency dependence. Another situation where it is difficult to detect the q-DC behaviour occurs in heterogeneous materials when one component has a low DC conductivity. This will add to the AC component, (10.44) and obscure the q-DC behaviour. In this case the DC conductivity can be eliminated from the data, if it is available over a large enough frequency range, by applying the Kramers– Kronig transform of (10.25) to obtain the function χr (ω) without the DC component (σDC /ω). The validity of the procedure can be checked by applying the inverse transform (10.24) to the measured data for χr (ω). This should yield the measured χr (ω) since the DC conductivity does not contribute to the real component of the susceptibility. The q-DC behaviour, (Fig. 10.10a), is most often found in materials that are heterogeneous on a mesoscopic scale such as ceramics [10.71], rocks [10.72], porous structures [10.73], and biological systems [10.74]. In these materials charged particles are transported via structured paths over some finite range. The transported charge and its countercharge give rise to an effective dipole with a large dipole moment. However the q-DC behaviour rarely appears as an isolated response. Because of the heterogeneous nature of the materials it is usually found to be electrically in series with other dielectric response elements such as interface capacitances, and electrically in parallel with a capacitive circuit element. The origin of the q-DC behaviour lies in a hidden scale relationship, with the dipole contribution to the susceptibility increment and its relaxation time both being a power of the length over which the transport takes place. The circuit models of Dissado and Hill et al. [10.8, 75] show how this behaviour can be produced when the system is represented by a geometrically self-similar arrangement of transport paths and blocking capacitive regions. Such geometrical regularity is not essential however [10.76]; a random arrangement of conductors (transport paths) in a dielectric (i. e. residual set of capacitances) will also result in the q-DC behaviour. It is clear that this construction yields percolation clusters below the size necessary to span the material, and these sub-percolation clusters will of necessity possess scaling relationships dependent on their size and the number of paths within them, and between clusters of different sizes. Such percolation systems also show q-DC behaviour when below their critical limit [10.77]. The theory proposed in [10.70]
209
Part A 10.4
move independently of their countercharge, resulting in a net charge displacement in the same way that a liquid flows. However the transport of charged particles within the body of the sample can give rise to a very different form of response when their movement lies along defined paths such that the longer the displacement of the charge the lower the number of paths or equivalently the more difficult the transport becomes. This behaviour was called low-frequency dispersion by Jonscher [10.6, 20] and quasi-DC conduction (q-DC) in the theoretical model of Dissado and Hill [10.70] who wished to distinguish it from low-frequency dipole responses. At frequencies below some characteristic value ωc this form of response takes the form,
10.4 Charge Transport
Dielectric Response
References
211
10.5 A Few Final Comments with a macroscopic measurement, there will of necessity be an ensemble of the local entities. This will result in a distribution of entities, but since these are part of the structure there will be some sort of connection between them unless the structure itself is disconnected dynamically. This means that fluctuations will take place among our entities, and perhaps even dissociation and amalgamation. These effects will also have an influence on the relaxation dynamics. In the foregoing I have tried to give some simple pictures as to what is happening and to do so in a holistic way by correlating information from different facets of the measurement. What is abundantly clear is that the dynamics of such systems are very complicated in detail, but I hope that I have done enough to convince you that there are some basic features of the relaxation process that are common to all systems of this type, even though a full understanding of their nature does not yet exist.
References 10.1 10.2 10.3
10.4 10.5 10.6 10.7
10.8
10.9 10.10 10.11 10.12 10.13
P. Debye: Polar Molecules (Dover, New York 1945) C. P. Smyth: Dielectric Behaviour and Structure (McGraw–Hill, New York 1955) N. G. McCrum, B. E. Read, G. Williams: Anelastic and Dielectric Effects in Polymeric Solids (Wiley, New York 1967) V. V. Daniels: Dielectric Relaxation (Academic, New York 1967) C. J. F. Bottcher, P. Bordewijk: Theory of Electric Polarisation, Vol. I,II (Elesvier, Amsterdam 1978) A. K. Jonscher: Dielectric Relaxation in Solids (Chelsea Dielectric, London 1983) K. L. Ngai, G. B. Wright (Eds.): Relaxations in Complex Systems. In: Proc. The International Discussion Meeting on Relaxations in Complex Systems (Elsevier, Amsterdam 1991) R. M. Hill: Electronic Materials from Silicon to Organics. In: Dielectric properties and materials, ed. by L. S. Miller, J. B. Mullin (Plenum, New York 1991) pp. 253–285 T. Furukawa: IEEE Trans. E.I. 24, 375 (1989) C. J. F. Bottcher: Theory of Electric Polarisation (Elsevier, Amsterdam 1952) p. 206 M. Born, E. Wolf: Principles of Optics (Pergamon, Oxford 1965) A. R. von Hippel (ed.): Dielectric Materials and Applications (Wiley, New York 1958) R. N. Clarke, A. Gregory, D. Connell, M. Patrick, I. Youngs, G. Hill: Guide to the Characterisation of Dielectric Materials at RF and Microwave Fre-
10.14 10.15 10.16 10.17 10.18 10.19 10.20 10.21 10.22
10.23 10.24
10.25 10.26 10.27 10.28 10.29
quencies. In: NPL Good Practice Guide (Pub. Inst. Measurement and Control, London 2003) W. Heitler: The Quantum Theory of Radiation, 3rd edn. (Dover, London 1984) D. Bohm, D. Pines: Phys. Rev. 82, 625 (1951) H. Eyring, J. Walter, G. E. Kimball: Quantum Chemistry (Wiley, New York 1960) P. Wheatley: The Determination of Molecular Structure (Clarendon, Oxford 1959) Chap. XI R. Kubo: Rep. Prog. Phys. 29, 255–284 (1966) R. Kubo: J. Phys. Soc. Jpn. 12, 570 (1957) A. K. Jonscher: J. Phys. D Appl. Phys. 32, R57 (1999) S. Havriliak, S. Negami: J. Polym. Sci. C 14, 99 (1966) S. Jr. Havriliak, S. J. Havriliak: Dielectric and Mechanical Relaxation in Materials (Hanser, New York 1997) L. A. Dissado, R. M. Hill: Proc. R. Soc. London 390(A), 131 (1983) L. A. Dissado, R. R. Nigmatullin, R. M. Hill: Dynamical Processes in Condensed Matter. In: Adv. Chem. Phys, Vol. LXIII, ed. by R. Evans M. (Wiley, New York 1985) p. 253 M. Abramowitz, I. A. Stegun: Handbook of Mathematical Functions (Dover, New York 1965) L. A. Dissado, R. M. Hill: Chem. Phys. 111, 193 (1987) L. A. Dissado, R. M. Hill: Nature (London) 279, 685 (1979) G. Williams, D. C. Watt: Trans. Farad. Soc. 66, 80 (1970) R. Kohlrausch: Pogg. Ann. Phys. 91, 198 (1854)
Part A 10
The basic difficulty associated with the interpretation of dielectric responses is that they are of necessity macroscopic measurements made on samples that contain enormous numbers of atoms and molecules. In condensed-phase materials it is not possible to consider these systems as made up of local entities each moving independently of one another. All entities that contribute a permanent dipole are part of the condensedphase structure, and even though they have a degree of freedom associated with the possibility of dipole reorientation, they will have motions that are correlated or connected to some extent to the molecules/atoms in their environment. This means that dipole reorientation is not that of a bare entity; instead it involves to some extent a local region. These regions will behave differently in different kinds of material and their definition and the way of describing their behaviour has not yet been established with any sort of rigour. Since we are dealing
212
Part A
Fundamental Properties
10.30 10.31 10.32 10.33
Part A 10
10.34 10.35 10.36 10.37 10.38 10.39 10.40 10.41 10.42 10.43 10.44 10.45 10.46
10.47 10.48 10.49 10.50 10.51 10.52 10.53 10.54 10.55
A. K. Loidl, J. Knorr, R. Hessinger, I. Fehst, U. T. Hochli: J. Non-Cryst. Solids 269, 131 (1991) C. Kittel: Introduction to Solid State Physics (Wiley, New York 1966) J. Joffrin, A. Levelut: J. Phys. (Paris) 36, 811 (1975) P. C. Hohenberg, B. I. Halperin: Rev. Mod. Phys. 49, 435–479 (1977) J. G. Kirkwood: J. Chem. Phys. 7, 911 (1939) L. A. Dissado, R. M. Hill: Phil. Mag. B 41, 625–642 (1980) L. A. Dissado, M. E. Brown, R. M. Hill: J. Phys. C 16, 4041–4055 (1983) L. A. Dissado, R. M. Hill: J. Phys. C 16, 4023–4039 (1983) H. A. Kramers: Physica VII(4), 284–304 (1940) R. F. Grote, J. T. Hynes: J. Chem. Phys. 73, 2715–2732 (1980) W. A. Phillips: Proc. R. Soc. London A 319, 535 (1970) J. le G. Gilchrist: Cryogenics 19, 281 (1979) J. le G. Gilchrist: Private communication with R.M. Hill, reported in 10.44, (1978) H. Eyring: J. Chem. Phys. 4, 283 (1936) R. M. Hill, L. A. Dissado: J. Phys. C 15, 5171 (1982) S. H. Glarum: J. Chem. Phys. 33, 1371 (1960) C. A. Angell: Encyclopedia of Materials. In: Science and Technology, Vol. 4, ed. by K. H. J. Buschow, R. W. Cahn, M. C. Fleming, B. Ilschner, E. J. Kramer, S. Mahajan (Elsevier, New York 2001) pp. 3565–3575 W. Kauzmann: Chem. Rev. 43, 219 (1948) G. P. Johari, M. Goldstein: J. Chem. Phys. 53, 2372 (1970) M. L. Williams, R. F. Landel, J. D. Ferry: J. Am. Chem. Soc. 77, 3701 (1955) M. Goldstein: J. Chem. Phys. 39, 3369 (1963) D. Turnbull, M. H. Cohen: J. Chem. Phys. 14, 120 (1961) R. R. Nigmatullin, S. I. Osokin, G. Smith: J. Phys. Cond. Matter 15, 1 (2003) R. M. Hill, L. A. Dissado, R. R. Nigmatullin: J. Phys. Cond. Matter 3, 9773 (1991) M. Shablakh, L. A. Dissado, R. M. Hill: J. Chem. Soc. Faraday Trans. 2 79, 369 (1983) R. Pirc, B. Zeks, P. Goshar: Phys. Chem. Solids 27, 1219 (1966)
10.56 10.57 10.58 10.59 10.60 10.61 10.62 10.63 10.64 10.65 10.66 10.67 10.68 10.69 10.70 10.71 10.72 10.73 10.74 10.75 10.76 10.77
10.78 10.79 10.80
K. Pathmanathan, L. A. Dissado, R. M. Hill: Mol. Cryst. Liq. Cryst. 135, 65 (1986) K. L. Ngai, A. K. Jonscher, C. T. White: Nature 277, 185 (1979) K. L. Ngai, A. K. Rajgopal, S. Tietler: J. Phys. C 17, 6611 (1984) K. L. Ngai, R. W. Rendell, A. K. Rajgopal, S. Tietler: Ann. Acad. Sci. NY 484, 150 (1986) R. G. Palmer, D. Stein, E. S. Abrahams, P. W. Anderson: Phys. Rev. Lett. 53, 958 (1984) K. Weron: J. Phys. Cond. Matter 4, 10507 (1992) K. Weron, A. Jurlewicz: J. Phys. A 26, 395 (1993) E. J. Gumbel: Statistics of Extremes (Columbia University Press, New York 1958) J. T. Bendler: J. Stat. Phys. 36, 625 (1984) J. Klafter, M. F. Schlesinger: Proc. Natl. Acad. Sci. 83, 848 (1986) D. Stauffer: Introduction to Percolation Theory (Taylor Francis, London 1985) R. R. Nigmatullin: Theor. Math. Phys. 90, 354 (1992) R. R. Nigmatullin, A. Le Mehaute: Int. J. Sci. Geores. 8, 2 (2003) L. A. Dissado, R. M. Hill: J. Appl. Phys. 66, 2511 (1989) L. A. Dissado, R. M. Hill: J. Chem. Soc. Faraday Trans. 1 80, 325 (1984) T. Ramdeen, L. A. Dissado, R. M. Hill: J. Chem. Soc. Faraday Trans. 2 80, 325 (1984) R. R. Nigmatullin, L. A. Dissado, N. N. Soutougin: J. Phys. D 25, 113 (1992) A. Puzenko, N. Kozlovich, A. Gutina, Yu. Feldman: Phys. Rev. B 60, 14348 (1999) L. A. Dissado: Phys. Med. Biol. 35, 1487 (1990) ˙ Hill: Phys. Rev. B 37, 3434 (1988) L. A. Dissado, R.M. D. P. Almond, C. R. Brown: Phys. Rev. Lett. 92, 157601 (2004) Yu. Feldman, N. Kozlovich, Yu. Alexandrov, R. Nigmatullin, Ya. Ryabov: Phys. Rev. E 54, 20–28 (1996) L. A. Dissado, R. M. Hill, C. Pickup, S. H. Zaidi: Appl. Phys. Commun. 5, 13 (1985) R. M. Hill, L. A. Dissado, K. Pathmanathan: J. Biol. Phys. 15, 2 (1987) M. Shablakh, L. A. Dissado, R. M. Hill: J. Biol. Phys. 12, 63 (1984)
213
Ionic Conduct 11. Ionic Conduction and Applications
The ionic bonding of many refractory compounds allows for ionic diffusion and correspondingly, under the influence of an electric field, ionic conduction. This contribution, for many years, was ignored as being inconsequential. However, over the past three to four decades, an increasing number of solids that support anomalously high levels of ionic conductivity have been identified. Indeed, some solids exhibit levels of ionic conductivity comparable to those of liquids. Such materials are termed fast ion conductors. Like solid state electronics, progress in solid state ionics has been driven by major technological developments, notably in the domains of energy storage and conversion and environmental monitoring, based on ongoing developments in battery, fuel cell and sensor technologies. Some of the most important applications of solid state electron-
11.1
Conduction in Ionic Solids .................... 214
11.2
Fast Ion Conduction ............................. 11.2.1 Structurally Disordered Crystalline Solids ....................... 11.2.2 Amorphous Solids ..................... 11.2.3 Heavily Doped Defective Solids.... 11.2.4 Interfacial Ionic Conduction and Nanostructural Effects .........
216 216 219 219 220
11.3
Mixed Ionic–Electronic Conduction ........ 221 11.3.1 Defect Equilibria ....................... 221 11.3.2 Electrolytic Domain Boundaries... 222
11.4
Applications ........................................ 11.4.1 Sensors .................................... 11.4.2 Solid Oxide Fuel Cells (SOFC) ........ 11.4.3 Membranes .............................. 11.4.4 Batteries .................................. 11.4.5 Electrochromic Windows ............
11.5
Future Trends...................................... 226
223 223 224 225 225 226
References .................................................. 226 where there is strong scientific and technological interest. The chapter concludes by considering how solid state ionic materials are likely to be used in the future, particularly in light of the trend for miniaturizing sensors and power sources.
ics and solid state ionics, and their categorization by type and magnitude of conductivity (such as dielectric, semiconducting, metallic and superconducting), are illustrated in Fig. 11.1 [11.1]. This figure also emphasizes that solids need not be strictly ionic or electronic, but may and often do exhibit mixed ionic–electronic conductivity. These mixed conductors play a critical role – particularly as electrodes – in solid state ionics, and are receiving comparable if not more attention than solid electrolytes at the present. Such solids are the result of a combination of the fields of solid state ionics and solid state electrochemistry , and they have grown in importance as our society has become more acutely concerned with efficient and environmentally clean methods for energy conversion, conservation and storage [11.2].
Part A 11
Solid state ionic conductors are crucial to a number of major technological developments, notably in the domains of energy storage and conversion and in environmental monitoring (such as battery, fuel cell and sensor technologies). Solid state ionic membranes based on fast ion conductors potentially provide important advantages over liquid electrolytes, including the elimination of sealing problems and the ability to miniaturize electrochemical devices using thin films. This chapter reviews methods of optimizing ionic conduction in solids and controlling the ratio of ionic to electronic conductivity in mixed conductors. Materials are distinguished based on whether they are characterized by intrinsic vs. extrinsic disorder, amorphous vs. crystalline structure, bulk vs. interfacial control, cation vs. anion conduction and ionic vs. mixed ionic–electronic conduction. Data for representative conductors are tabulated. A number of applications that rely on solid state electrolytes and/or mixed ionic–electronic conductors are considered, and the criteria used to choose such materials are reviewed. Emphasis is placed on fuel cells, sensors and batteries,
Ionic Conduction and Applications
or σion = γ N(Z i q)2 c(1 − c)Za2 γ0 /kB T × exp(∆S/kB ) exp(−E m /kB T )
(11.4)
pair or E D to the energy needed to dissociate a donor– anion interstitial pair. Such dissociative effects have been extensively reported in both halide and oxide literature [11.7]. A more detailed discussion is provided below in the context of achieving high oxygen ion conductivity in solid oxide electrolytes. The oxygen ion conductivity σi is given by the sum of the oxygen vacancy and interstitial partial conductivities. In all oxygen ion electrolytes of interest, the interstitial does not appear to make significant contributions to the ionic conductivity, and so it is the product of the oxygen vacancy concentration V··0 , the charge 2q, and the mobility (µv ): (11.5) σi ≈ V··0 2qµv Optimized levels of σi obviously require a combination of high charge carrier density and mobility. Classically, high charge carrier densities have been induced in solids by substituting lower valent cations for the host cations [11.2]. Implicit in the requirement for high carrier densities are: 1. High solid solubility of the substituent with the lower valency, 2. Low association energies between the oxygen vacancy and dopant, 3. No long-range ordering of defects. Additives which induce minimal strain tend to exhibit higher levels of solubility. The fluorite structure is the most well-known of these structures, with stabilized zirconia the best-known example. In this case, Y3+ substitutes for approximately 10% of Zr in Zr1−x Yx O2−x/2 , leading to σi ≈ 10−1 S/cm at 1000 ◦ C and an activation energy of ≈ 1 eV. Other examples include CeO2 [11.8], other fluorite-related structures such as the pyrochlores A2 B2 O7 [11.9], and perovskites such as La1−x Srx Ga1−y Mgy O3−δ (LSGM) [11.10]. are of opposite charge
Since the dopant and vacancy for example, YZr ’ and V··0 , they tend to associate. With cations being much less mobile than oxygen ions, this serves to trap the charge carrier. It is of interest to examine how the concentration of “free” mobile carriers
Table 11.1 Typical defect reactions Defect reactions
Mass action relations
MO ⇔ VM + V•• O OO ⇔ V•• O + Oi OO ⇔ V•• O + 2 e + 1/2O2
[VM ][V•• O ] = K S (T ) [V•• ][O O i ] = K F (T ) −1/2 •• 2 [VO ]n = K R (T )P0
(1) (2) (3)
n p = K e (T ) [NM ]2 · [V•• O ]/aN2 O3 = K N (T )
(4) (5)
0 ⇔ e + h• N2 O3 (MO2 ) ⇔ 2NM + 3OO + V•• O
2
215
Part A 11.1
This expression shows that σion is nonzero only when the product c(1 − c) is nonzero. Since all normal sites are fully occupied (c = 1) and all interstitial sites are empty (c = 0) in a perfect classical crystal, this is expected to lead to highly insulating characteristics. The classical theory of ionic conduction in solids is thus described in terms of the creation and motion of atomic defects, notably vacancies and interstitials. Three mechanisms for ionic defect formation in oxides should be considered. These are (1) thermally induced intrinsic ionic disorder (such as Schottky and Frenkel defect pairs), (2) redox-induced defects, and (3) impurity-induced defects. The first two categories of defects are predicted from statistical thermodynamics [11.6], and the latter form to satisfy electroneutrality. Examples of typical defect reactions in the three categories, representative of an ionically bonded binary metal oxide, are given in Table 11.1, in which the K i (T )s represent the respective equilibrium constant and aN O 2 3 the activity of the dopant oxide N2 O3 added to the host oxide MO2 . Schottky and Frenkel disorder (1, 2) leave the stoichiometric balance intact. Reduction–oxidation behavior, as represented by (3), results in an imbalance in the ideal cation-to-anion ratio and thus leads to nonstoichiometry. Note that equilibration with the gas phase, by the exchange of oxygen between the crystal lattice and the gas phase, generally results in the simultaneous generation of both ionic and electronic carriers. For completeness, the equilibrium between electrons and holes is given in (4). Altervalent impurities [for example N3+ substituted for the host cation M4+ – see (5)] also contribute to the generation of ionic carriers, commonly more than intrinsic levels do. This follows from the considerably reduced ionization energies required to dissociate impurity-defect pairs as compared to intrinsic defect generation. For example, E A might correspond to the energy required to dissociate an acceptor–anion vacancy
11.1 Conduction in Ionic Solids
216
Part A
Fundamental Properties
depends on the dopant concentration and the association energy. Consider the neutrality relation representing vacancy compensation of acceptor impurities by NV = β NI ,
(11.6)
where NV and NI are the vacancy and impurity densities while β reflects the relative charges
of the two species
andnormally takes on values of 1 for AM and 12 for AM . The association reaction is given by
- β=x y , (11.7) (I − V)x−y ⇔ Ix + V y ,
Part A 11.2
where x and y are the relative charges of the impurity and vacancy, respectively. The corresponding mass action relation is then
- NI NV NDim = K A◦ exp −∆HA kT (11.8) where NDim is the concentration of dimers and NI and NV are the corresponding defects remaining outside the complexes. It is straightforward to show that for weak dissociation (low temperatures or high association energies) one obtains the following solutions: 1
2 β=1: NV = NI K A0 exp −∆HA 2kT , β 1 m in diameter so that entire crystals can be used to monitor the human body. Phosphates and Borates Nonlinear optical materials are very important for laser frequency conversion applications. One of the most important of the phosphates is potassium dihydrogen phosphate (KDP), which is used for higher harmonic generation in large laser systems for fusion experiments [12.94, 143]. Growth takes place at room
References
251
temperature to 60 ◦ C, and growth rates can be as high as 10–20 mm/day, with sizes of ≈ 40 cm × 40 cm × 85 cm [12.143] or 45 cm × 45 cm × 70 cm [12.94], the latter quoted as taking over a year to grow! Another important phosphate is potassium titanyl phosphate (KTP), used to obtain green light by frequency doubling a Nd:YAG laser. Growth in this case is from high-temperature solution at about 950 ◦ C [12.94]. Sizes of up to 32 mm × 42 mm × 87 mm (weight 173 g) can be grown in 40 days. Borates, including barium borate, lithium borate, cesium borate and coborates such as cesium lithium borate are used in UV-generation applications. Crystals are again grown by the high-temperature solution method up to 14 cm × 11 cm × 11 cm in size, weighing 1.8 kg, in 3 weeks [12.94].
12.4 Conclusions reader a feel for the scale of some of the activities. The sections on specific materials try to summarize the particular growth techniques employed, and those that cannot in some cases, and outline the typical sizes currently produced in the commercial and R&D sectors. For more details on current developments, the reader should refer to the books given in references [12.42, 60].
References 12.1 12.2 12.3 12.4 12.5 12.6
12.7 12.8 12.9 12.10 12.11
J. C. Brice: Crystal Growth Processes (Blackie, London 1986) H. J. Scheel: J. Cryst. Growth 211, 1 (2000) H. E. Buckley: Crystal Growth (Wiley, New York 1951) J. G. Burke: Origins of the Science of Crystals (Univ. California Press, Berkeley 1966) D. Elwell, H. J. Scheel: Crystal Growth from HighTemperature Solutions (Academic, New York 1975) H. J. Scheel: The Technology of Crystal Growth and Epitaxy, ed. by H. J. Scheel, T. Fukuda (Wiley, Chichester 2003) A. A. Chernov: J. Mater. Sci. Mater. El. 12, 437 (2001) A. V. L. Verneuil: Compt. Rend. (Paris) 135, 791 (1902) W. Nernst: Z. Phys. Chem. 47, 52 (1904) M. Volmer: Z. Phys. Chem. 102, 267 (1927) W. Kossel: Nachr. Gesellsch. Wiss. Göttingen Math.-Phys. Kl, 135 (1927)
12.12 12.13 12.14 12.15 12.16 12.17 12.18 12.19 12.20 12.21 12.22 12.23 12.24 12.25 12.26 12.27
I. N. Stranski: Z. Phys. Chem. 136, 259 (1928) G. Spezia: Acad. Sci. Torino Atti 30, 254 (1905) G. Spezia: Acad. Sci. Torino Atti 44, 95 (1908) J. Czochralski: Z. Phys. Chem. 92, 219 (1918) S. Kyropoulos: Z. Anorg. Chem. 154, 308 (1926) P. W. Bridgman: Proc. Am. Acad. Arts Sci. 58, 165 (1923) P. W. Bridgman: Proc. Am. Acad. Arts Sci. 60, 303 (1925) F. Stöber: Z. Kristallogr. 61, 299 (1925) D. C. Stockbarger: Rev. Sci. Instrum. 7, 133 (1936) H. C. Ramsberger, E. H. Malvin: J. Opt. Soc. Am. 15, 359 (1927) G. K. Teal, J. B. Little: Phys. Rev. 78, 647 (1950) W. C. Dash: J. Appl. Phys. 30, 459 (1959) W. G. Pfann: Trans. AIME 194, 747 (1952) H.C. Theurer: US Patent, 3 060 123 (1952) P. H. Keck, M. J. E. Golay: Phys. Rev. 89, 1297 (1953) F. C. Frank: Discuss. Farad. Soc. 5, 48 (1949)
Part B 12
This chapter has summarized the current status of the bulk growth of crystals for optoelectronic and electronic applications. It is not intended to be a completely comprehensive view of the field, merely serving to introduce the reader to the wide range of materials produced and the numerous crystal growth techniques that have been developed to grow single crystals. An historical perspective has been attempted to give the
252
Part B
Growth and Characterization
12.28 12.29 12.30 12.31 12.32 12.33 12.34 12.35 12.36 12.37 12.38 12.39
12.40 12.41
Part B 12
12.42
12.43 12.44
12.45 12.46 12.47 12.48
12.49 12.50
12.51
12.52 12.53 12.54 12.55 12.56 12.57
W. K. Burton, N. Cabrera, F. C. Frank: Philos. Trans. A 243, 299 (1951) G. P. Ivantsov: Dokl. Akad. Nauk SSSR 81, 179 (1952) G. P. Ivantsov: Dokl. Akad. Nauk SSSR 83, 573 (1953) W. A. Tiller, K. A. Jackson, J. W. Rutter, B. Chalmers: Acta Metall. Mater. 1, 428 (1953) A.E. Carlson: PhD Thesis, Univ. of Utah (1958) H. J. Scheel, D. Elwell: J. Cryst. Growth 12, 153 (1972) J. A. Burton, R. C. Prim, W. P. Slichter: J. Chem. Phys. 21, 1987 (1953) W. van Erk: J. Cryst. Growth 57, 71 (1982) D. Rytz, H. J. Scheel: J. Cryst. Growth 59, 468 (1982) L. Wulff: Z. Krystallogr. (Leipzig) 11, 120 (1886) L. Wulff: Z. Krystallogr. (Leipzig) 100, 51 (1886) F. Krüger, W. Finke: Kristallwachstumsvorrichtung, Deutsches Reichspatent DRP 228 246 (5.11.1910) (1910) A. Johnsen: Wachstum und Auflösung der Kristalle (Wilhelm Engelmann, Leipzig 1910) H. J. Scheel, E. O. Schulz-Dubois: J. Cryst. Growth 8, 304 (1971) P. Capper (Ed.): Bulk Crystal Growth of Electronic, Optical and Optoelectronic Materials (Wiley, Chichester 2005) D. T. J. Hurle: Crystal Pulling from the Melt (Springer, Berlin, Heidelberg 1993) J. B. Mullin: Compound Semiconductor Devices: Structures and Processing, ed. by K. A. Jackson (Wiley, Weinheim 1998) C. J. Jones, P. Capper, J. J. Gosney, I. Kenworthy: J. Cryst. Growth 69, 281 (1984) P. Capper: Prog. Cryst. Growth Ch. 28, 1 (1994) R. Triboulet: Prog. Cryst. Growth Ch. 28, 85 (1994) M. Shiraishi, K. Takano, J. Matsubara, N. Iida, N. Machida, M. Kuramoto, H. Yamagishi: J. Cryst. Growth 229, 17 (2001) K. Hoshikawa, Huang Xinming, T. Taishi: J. Cryst. Growth 275, 276 (2004) L. Jensen: Paper given at 1st International School on Crystal Growth and Technology, Beatenberg, Switzerland (1998) T. Ciszek: The Technology of Crystal Growth and Epitaxy, ed. by H. J. Scheel, T. Fukuda (Wiley, Chichester 2003) J. B. Mullin, D. Gazit, Y. Nemirovsky (Eds.): J. Cryst. Growth 189 (1999) J. B. Mullin, D. Gazit, Y. Nemirovsky (Eds.): J. Cryst. Growth 199 (1999) T. Hibiya, J. B. Mullin, W. Uwaha (Eds.): J. Cryst. Growth 237 (2002) T. Hibiya, J. B. Mullin, W. Uwaha (Eds.): J. Cryst. Growth 239 (2002) K. Nakajima, P. Capper, S. D. Durbin, S. Hiyamizu (Eds.): J. Cryst. Growth 229 (2001) T. Duffar, M. Heuken, J. Villain (Eds.): J. Cryst. Growth 275 (2005)
12.58
12.59
12.60 12.61
12.62
12.63 12.64
12.65 12.66
12.67
12.68
12.69
12.70
12.71
12.72
12.73
12.74 12.75
P. Capper (Ed.): Narrow-Gap II–VI Compounds for Optoelectronic and Electromagnetic Applications (Chapman Hall, London 1997) P. Capper, C. T. Elliott (Eds.): Infrared Detectors and Emitters: Materials and Devices (Kluwer, Boston 2001) H. J. Scheel, T. Fukuda (Eds.): The Technology of Crystal Growth and Epitaxy (Wiley, Chichester 2003) S. Nishino: Properties of Silicon Carbide, EMIS Datarev. Ser. 13, ed. by G. L. Harris (IEE, London 1995) A. O. Konstantinov: Properties of Silicon Carbide, EMIS Datarev. Ser. 13, ed. by G. L. Harris (IEE, London 1995) N. Nordell: Process Technology for Silicon Carbide Devices, ed. by C. M. Zetterling (IEE, London 2002) H. Kanda, T. Sekine: Properties, Growth and Applications of Diamond, EMIS Datarev. Ser. 26, ed. by M. H. Nazare, A. J. Neves (IEE, London 2001) W. G. Pfann: Zone Melting, 2nd edn. (Wiley, New York 1966) P. Rudolph: The Technology of Crystal Growth and Epitaxy, ed. by H. J. Scheel, T. Fukuda (Wiley, Chichester 2003) T. Asahi, K. Kainosho, K. Kohiro, A. Noda, K. Sato, O. Oda: The Technology of Crystal Growth and Epitaxy, ed. by H. J. Scheel, T. Fukuda (Wiley, Chichester 2003) W. F. J. Micklethwaite, A. J. Johnson: Infrared Detectors and Emitters: Materials and Devices, ed. by P. Capper, C. T. Elliott (Kluwer, Boston 2001) I. Grzegory, S. Porowski: Properties, Processing and Applications of Gallium Nitride and Related Semiconductors, EMIS Datarev. Ser. 23, ed. by J. H. Edgar, S. Strite, I. Akasaki, H. Amano, C. Wetzel (IEE, London 1999) I. Grzegory, S. Krukowski, M. Leszczynski, P. Perlin, T. Suski, S. Porowski: Nitride Semiconductors: Handbook on Materials and Devices, ed. by P. Ruterana, M. Albrecht, J. Neugebauer (Wiley, Weinheim 2003) K. Nishino, S. Sakai: Properties, Processing and Applications of Gallium Nitride and Related Semiconductors, EMIS Datarev. Ser. 23, ed. by J. H. Edgar, S. Strite, I. Akasaki, H. Amano, C. Wetzel (IEE, London 1999) P. Rudolph: Recent Developments of Bulk Crystal Growth 1998, ed. by M. Isshiki (Research Signpost, Trivandrum, India 1998) p. 127 H. Hartmann, K. Bottcher, D. Siche: Recent Developments of Bulk Crystal Growth 1998, ed. by M. Isshiki (Research Signpost, Trivandrum, India 1998) p. 165 B. J. Fitzpatrick, P. M. Harnack, S. Cherin: Philips J. Res. 41, 452 (1986) P. Capper, J. E. Harris, D. Nicholson, D. Cole: J. Cryst. Growth 46, 575 (1979)
Bulk Crystal Growth – Methods and Materials
12.76 12.77 12.78 12.79 12.80 12.81 12.82 12.83 12.84 12.85
12.88
12.89 12.90 12.91 12.92 12.93 12.94
12.95
12.96 12.97 12.98 12.99 12.100 12.101 12.102 12.103
12.104 J. F. Butler, F. P. Doty, B. Apotovsky: Mater. Sci. Eng. B 16, 291 (1993) 12.105 P. Capper, J. E. Harris, E. O’Keefe, C. L. Jones, C. K. Ard, P. Mackett, D. T. Dutton: Mater. Sci. Eng. B 16, 29 (1993) 12.106 C. Szeles, S. E. Cameron, S. A. Soldner, J.-O. Ndap, M. D. Reed: J. Electron. Mater. 33/6, 742 (2004) 12.107 A. El Mokri, R. Triboulet, A. Lusson: J. Cryst. Growth 138, 168 (1995) 12.108 R. U. Bloedner, M. Presia, P. Gille: Adv. Mater. Opt. Electron. 3, 233 (1994) 12.109 R. Schoenholz, R. Dian, R. Nitsche: J. Cryst. Growth 72, 72 (1985) 12.110 W. F. H. Micklethwaite: Semicond. Semimet. 18, 3 (1981) 12.111 P. W. Kruse: Semicond. Semimet. 18, 1 (1981) 12.112 H. Maier: N.A.T.O. Advanced Research Workshop on the Future of Small-Gap II-VI Semiconductors (Liege, Belgium 1988) 12.113 P. Capper: Prog. Cryst. Growth Ch. 19, 259 (1989) 12.114 F. R. Szofran, S. L. Lehoczky: J. Cryst. Growth 70, 349 (1984) 12.115 P. Capper, J. J. G. Gosney: U.K. Patent 8115911 (1981) 12.116 P. Capper, C. Maxey, C. Butler, M. Grist, J. Price: J. Mater. Sci. Mater. El. 15, 721 (2004) 12.117 Y. Nguyen Duy, A. Durand, J. L. Lyot: Mater. Res. Soc. Symp. Proc. 90, 81 (1987) 12.118 A. Durand, J. L. Dessus, T. Nguyen Duy, J. F. Barbot: Proc. SPIE 659, 131 (1986) 12.119 P. Gille, F. M. Kiessling, M. Burkert: J. Cryst. Growth 114, 77 (1991) 12.120 P. Gille, M. Pesia, R. U. Bloedner, N. Puhlman: J. Cryst. Growth 130, 188 (1993) 12.121 M. Royer, B.R. Jean, A.R. Durand, R. Triboulet: French Patent 8804370 (1988) 12.122 R. U. Bloedner, P. Gille: J. Cryst. Growth 130, 181 (1993) 12.123 A. Rogalski: New Ternary Alloy Systems for Infrared Detectors (SPIE, Bellingham 1994) 12.124 R. Triboulet: Semicond. Sci. Technol. 5, 1073 (1990) 12.125 R. Korenstein, R. J. Olson Jr., D. Lee: J. Electron. Mater. 24, 511 (1995) 12.126 B. Pelliciari, F. Dierre, D. Brellier, B. Schaub: J. Cryst. Growth 275, 99 (2005) 12.127 A. Pajaczkowska: Prog. Cryst. Growth Ch. 1, 289 (1978) 12.128 W. Giriat, J. K. Furdyna: Semicond. Semimet. 25, 1 (1988) 12.129 M. C. C. Custodio, A. C. Hernandes: J. Cryst. Growth 205, 523 (1999) 12.130 T. Fukuda, V. I. Chani, K. Shimamura: Recent Developments of Bulk Crystal Growth 1998, ed. by M. Isshiki (Research Signpost, Trivandrum, India 1998) p. 191 12.131 T. Fukuda, V. I. Chani, K. Shimamura: The Technology of Crystal Growth and Epitaxy, ed. by H. J. Scheel, T. Fukuda (Wiley, Chichester 2003)
253
Part B 12
12.86 12.87
R. Triboulet, T. Nguyen Duy, A. Durand: J. Vac. Sci. Technol. A 3, 95 (1985) R. Triboulet, Y. Marfaing: J. Cryst. Growth 51, 89 (1981) R. Triboulet, K. Pham Van, G. Didier: J. Cryst. Growt 101, 216 (1990) V. A. Kuznetsov: Prog. Cryst. Growth Ch. 21, 163 (1990) J. Mimila, R. Triboulet: Mater. Lett. 24, 221 (1995) W. W. Piper, S. J. Polich: J. Appl. Phys. 32, 1278 (1961) G. J. Russell, J. Woods: J. Cryst. Growth 46, 323 (1979) P. Blanconnier, P. Henoc: J. Cryst Growth 17, 218 (1972) K. Durose, A. Turnbull, P. D. Brown: Mater. Sci. Eng. B 16, 96 (1993) M. R. Lorenz: Physics and Chemistry of II-VI Compounds, ed. by M. Aven, J. S. Prener (NorthHolland, Amsterdam 1967) Chap. 2 K. Zanio: Semicond. Semimet. 13 (1978) P. Capper, A. Brinkman: Properties of Narrow Gap Cadmium-Based Compounds, EMIS Datarev. Ser. 10, ed. by P. Capper (IEE, London 1994) p. 369 A. W. Brinkman: Narrow-Gap II–VI Compounds for Optoelectronic and Electromagnetic Applications, ed. by P. Capper (Chapman & Hall, London 1997) R. Triboulet, J. O. Ndap A. El Mokri et al.: J. Phys. IV 5, C3–141 (1995) R. Triboulet, A. Tromson-Carli, D. Lorans, T. Nguyen Duy: J. Electron. Mater. 22, 827 (1993) J. B. Mullin, C. A. Jones, B. W. Straughan, A. Royle: J. Cryst. Growth 59, 135 (1982) H. M. Hobgood, B. W. Swanson, R. N. Thomas: J. Cryst. Growth 85, 510 (1987) R. Triboulet, Y. Marfaing: J. Electrochem. Soc. 120, 1260 (1973) T. Sasaki, Y. Mori, M. Yoshimura: The Technology of Crystal Growth and Epitaxy, ed. by H. J. Scheel, T. Fukuda (Wiley, Chichester 2003) R. Hirano, H. Kurita: Bulk Crystal Growth of Electronic, Optical and Optoelectronic Materials, ed. by P. Capper (Wiley, Chichester 2005) K. Zanio: J. Electron. Mater. 3, 327 (1974) C. P. Khattak, F. Schmid: Proc. SPIE 1106, 47 (1989) W. M. Chang, W. R. Wilcox, L. Regel: Mater. Sci. Eng. B 16, 23 (1993) N. R. Kyle: J. Electrochem. Soc. 118, 1790 (1971) J. C. Tranchart, B. Latorre, C. Foucher, Y. LeGouce: J. Cryst. Growth 72, 468 (1985) Y.-C. Lu, J.-J. Shiau, R. S. Fiegelson, R. K. Route: J. Cryst. Growth 102, 807 (1990) J. P. Tower, S. B. Tobin, M. Kestigian: J. Electron. Mater. 24, 497 (1995) S. Sen, S. M. Johnson, J. A. Kiele: Mater. Res. Soc. Symp. Proc. 161, 3 (1990)
References
254
Part B
Growth and Characterization
12.132 S. Uda, S. Q. Wang, N. Konishi, H. Inaba, J. Harada: J. Cryst. Growth 237/239, 707 (2002) 12.133 F. Iwasaki, H. Iwasaki: J. Cryst. Growth 237/239, 820 (2002) 12.134 V. S. Balitsky: Paper given at 1st International School on Crystal Growth and Technology, Beatenberg, Switzerland (1998) 12.135 M. Korzhik: Paper given at 1st International School on Crystal Growth and Technology, Beatenberg, Switzerland (1998) 12.136 P. J. Li, Z. W Yin, D. S. Yan: Paper given at 1st International School on Crystal Growth and Technology, Beatenberg, Switzerland (1998) 12.137 Kh. S. Bagdasarov, E. V. Zharikov: Paper given at 1st International School on Crystal Growth and Technology, Beatenberg, Switzerland (1998)
12.138 L. Lytvynov: Paper given at the 2nd International School on Crystal Growth and Technology, Zao, Japan (2000) 12.139 M. I. Moussatov, E. V. Zharikov: Paper given at 1st International School on Crystal Growth and Technology, Beatenberg, Switzerland (1998) 12.140 F. Schmid, Ch. P. Khattak: Paper given at 1st International School on Crystal Growth and Technology, Beatenberg, Switzerland (1998) 12.141 A. V. Gektin, B. G. Zaslavsky: Paper given at 1st International School on Crystal Growth and Technology, Beatenberg, Switzerland (1998) 12.142 A. V. Gektin: Paper given at the 2nd International School on Crystal Growth and Technology, Zao, Japan (2000) 12.143 N. Zaitseva, L. Carman, I. Smolsky: J. Cryst. Growth 241, 363 (2002)
Part B 12
255
Single-Crystal
13. Single-Crystal Silicon: Growth and Properties
It is clear that silicon, which has been the dominant material in the semiconductor industry for some time, will carry us into the coming ultra-large-scale integration (ULSI) and systemon-a-chip (SOC) eras, even though silicon is not the optimum choice for every electronic device. Semiconductor devices and circuits are fabricated through many mechanical, chemical, physical, and thermal processes. The preparation of silicon single-crystal substrates with mechanically and chemically polished surfaces is the first step in the long and complex device fabrication process. In this chapter, the approaches currently used to prepare silicon materials (from raw materials to single-crystalline silicon) are discussed.
Overview............................................. 256
13.2
Starting Materials ................................ 257 13.2.1 Metallurgical-Grade Silicon ........ 257 13.2.2 Polycrystalline Silicon ................ 257
13.3
Single-Crystal Growth .......................... 13.3.1 Floating-Zone Method ............... 13.3.2 Czochralski Method ................... 13.3.3 Impurities in Czochralski Silicon ..
258 259 261 264
13.4
New Crystal Growth Methods ................ 13.4.1 Czochralski Growth with an Applied Magnetic Field (MCZ) ...... 13.4.2 Continuous Czochralski Method (CCZ) ............ 13.4.3 Neckingless Growth Method .......
266 266 267 267
References .................................................. 268
dates surface passivation by forming silicon dioxide (SiO2 ), which provides a high degree of protection to the underlying device. This stable SiO2 layer results in a decisive advantage for silicon over germanium as the basic semiconductor material used for electronic device fabrication. This advantage has lead to a number of new technologies, including processes for diffusion doping and defining intricate patterns. Other advantages of silicon are that it is completely nontoxic, and that silica (SiO2 ), the raw material from which silicon is obtained, comprises approximately 60% of the mineral content of the Earth’s crust. This implies that the raw material from which silicon is obtained is available in plentiful supply to the IC industry. Moreover, electronic-grade silicon can be obtained at less than one-tenth the cost of germanium. All of these advantages have caused silicon to almost completely replace germanium in the semiconductor industry. Although silicon is not the optimum choice for every electronic device, its advantages mean that it will almost certainly dominate the semiconductor industry for some time yet.
Part B 13
Silicon, which has been and will continue to be the dominant material in the semiconductor industry for some time to come [13.1], will carry us into the ultra-largescale integration (ULSI) era and the system-on-a-chip (SOC) era. As electronic devices have become more advanced, device performance has become more sensitive to the quality and the properties of the materials used to construct them. Germanium (Ge) was originally utilized as a semiconductor material for solid state electronic devices. However, the narrow bandgap (0.66 eV) of Ge limits the operation of germanium-based devices to temperatures of approximately 90 ◦ C because of the considerable leakage currents observed at higher temperatures. The wider bandgap of silicon (1.12 eV), on the other hand, results in electronic devices that are capable of operating at up to ≈ 200 ◦ C. However, there is a more serious problem than the narrow bandgap: germanium does not readily provide a stable passivation layer on the surface. For example, germanium dioxide (GeO2 ) is water-soluble and dissociates at approximately 800 ◦ C. Silicon, in contrast to germanium, readily accommo-
13.1
Single-Crystal Silicon: Growth and Properties
13.2 Starting Materials
257
13.2 Starting Materials 13.2.1 Metallurgical-Grade Silicon The starting material for high-purity silicon single crystals is silica (SiO2 ). The first step in silicon manufacture is the melting and reduction of silica. This is accomplished by mixing silica and carbon in the form of coal, coke or wood chips and heating the mixture to high temperatures in a submerged electrode arc furnace. This carbothermic reduction of silica produces fused silicon: SiO2 + 2C → Si + 2CO .
(13.1)
A complex series of reactions actually occur in the furnace at temperatures ranging from 1500 to 2000 ◦ C. The lumps of silicon obtained from this process are called metallurgical-grade silicon (MG-Si), and its purity is about 98–99%.
13.2.2 Polycrystalline Silicon
Si + 3HCl → SiHCl3 + H2 .
(13.2)
The reaction is highly exothermic and so heat must be removed to maximize the yield of trichlorosilane. While converting MG-Si into SiHCl3 , various impurities such as Fe, Al, and B are removed by converting them into their halides (FeCl3 , AlCl3 , and BCl3 , respectively), and byproducts such as SiCl4 and H2 are also produced. Distillation and Decomposition of Trichlorosilane Distillation has been widely used to purify trichlorosilane. The trichlorosilane, which has a low boiling point (31.8 ◦ C), is fractionally distilled from the impure halides, resulting in greatly increased purity, with an electrically active impurity concentration of less than 1 ppba. The high-purity trichlorosilane is then vaporized, diluted with high-purity hydrogen, and introduced into the deposition reactor. In the reactor, thin silicon rods called slim rods supported by graphite electrodes are available for surface deposition of silicon according to the reaction
SiHCl3 + H2 → Si + 3HCl .
(13.3)
In addition this reaction, the following reaction also occurs during polysilicon deposition, resulting in the formation of silicon tetrachloride (the major byproduct of the process): HCl + SiHCl3 → SiCl4 + H2 .
(13.4)
This silicon tetrachloride is used to produce high-purity quartz, for example. Needless to say, the purity of the slim rods must be comparable to that of the deposited silicon. The slim rods are preheated to approximately 400 ◦ C at the start of the silicon CVD process. This preheating is required in order to increase the conductivity of high-purity (highresistance) slim rods sufficiently to allow for resistive heating. Depositing for 200–300 h at around 1100 ◦ C results in high-purity polysilicon rods of 150–200 mm in diameter. The polysilicon rods are shaped into various forms for subsequent crystal growth processes, such as chunks for Czochralski melt growth and long cylindrical rods for float-zone growth. The process for reducing
Part B 13.2
Intermediate Chemical Compounds The next step is to purify MG-Si to the level of semiconductor-grade silicon (SG-Si), which is used as the starting material for single-crystalline silicon. The basic concept is that powdered MG-Si is reacted with anhydrous HCl to form various chlorosilane compounds in a fluidized-bed reactor. Then the silanes are purified by distillation and chemical vapor deposition (CVD) to form SG-polysilicon. A number of intermediate chemical compounds have been considered, such as monosilane (SiH4 ), silicon tetrachloride (SiCl4 ), trichlorosilane (SiHCl3 ) and dichlorosilane (SiH2 Cl2 ). Among these, trichlorosilane is most commonly used for subsequent polysilicon deposition for the following reasons: (1) it can be easily formed by the reaction of anhydrous hydrogen chloride with MG-Si at reasonably low temperatures (200–400 ◦ C); (2) it is liquid at room temperature, so purification can be accomplished using standard distillation techniques; (3) it is easy to handle and can be stored in carbon steel tanks when dry; (4) liquid trichlorosilane is easily vaporized and, when mixed with hydrogen, it can be transported in steel lines; (5) it can be reduced at atmospheric pressure in the presence of hydrogen; (6) its deposition can take place on heated silicon, eliminating the need for contact with any foreign surfaces that may contaminate the resulting silicon; and (7) it reacts at lower temperatures (1000–1200 ◦ C) and at faster rates than silicon tetrachloride.
Hydrochlorination of Silicon Trichlorosilane is synthesized by heating powdered MGSi at around 300 ◦ C in a fluidized-bed reactor. That is, MG-Si is converted into SiHCl3 according to the following reaction:
258
Part B
Growth and Characterization
trichlorosilane on a heated silicon rod using hydrogen was described in the late 1950s and early 1960s in a number of process patents assigned to Siemens; therefore, this process is often called the “Siemens method” [13.4]. The major disadvantages of the Siemens method are its poor silicon and chlorine conversion efficiencies, relatively small batch size, and high power consumption. The poor conversion efficiencies of silicon and chlorine are associated with the large volume of silicon tetrachloride produced as the byproduct in the CVD process. Only about 30% of the silicon provided in the CVD reaction is converted into high-purity polysilicon. Also, the cost of producing high-purity polysilicon may depend on the usefulness of the byproduct, SiCl4 .
Part B 13.3
Monosilane Process A polysilicon production technology based on the production and pyrolysis of monosilane was established in the late 1960s. Monosilane potentially saves energy because it deposits polysilicon at a lower temperature and produces purer polysilicon than the trichlorosilane process; however, it has hardly been used due to the lack of an economical route to monosilane and due to processing problems in the deposition step [13.5]. However, with the recent development of economical routes to highpurity silane and the successful operation of a large-scale plant, this technology has attracted the attention of the semiconductor industry, which requires higher purity silicon. In current industrial monosilane processes, magnesium and MG-Si powder are heated to 500 ◦ C under a hydrogen atmosphere in order to synthesize magenesium silicide (Mg2 Si), which is then made to react with ammonium chloride (NH4 Cl) in liquid ammonia (NH3 ) below 0 ◦ C to form monosilane (SiH4 ). Highpurity polysilicon is then produced via the pyrolysis of the monosilane on resistively heated polysilicon filaments at 700–800 ◦ C. In the monosilane generation process, most of the boron impurities are removed from silane via chemical reaction with NH3 . A boron content of 0.01–0.02 ppba in polysilicon has been achieved using a monosilane process. This concentration is very low compared to that observed in polysilicon prepared from trichlorosilane. Moreover, the
resulting polysilicon is less contaminated with metals picked up through chemical transport processes because monosilane decomposition does not cause any corrosion problems. Granular Polysilicon Deposition A significantly different process, which uses the decomposition of monosilane in a fluidized-bed deposition reactor to produce free-flowing granular polysilicon, has been developed [13.5]. Tiny silicon seed particles are fluidized in a monosilane/hydrogen mix, and polysilicon is deposited to form free-flowing spherical particles that are an average of 700 µm in diameter with a size distribution of 100 to 1500 µm. The fluidized-bed seeds were originally made by grinding SG-Si in a ball or hammer mill and leaching the product with acid, hydrogen peroxide and water. This process was time-consuming and costly, and tended to introduce undesirable impurities into the system through the metal grinders. However, in a new method, large SG-Si particles are fired at each other by a high-speed stream of gas causing them to break into particles of a suitable size for the fluidized bed. This process introduces no foreign materials and requires no leaching. Because of the greater surface area of granular polysilicon, fluidized-bed reactors are much more efficient than traditional Siemens-type rod reactors. The quality of fluidized-bed polysilicon has been shown to be equivalent to polysilicon produced by the more conventional Siemens method. Moreover, granular polysilicon of a free-flowing form and high bulk density enables crystal growers to obtain the most from of each production run. That is, in the Czochralski crystal growth process (see the following section), crucibles can be quickly and easily filled to uniform loadings which typically exceed those of randomly stacked polysilicon chunks produced by the Siemens method. If we also consider the potential of the technique to move from batch operation to continuous pulling (discussed later), we can see that free-flowing polysilicon granules could provide the advantageous route of a uniform feed into a steady-state melt. This product appears to be a revolutionary starting material of great promise for silicon crystal growth.
13.3 Single-Crystal Growth Although various techniques have been utilized to convert polysilicon into single crystals of silicon, two techniques have dominated the production of them for
electronics because they meet the requirements of the microelectronics device industry. One is a zone-melting method commonly called the floating-zone (FZ) method,
Single-Crystal Silicon: Growth and Properties
tron transmutation doping (NTD) has been applied to FZ silicon crystals [13.10]. This procedure involves the nuclear transmutation of silicon to phosphorus by bombarding the crystal with thermal neutrons according to the reaction Si(n, γ ) →
31
in excess of 750–1000 V. The high-purity crystal growth and the precision doping characteristics of NTD FZ-Si have also led to its use in infrared detectors [13.12], for example. However, if we consider mechanical strength, it has been recognized for many years that FZ silicon, which contains fewer oxygen impurities than CZ silicon, is mechanically weaker and more vulnerable to thermal stress during device fabrication [13.13, 14]. High-temperature processing of silicon wafers during electronic device manufacturing often produces enough thermal stress to generate slip dislocations and warpage. These effects bring about yield loss due to leaky junctions, dielectric defects, and reduced lifetime, as well as reduced photolithographic yields due to the degradation of wafer flatness. Loss of geometrical planarity due to warpage can be so severe that the wafers are not processed any further. Because of this, CZ silicon wafers have been used much more widely in IC device fabrication than FZ wafers have. This difference in mechanical stability against thermal stresses is the dominant reason why CZ silicon crystals are exclusively used for the fabrication of ICs that require a large number of thermal process steps. In order to overcome these shortcomings of FZ silicon, the growth of FZ silicon crystals with doping impurities such as oxygen [13.15] and nitrogen [13.16] has been attempted. It was found that doping FZ silicon crystals with oxygen or nitrogen at concentrations of 1–1.5 × 1017 atoms/cm3 or 1.5 × 1015 atoms/cm3 , respectively, results in a remarkable increase in mechanical strength.
13.3.2 Czochralski Method Properties of FZ-Silicon Crystal During FZ crystal growth, the molten silicon does not come into contact with any substance other than the ambient gas in the growth chamber. Therefore, an FZ silicon crystal is inherently distinguished by its higher purity compared to a CZ crystal which is grown from the melt – involving contact with a quartz crucible. This contact gives rise to high oxygen impurity concentrations of around 1018 atoms/cm3 in CZ crystals, while FZ silicon contains less than 1016 atoms/cm3 . This higher purity allows FZ silicon to achieve high resistivities not obtainable using CZ silicon. Most of the FZ silicon consumed has a resistivity of between 10 and 200 Ω cm, while CZ silicon is usually prepared to resistivities of 50 Ω cm or less due to the contamination from the quartz crucible. FZ silicon is therefore mainly used to fabricate semiconductor power devices that support reverse voltages
General Remarks This method was named after J. Czochralski, who established a technique for determining the crystallization velocities of metals [13.17]. However, the actual pulling method that has been widely applied to singlecrystal growth was developed by Teal and Little [13.18], who modified Czochralski’s basic principle. They were the first to successfully grow single-crystals of germanium, 8 inches in length and 0.75 inches in diameter, in 1950. They subsequently designed another apparatus for the growth of silicon at higher temperatures. Although the basic production process for single-crystal silicon has changed little since it was pioneered by Teal and coworkers, large-diameter (up to 400 mm) silicon single-crystals with a high degree of perfection that meet state-of-the-art device demands have been grown
261
Part B 13.3
h 31 Si 2.6 P+β . (13.5) −→ 31 30 The radioactive isotope Si is formed when Si captures a neutron and then decays into the stable isotope 31 P (donor atoms), whose distribution is not dependent on crystal growth parameters. Immediately after irradiation the crystals exhibit high resistivity, which is attributed to the large number of lattice defects arising from radiation damage. The irradiated crystal, therefore, must be annealed in an inert ambient at temperatures of around 700 ◦ C in order to annihilate the defects and to restore the resistivity to that derived from the phosphorus doping. Under the NTD scheme, crystals are grown without doping and are then irradiated in a nuclear reactor with a large ratio of thermal to fast neutrons in order to enhance neutron capture and to minimize damage to the crystal lattice. The application of NTD has been almost exclusively limited to FZ crystals because of their higher purity compared to CZ crystals. When the NTD technique was applied to CZ silicon crystals, it was found that oxygen donor formation during the annealing process after irradiation changed the resistivity from that expected, even though phosphorus donor homogeneity was achieved [13.11]. NTD has the additional shortcoming that no process is available for p-type dopants and that an excessively long period of irradiation is required for low resistivities (in the range of 1–10 Ω cm). 30
13.3 Single-Crystal Growth
264
Part B
Growth and Characterization
crystallized or solidified successively at the crystal–melt interface, which is generally curved in the CZ crystal growth process. Such inhomogeneities can be observed as striations, which are discussed later.
13.3.3 Impurities in Czochralski Silicon
Part B 13.3
The properties of the silicon semiconductors used in electronic devices are very sensitive to impurities. Because of this sensitivity, the electrical/electronic properties of silicon can be precisely controlled by adding a small amount of dopant. In addition to this dopant sensitivity, contamination by impurities (particularly transition metals) negatively affects the properties of silicon and results in the serious degradation of device performance. Moreover, oxygen is incorporated at levels of tens of atoms per million into CZ silicon crystals due to the reaction between the silicon melt and the quartz crucible. Regardless of how much oxygen is in the crystal, the characteristics of silicon crystals are greatly affected by the concentration and the behavior of oxygen [13.21]. In addition, carbon is also incorporated into CZ silicon crystals either from polysilicon raw materials or during the growth process, due to the graphite parts used in the CZ pulling equipment. Although the concentration of carbon in commercial CZ silicon crystals is normally less than 0.1 ppma, carbon is an impurity that greatly affects the behavior of oxygen [13.22, 23]. Also, nitrogen-doped CZ silicon crystals [13.24, 25] have recently attracted much attention due to their high microscopic crystal quality, which may meet the requirements for state-of-the-art electronic devices [13.26, 27]. Impurity Inhomogeneity During crystallization from a melt, various impurities (including dopants) contained in the melt are incorporated into the growing crystal. The impurity concentration of the solid phase generally differs from that of the liquid phase due to a phenomenon known as segregation. Segregation. The equilibrium segregation behavior
associated with the solidification of multicomponent systems can be determined from the corresponding phase diagram of a binary system with a solute (the impurity) and a solvent (the host material) as components. The ratio of the solubility of impurity A in solid silicon [CA ]s to that in liquid silicon [CA ]L k0 = [CA ]s /[CA ]L
(13.6)
is referred to as the equilibrium segregation coefficient. The impurity solubility in liquid silicon is always higher than that in solid silicon; that is, k0 < 1. The equilibrium segregation coefficient k0 is only applicable to solidification at negligibly slow growth rates. For finite or higher solidification rates, impurity atoms with k0 < 1 are rejected by the advancing solid at a greater rate than they can diffuse into the melt. In the CZ crystal growth process, segregation takes place at the start of solidification at a given seed–melt interface, and the rejected impurity atoms begin to accumulate in the melt layer near the growth interface and diffuse in the direction of the bulk of the melt. In this situation, an effective segregation coefficient keff can be defined at any moment during CZ crystal growth, and the impurity concentration [C]s in a CZ crystal can be derived by [C]s = keff [C0 ](1 − g)keff −1 ,
(13.7)
where [C0 ] is the initial impurity concentration in the melt and g is the fraction solidified. Consequently, it is clear that a macroscopic longitudinal variation in the impurity level, which causes a variation in resistivity due to the variation in the dopant concentration, is inherent to the CZ batch growth process; this is due to the segregation phenomenon. Moreover, the longitudinal distribution of impurities is influenced by changes in the magnitude and the nature of melt convection that occur as the melt aspect ratio is decreased during crystal growth. Striations. In most crystal growth processes, there are
transients in the parameters such as instantaneous microscopic growth rate and the diffusion boundary layer thickness which result in variations in the effective segregation coefficient keff . These variations give rise to microscopic compositional inhomogeneities in the form of striations parallel to the crystal–melt interface. Striations can be easily delineated with several techniques, such as preferential chemical etching and X-ray topography. Figure 13.10 shows the striations revealed by chemical etching in the shoulder part of a longitudinal cross-section of a CZ silicon crystal. The gradual change in the shape of the growth interface is also clearly observed. Striations are physically caused by the segregation of impurities and also point defects; however, the striations are practically caused by temperature fluctuations near the crystal–melt interface, induced by unstable thermal convection in the melt and crystal rotation in an asymmetric thermal environment. In addition, mechanical vibrations due to poor pulling control mechanisms
Single-Crystal Silicon: Growth and Properties
13.10 13.11
13.12
13.13 13.14 13.15 13.16
13.17 13.18 13.19 13.20 13.21 13.22 13.23 13.24
13.26
13.27 13.28 13.29 13.30
13.31
13.32
13.33
13.34 13.35
13.36 13.37
13.38 13.39
(The Electrochemical Society, Pennington 2002) p. 280 G. A. Rozgonyi: Semiconductor Silicon (The Electrochemical Society, Pennington 2002) p. 149 H. P. Utech, M. C. Flemings: J. Appl. Phys. 37, 2021 (1966) H. A. Chedzey, D. T. Hurtle: Nature 210, 933 (1966) K. Hoshi, T. Suzuki, Y. Okubo, N. Isawa: Ext. Abstr. Electrochem. Soc. 157th Meeting (The Electrochemical Society, Pennington 1980) p. 811 M. Ohwa, T. Higuchi, E. Toji, M. Watanabe, K. Homma, S. Takasu: Semiconductor Silicon (The Electrochemical Society, Pennington 1986) p. 117 M. Futagami, K. Hoshi, N. Isawa, T. Suzuki, Y. Okubo, Y. Kato, Y. Okamoto: Semiconductor Silicon (The Electrochemical Society, Pennington 1986) p. 939 T. Suzuki, N. Isawa, K. Hoshi, Y. Kato, Y. Okubo: Semiconductor Silicon (The Electrochemical Society, Pennington 1986) p. 142 W. Zulehner: Semiconductor Silicon (The Electrochemical Society, Pennington 1990) p. 30 Y. Arai, M. Kida, N. Ono, K. Abe, N. Machida, H. Futuya, K. Sahira: Semiconductor Silicon (The Electrochemical Society, Pennington 1994) p. 180 F. Shimura: VLSI Science and Technology (The Electrochemical Society, Pennington 1982) p. 17 S. Chandrasekhar, K. M. Kim: Semiconductor Silicon (The Electrochemical Society, Pennington 1998) p. 411 K. Hoshikawa, X. Huang, T. Taishi, T. Kajigaya, T. Iino: Jpn. J. Appl. Phys 38, L1369 (1999) K. M. Kim, P. Smetana: J. Cryst. Growth 100, 527 (1989)
269
Part B 13
13.25
J. M. Meese: Neutron Transmutation Doping in Semiconductors (Plenum, New York 1979) H. M. Liaw, C. J. Varker: Semiconductor Silicon (The Electrochemical Society, Pennington 1977) p. 116 E. L. Kern, L. S. Yaggy, J. A. Barker: Semiconductor Silicon (The Electrochemical Society, Pennington 1977) p. 52 S. M. Hu: Appl. Phys. Lett 31, 53 (1977) K. Sumino, H. Harada, I. Yonenaga: Jpn. J. Appl. Phys. 19, L49 (1980) K. Sumino, I. Yonenaga, A. Yusa: Jpn. J. Appl. Phys. 19, L763 (1980) T. Abe, K. Kikuchi, S. Shirai: Semiconductor Silicon (The Electrochemical Society, Pennington 1981) p. 54 J. Czochralski: Z. Phys. Chem 92, 219 (1918) G. K. Teal, J. B. Little: Phys. Rev. 78, 647 (1950) W. Zulehner, D. Hibber: Crystals 8: Silicon, Chemical Etching (Springer, Berlin, Heidelberg 1982) p. 1 H. Tsuya, F. Shimura, K. Ogawa, T. Kawamura: J. Electrochem. Soc. 129, 374 (1982) F. Shimura: Oxygen In Silicon (Academic, New York 1994) pp. 106, 371 S. Kishino, Y. Matsushita, M. Kanamori: Appl. Phys. Lett 35, 213 (1979) F. Shimura: J. Appl. Phys 59, 3251 (1986) H. D. Chiou, J. Moody, R. Sandfort, F. Shimura: VLSI Science and Technology (The Electrochemical Society, Pennington 1984) p. 208 F. Shimura, R. S. Hocket: Appl. Phys. Lett 48, 224 (1986) A. Huber, M. Kapser, J. Grabmeier, U. Lambert, W. v. Ammon, R. Pech: Semiconductor Silicon
References
271
Epitaxial Cryst
14. Epitaxial Crystal Growth: Methods and Materials
This chapter outlines the three major epitaxial growth processes used to produce layers of material for electronic, optical and optoelectronic applications. These are liquid-phase epitaxy (LPE), metalorganic chemical vapor deposition (MOCVD) and molecular beam epi-
14.1
Liquid-Phase Epitaxy (LPE) ................... 14.1.1 Introduction and Background ..... 14.1.2 History and Status ..................... 14.1.3 Characteristics .......................... 14.1.4 Apparatus and Techniques ......... 14.1.5 Group IV................................... 14.1.6 Group III–V............................... 14.1.7 Group II–VI............................... 14.1.8 Atomically Flat Surfaces ............. 14.1.9 Conclusions ..............................
271 271 272 272 273 275 276 278 280 280
14.2
Metalorganic Chemical Vapor Deposition (MOCVD) .............................................. 14.2.1 Introduction and Background ..... 14.2.2 Basic Reaction Kinetics .............. 14.2.3 Precursors ................................ 14.2.4 Reactor Cells ............................. 14.2.5 III–V MOCVD .............................. 14.2.6 II–VI MOCVD .............................. 14.2.7 Conclusions ..............................
280 280 281 283 284 286 288 290
Molecular Beam Epitaxy (MBE) .............. 14.3.1 Introduction and Background ..... 14.3.2 Reaction Mechanisms ................ 14.3.3 MBE Growth Systems.................. 14.3.4 Gas Sources in MBE.................... 14.3.5 Growth of III–V Materials by MBE 14.3.6 Conclusions ..............................
290 290 291 293 295 296 299
14.3
References .................................................. 299
taxy (MBE). We will also consider their main variants. All three techniques have advantages and disadvantages when applied to particular systems, and these will be highlighted where appropriate in the following sections.
14.1 Liquid-Phase Epitaxy (LPE) 14.1.1 Introduction and Background Liquid-phase epitaxy (LPE) is a mature technology and has unique features that mean that it is still applicable for use in niche applications within certain device technolo-
gies. It has given way in many areas, however, to various vapor-phase epitaxy techniques, such as metalorganic vapor phase, molecular beam and atomic layer epitaxies (MOVPE, MBE, ALE), see Sects. 14.2 and 14.3. When selecting an epitaxial growth technology for a par-
Part B 14
The epitaxial growth of thin films of material for a wide range of applications in electronics and optoelectronics is a critical activity in many industries. The original growth technique used, in most instances, was liquid-phase epitaxy (LPE), as this was the simplest and often the cheapest route to producing device-quality layers. These days, while some production processes are still based on LPE, most research into and (increasingly) much of the production of electronic and optoelectronic devices now centers on metalorganic chemical vapor deposition (MOCVD) and molecular beam epitaxy (MBE). These techniques are more versatile than LPE (although the equipment is more expensive), and they can readily produce multilayer structures with atomic-layer control, which has become more and more important in the type of nanoscale engineering used to produce device structures in as-grown multilayers. This chapter covers these three basic techniques, including some of their more common variants, and outlines the relative advantages and disadvantages of each. Some examples of growth in various important systems are also outlined for each of the three techniques.
272
Part B
Growth and Characterization
ticular material system and/or device application, the choice needs to take into account the basic principles of thermodynamics, kinetics, surface energies, and so on, as well as practical issues of reproducibility, scalability, process control, instrumentation, safety and capital equipment costs. A systematic comparison of the various epitaxy techniques suggests that no single technique can best satisfy the needs of all of the material/device combinations needed in microelectronics, optoelectronics, solar cells, thermophotovoltaics, thermoelectrics, semiconductor electrochemical devices, magnetic devices and microelectromechanical systems. LPE is still a good choice for many of these application areas (M. Mauk, private communication, 2004).
14.1.2 History and Status
Part B 14.1
LPE is basically a high-temperature solution growth technique [14.1] in which a thin layer of the required material is deposited onto a suitable substrate. Homoepitaxy is defined as growth of a layer of the same composition as the substrate, whereas heteroepitaxy is the growth of a layer of markedly different composition. A suitable substrate material would have the same crystal structure as the layer, have as close a match in terms of lattice parameters as possible and be chemically compatible with the solution and the layer. Nelson [14.2] is commonly thought to have developed the first LPE systems, in this case for producing multilayer compound semiconducting structures. In the following decades a large technology base was established for III–V compound semiconductor lasers, LEDs, photodiodes and solar cells. LPE has been applied to the growth of Si, Ge, SiGe alloys, SiC, GaAs, InP, GaP, GaSb, InAs, InSb (and their ternary and quaternary alloys), GaN, ZnSe, CdHgTe, HgZnTe and HgMnTe. It has also been used to produce a diverse range of oxide/fluoride compounds, such as high-temperature superconductors, garnets, para- and ferroelectrics and for various other crystals for optics and magnetics. The early promise of garnet materials for making ‘bubble’ memories was not fully realised as standard semiconductor memory was more commercially viable. Dipping LPE is still used to make magneto-optical isolators by epitaxially growing garnet layers on gadolinium gallium garnet substrates. It is probably true to say that most of these systems were first studied using LPE, where it was used in the demonstration, development and commercialization of many device types, including GaAs solar cells, III–V LEDs and laser diodes, GaAs-based Gunn-effect and other microwave devices and various IR detectors based
on InSb and on CdHgTe. Nevertheless, LPE does not appear in the research literature as often as, say, MOVPE, MBE and ALE in reference to work in these systems. However, it is still used extensively in industrial applications, including III–V LEDs, particularly those based on AlGaAs and GaP alloys, where it is ideally suited to the small die areas, the high luminescence efficiencies and the relatively simple device structures needed, and IR detectors based on CdHgTe. Realistic industrial production data is difficult to obtain, but Moon [14.3] noted that the large majority of optoelectronic devices were still being grown by LPE at that time, amounting to ≈ 4000 m2 per year. He also estimated that despite the loss of market share to more advanced techniques, the total demand for LPE material was still increasing at ≈ 10% per year. LPE was discontinued for many applications because of its perceived limitations in regard to control of layer thickness, alloy compositions, doping, interface smoothness and difficulties in growing certain combinations of interest for heterostructure devices. LPE is normally dismissed for the production of superlattices, quantum wells, strainedlayer structures and heterojunctions with large lattice mismatches of chemical dissimilarities. It also suffers from a reputation for poor reproducibility, problems with scaling up in size or throughput, and difficulties in achieving abrupt interfaces between successive layers within structures.
14.1.3 Characteristics LPE is characterized as a near-equilibrium growth process, when compared to the various vapor-phase epitaxy techniques. Heat and mass transport, surface energies, interface kinetics and growth mechanisms are different in LPE compared to those in vapor-phase epitaxy or bulk growth techniques. These features result in both advantages and disadvantages for LPE. The former include:
• • • •
High growth rates. These are typically 0.1–10 µm/h, i. e. faster than in MOVPE or MBE. This feature is useful when thick layers or “virtual substrates” are required. Favorable segregation of impurities into the liquid phase. This can lead to lower residual or background impurities in the epitaxial layer. Ability to produce very flat surfaces and excellent structural perfection (Fig. 14.1). Wide selection of dopants. Most solid or liquid elements can be added to a melt and incorpo-
276
Part B
Growth and Characterization
samples were then removed from the melt. The technique succeeded in producing p–n junctions by growing both layers in the same run. These formed the basis of several types of high-temperature devices (up to 500 ◦ C), including diodes, FETs, bipolar transistors and dynistors, and optoelectronic devices such as green, blue, violet and UV LEDs. Reductions in melt temperature have been attempted by adding Sn, Ge and Ga to Si melts, with some success being reported for the latter; growth at 1100–1200 ◦ C was obtained.
14.1.6 Group III–V
Part B 14.1
Arsenic- and Phosphorus-Based Materials The majority of work in the area of III–V growth has been on GaAs and GaP, plus additions of As and Al. Following the earlier treatment by Elwell and Scheel [14.1], Astles [14.7] gave a comprehensive treatment of the LPE growth of GaAs and other III–V binaries and ternaries. He lists the advantages of LPE as: high luminescence efficiency due to the low concentration of nonradiative centers and deep levels, growth of ternary and quaternary alloys, controlled p- and n-type doping, multilayer growth with low interface recombination velocities and good reproducibility and uniformity. Disadvantages included: large areas that are required to be free of surface features (such as for photocathodes or ICs), very abrupt control of doping/composition profiles is required (as for microwave devices), accurate thickness control is required (as for microwave and quantum-well devices), and compositional grading between the substrate and the layer is inevitable. A problem associated with the use of phosphorus-containing substrates is the need to provide an overpressure source or a dummy solution to prevent phosphorus loss during the pregrowth phases. All of the methods outlined above were attempted for the growth of GaAs and related materials. In addition, because LPE is a near-equilibrium technique that uses low supersaturation, nucleation is very sensitive to substrate lattice parameters and the growth rate is influenced by the substrate orientation. This enables localized growth in windows on the substrate surface and growth on nonplanar substrates with ribs or channels produced by preferential etching. The latter feature has been used to produce novel laser structures. In fact, a vast array of both optoelectronic and microwave devices have been produced in LPE GaAs and related materials. The earliest were the GaAs Gunn devices and GaP/GaAsP LEDs. Later, GaAs/GaAlAs heterojunctions were produced for use in lasers, photocathodes and solar cells. Other alloy systems, such as
GaInP for blue/green LEDs, GaInSb for improved Gunn devices, and GaInAs or GaAsSb for photocathodes were also studied. Later still came growth of ternaries, such as GaInAsP (lattice-matched to InP) for heterostructure optoelectronic devices. Finally, OEICs and buried heterostructure lasers were developed to exploit the potential for selective-area growth and anisotropy of growth rate. III–V Antimonides Commercially available substrates for epitaxy are limited in their lattice constant spread and this imposes certain constraints in terms of lattice-matched growth and miscibility gaps. Ternary and quaternary alloy substrates with adjustable lattice parameters would open up new device applications. However, bulk-grown ternary alloys suffer from segregation and stress effects. An alternative approach is to grow very thick layers (> 50 µm) of these compounds for use as ‘virtual substrates’, Mao and Krier [14.12]. For III–V antimonides, where substrate and lattice-matching problems are acute, such thick layers are feasible by LPE due to the relatively fast growth rates (1–10 µm/min). Either gradual compositional grading or growing multilayers with abrupt but incremental compositional changes between layers can by combined with either selective removal of the substrate (to produce free-standing layers) or wafer-bonding techniques, yielding an alloy layer bonded to a surrogate substrate. The challenge for these virtual substrates is to produce lattice constants that are sufficiently different from those available using binary substrates, without introducing an excessive level of defects. Another interesting application of antimonides is that of InSb-based quantum dots, Krier et al. [14.13]. The potential application here is in mid-IR lasers, LEDs and detectors. In particular, there is a market for these materials as gas detectors based on IR absorption. The principle is that of rapid slider LPE, in which a thin slit of melt is wiped across the substrate producing contact times of 0.5–200 ms. This produces low-dimensional structures such as quantum wells and quantum dots. InSb quantum dots were grown on InAs substrates at 465 ◦ C with 10 ◦ C supercooling and a 1 ms melt–substrate contact time. Both small (4 nm high and 20 nm in diameter) and large quantum dots (12 nm high and 60 nm in diameter) are produced. Extensions to this work included growing InSb dots on GaAs and InAsSb dots. Photoluminescence and electroluminescence in the mid-IR region (≈ 4 µm) were observed in these dots. A Japanese group [14.14] is pioneering a technique called melt epitaxy, which can be viewed as a variant
Epitaxial Crystal Growth: Methods and Materials
of LPE. A sliding-boat arrangement rapidly solidifies a ternary melt into a ≈ 300 µm-thick ternary slab on a binary substrate. For example, thick InGaSb and InAsSb layers were grown onto GaAs and InAs substrates, respectively. Low background doping and high electron mobilities are achieved in material that demonstrates cut-off wavelengths in the 8–12 µm region, potentially a competitor to the more established IR detectors based on MCT (Sect. 14.1.7). Group III Nitrides The LPE of GaN is difficult due to the low solubility of nitrogen in molten metals at atmospheric pressure. There are reports of growth of GaN from gallium and bismuth melts, and in some instances the melt is replenished with nitrogen by introducing ammonia into the growth ambient, relying on a so-called VLS (vapor–liquid– solid) growth mechanism that essentially combines LPE with CVD (chemical vapor deposition). Another report [14.15] notes the use of Na fluxes as a solvent. Klemenz and Scheel [14.16] used a dipping mode at 900 ◦ C with sapphire, LiGaO2 , LiAlO2 and CVD GaN on sapphire substrates.
Bismuth also has lower surface tension that provides better wetting of the substrate. Solubilities can also be changed to affect growth rates or segregation of certain elements, such as Al in AlGaAs. Other solvents that might be considered include molten salts, alloys with Hg, Cd, Sb, Se, S, Au, Ag, or even perhaps some fused oxides. Several groups have reported success with LPE growth of several less-common semiconductors, such as InTlAsSb, InBiSb and GaMnAs [14.14]. The drive for this work is for low-bandgap material for use in detectors to rival those made in MCT (Sect. 14.1.7). The low supersaturation of LPE makes selective modes of epitaxy feasible. A substrate can be masked (using, say, SIO2 , Si3 N4 , TiN) and patterned with openings that serve as sites for preferential nucleation. In epitaxial lateral overgrowth (ELO), the selectively seeded material overgrows the mask. This technique has been used for defect filtering, stress reduction, substrate isolation and buried mirrors and electrodes [14.14]. ELO is difficult with vapor-phase methods; aspect ratios (width to thickness of selectively grown material) are small, whereas they can be 100 in LPE. This could have potential for light-emitting diodes [14.14]. Another interesting application of selective LPE is the growth of pyramidal AlGaAs microtips for scanning near-field optical microscopy. LPE growth of heterostructures with high lattice mismatch has also been attempted, for example of InSb on GaAs [14.14] and AlGaAs on GaP [14.14]. This can be assisted by growing a buffer layer by CVD, as in the LPE of AlGaAs on GaAs-coated (by MOCVD or MBE) silicon substrates. Defect-density reductions of ≈ 2 orders of magnitude can be achieved relative to the GaAs buffer layer grown by MOCVD or MBE. Another variant of the basic LPE process is that of liquid-phase electroepitaxy (LPEE), where application of an electric current through the growth interface can enhance growth rates for producing thick ternary layers [14.14]. Selective LPEE on patterned, tungsten-masked GaAs substrates can produce inverted pyramid-shaped crystals that can be used to make very high efficiency LEDs [14.14]. Mauk et al. [14.19] have reported on a massive scaling up of the LPE growth of thick (> 50 µm) AlGaAs on 75 mm-diameter GaAs substrates. The method produces a two orders of magnitude improvement in areal throughput compared to conventional horizontal sliding boat systems and has applications for LEDs, thermophotovoltaic devices, solar cells and detectors. A large rectangular aluminium chamber is used instead
277
Part B 14.1
Other Topics Doping with rare-earth elements (Dy, Er, Hl, Nd, Pr, Yb, Y, ...) in the AlGaAs, InGaAs, InGaAsSb and InGaAsP systems can lead to impurity gettering effects that radically reduce background doping and junction saturation currents and increase carrier mobilities and minority carrier lifetimes. Such rare-earth doping in InAsSb LEDs [14.17] increases the luminescence by 10–100 times. There is no fundamental limit to the number of components in mixed alloy layers produced by LPE. For example, AlGaInPAs layers have been grown on GaAs by LPE [14.18]. Each additional element adds an extra degree of freedom for tailoring the properties of the layer, although more detailed phase equilibria data or models are required to determine accurate melt compositions and temperatures. However, as more constituents are added the melt becomes more dilute and more nearly approaches ideal behavior. Traditionally, LPE melts are rich in one of the major components of the layer to be grown. However, there are certain advantages to using alternative solvents, such as bismuth, as used for GaAs. In the latter case the melt is then dilute in both arsenic and gallium and the chemical activities can be separately controlled to try to reduce point defects since the concentrations of these defects depend on the chemical potentials of the constituents.
14.1 Liquid-Phase Epitaxy (LPE)
280
Part B
Growth and Characterization
sical Frank–Read site on an as-grown LPE layer surface. The approach to forming p-on-n DLHJ structures by LPE is virtually universal. LPE from Hg-rich solution is used to grow the As- or Sb-doped p-type cap layers. The In-doped n-type base layers are grown by various Te-melt LPE techniques including tipping, sliding, and dipping. The trend appears to be in favor of the p-on-n DLHJ structures, as passivation is more controllable than that of the n-on-p structures [14.30]. A bias-selectable two-color (LWIR/MWIR) detector structure was first fabricated by growing three LPE layers from Hg-rich melts in sequence on a bulk CdZnTe substrate, Casselman et al. [14.31]. Other Narrowgap II–IV Compounds HgZnTe was first proposed as an alternative detector material to MCT due to its superior hardness and its high energies for Hg vacancy formation and dislocation formation [14.32]. Rogalski [14.33] reviewed the LPE growth of HgZnTe and noted that Te-rich growth is favored due to the low solubility of Zn in Hg and the high Hg partial pressure. He also commented that the same factors apply to the growth of HgMnTe. Becla et al. [14.24] grew HgMnTe in a two-temperature, closed-tube tipping arrangement at 550–670 ◦ C onto CdMnTe bulk substrates and CdMnTe LPE layers previously grown on CdTe substrates. Phase diagram data were also presented and the value of kMn was quoted as 2.5–3. Rogalski [14.33] also reviewed the status of PC and PV detectors in both HgZnTe and HgMnTe.
14.1.8 Atomically Flat Surfaces Chernov and Scheel [14.34] have argued that far from the perceived drawback of LPE of producing rough surfaces, it may be uniquely suited to providing atomically flat, singular surfaces over distances of several micrometers. These surfaces would have applications in surface physics, catalysis and improved homogeneity of layers and superlattices of semiconductors and superconductors. In support of this view, Fig. 14.8 shows an AFM image of a Frank–Read growth spiral on the surface of an MCT layer grown by LPE in this author’s laboratory [14.26].
14.1.9 Conclusions LPE was generally the first epitaxial technique applied to most systems of interest in micro- and optoelectronics. It is now generally a mature technology, with large fractions of several optoelectronic, IR detectors and other device types being made in LPE material, although some developments are still taking place. LPE has several advantages over the various vapor-phase epitaxial techniques, such as high growth rates, favorable impurity segregation, ability to produce flat faces, suppression of certain defects, absence of toxic materials, and low cost. There is much less emphasis on LPE in the current literature than on the vapor-phase methods, but LPE continues to seek out and develop in several niche markets where vapor-phase techniques are not suitable.
Part B 14.2
14.2 Metalorganic Chemical Vapor Deposition (MOCVD) 14.2.1 Introduction and Background The technique of MOCVD was first introduced in the late 1960s for the deposition of compound semiconductors from the vapor phase. The pioneers of the technique, Manasevit and Simpson [14.35] were interested in a method for depositing optoelectronic semiconductors such as GaAs onto different substrates such as spinel and sapphire. The near-equilibrium techniques such as LPE and chloride VPE were not suitable for nucleation onto a surface chemically very different to the compound being deposited. These pioneers found that if they used combinations of an alkyl organometallic for the Group III element and a hydride for the Group V element, then films of GaAs could be deposited onto a variety of different surfaces. Thus, the technique of
MOCVD was born, but it wasn’t until the late 1980s that MOCVD became a production technique of any significance. This success depended on painstaking work improving the impurity of the organometallic precursors and hydrides. By this time the effort was on highquality epitaxial layers on lattice-matched substrates, in contrast with the early work. The high-quality epitaxial nature of the films was emphasized by changing the name of the growth method to metalorganic vapor phase epitaxy (MOVPE) or organometallic VPE (OMVPE). All of these variants of the name can be found in the literature and in most cases they can be used interchangeably. However, MOCVD can also include polycrystalline growth that cannot be described as epitaxy. The early niche applications of MOVPE were with GaAs photocathodes, GaAs HBT lasers and
Epitaxial Crystal Growth: Methods and Materials
rate on substrate temperature. This is shown schematically in Fig. 14.11. The plot is of ln(growth rate) versus 1/T because of the expected Arrhenius relationship in the rate constants. This really only applies to the lowtemperature (kinetic) regime. Here the growth rate can be expressed as Rate = A exp −(E a /RT ) ,
(14.2)
where A is a constant and E a is the activation energy. It is unlikely that E a can be attributed to the activation energy for a single reaction step, but it is still useful for characterizing the kinetics when different precursors are being tested. In the transport-limited regime there will be a small dependence on temperature due to the increase in diffusion rate with temperature, and this is illustrated in Fig. 14.11. Most MOCVD growth processes will take place in the transport-limited regime where it is easier to control growth rate. However, there are a number of growth processes that will occur at lower temperatures in order to control the properties such as native defect concentrations of the epitaxial films. This is generally the case with II–VI semiconductors, but can also apply to the formation of thermodynamically unstable III–V alloys. In the high-temperature regime, the growth rate decreases with temperature, as the equilibrium vapor pressure of the constituent elements in the film will increase and give desorption rates similar to the deposition rate, leading to significant loss of material through evaporation to the gas stream.
14.2.3 Precursors
283
1. Saturated vapor pressure (SVP) should be in the range of 1–10 mbar in the temperature range 0–20 ◦ C. 2. Stable for long periods at room temperature. 3. Will react efficiently at the desired growth temperature. 4. The reaction produces stable leaving groups. 5. Avoids unwanted side reactions such as polymerization. According to the Clausius–Clapeyron equation, the SVP of a liquid is given by an exponential relationship: SVP = exp(−∆G/RT ) ,
(14.3)
where ∆G is the change in Gibbs free energy on evaporation, R is the gas constant and T the temperature of the liquid in the bubbler. This can be expressed as the heat of evaporation ∆H and the entropy for evaporation ∆S, where ∆G = ∆H − T ∆S; this gives the familiar form of the SVP equation: SVP = exp(−∆H/RT ) + ∆S/R = exp(∆S/R) exp(−∆H/RT ) .
(14.4)
This is of the form: log e (SVP) = A − B/T ,
(14.5)
where A and B are constants given by A = ∆S/R and B = ∆H/R. Manufacturers of the precursors will generally give the SVP data in the form of the constants A Table 14.1 List of precursors with vapor pressure constants
derived according to (14.6) Precursor
A
B
SVP at 20 ◦ C (mm Hg)
TMGa TEGa TMAl TEAl TMIn TEIn Solution TMIn DMZn DEZn DMCd DES DMSe DESe DMTe DIPTe
8.07 8.08 8.22 9.0 10.52 8.94 10.52 7.80 8.28 7.76 8.184 9.872 8.20 7.97 8.29
1703 2162 2134 2361 3014 2815 2014 1560 2109 1850 1907 2224 2020 1865 2309
182 5.0 8.7 0.02 1.7 1.2 1.7 300 12 28.2 47
40.6 2.6
Part B 14.2
The choice of precursors is not confined to simple alkyls and hydrides but can extend to almost any volatile organometallic as a carrier for the elemental components of a film. In the case of II–VI semiconductors it is usual to use an alkyl for both the Group II and the Group VI elements. Hydrides have been used as Se and S sources but prereaction makes it difficult to control the growth process and in particular can make it difficult to incorporate dopants. The use of combined precursor sources has been extensively researched but is not in common use for epitaxial device-quality material. One reason for this is the difficulty in controlling the precursor ratio that is needed to control the stoichiometry of the material. The important properties of precursors, and their selection, can be generalized and provides a basis for optimizing the MOCVD process. These properties can be summarized as follows:
14.2 Metalorganic Chemical Vapor Deposition (MOCVD)
286
Part B
Growth and Characterization
The rotation of the platen will direct the gases across the wafers and out through separate exhausts, thus keeping the gases separate in the reactor chamber. This has the advantage of alternately dosing the surface with Group III and Group V precursors to grow the film from atomic layers, which in turn prevents prereaction between the precursors and maintains excellent film uniformity over the growth surface. This could be particularly important for compounds of nitrides and oxides where reduced pressure is normally required to avoid significant prereaction. The advantage of the ALD approach is that the reaction chamber can be operated at atmospheric pressure, which simplifies the operation of the system.
14.2.5 III–V MOCVD This section will consider the range of III–V materials grown by MOCVD and the precursors used. Most of the III–V semiconductors can be grown from organometallics of the Group III element and hydrides of the Group V element. Exceptions to this will be noted where appropriate.
Part B 14.2
Arsenides and Phosphides The most commonly studied alloy system is Al1−x Gax As, which is used for LEDs and laser diodes from the near-infrared to the red part of the visible spectrum. This is a well-behaved alloy system with only a small change in lattice parameter over the entire composition range and it covers a range of bandgaps from 1.435 eV for GaAs to 2.16 eV for AlAs. One problem with this alloy is the sensitivity of aluminium to oxygen, which makes it extremely difficult to grow high-quality AlAs. Just 1 ppm of oxygen contamination will result in 1020 cm−3 incorporation of oxygen into Al0.30 Ga0.7 As [14.42]. In addition to the normal MOCVD precautions of using ultrahigh-purity hydrogen carrier gas and ensuring that the moisture in the system is removed, the hydrides and organometallics also need to have extremely low oxygen contents. Precursor manufacturers have tended to keep to the simple alkyl precursors but to find innovative ways of reducing the alkoxide concentrations. Alternative Group V precursors have been sought due to the high toxicity of arsine and phosphine. These hydride sources also suffer from the fact that they are stored in high-pressure cylinders and any leakage could result in the escape of large quantities of toxic gas. Alternative alkyl Group V sources have been extensively researched but only two precursors have proved to be suitable for high-quality epitaxial growth, tertiarybutyl-
arsine (TBAs) and tertiarybutylphosphine (TBP). These precursors only have one of the hydrogen ligands replaced with an alkyl substituent but they are liquid at room temperature rather than high-pressure gases. In the reactor chamber the likely reaction path is to form the hydride by a process called beta-hydrogen elimination. This entails one of the hydrogen atoms from the methyl groups satisfying the bond to As (or P) with a butene leaving group as shown below [14.43]: C4 H9 AsH2 → C4 H8 + AsH3 .
(14.7)
This process is more likely to dominate at the normal growth temperature for transport-limited growth and it effectively yields the arsine precursor that can then react in the normal way. In the search for alternative alkyl precursors this proved to be an important factor, as the fully substituted alkyl arsenic sources tended to incorporate large concentrations of carbon, degrading the electrical properties of the film. The importance of the Group V hydride was discussed in Sect. 14.2.2 and it can be understood why TBA and TBP (for the phosphorus alloys) have proved to be good alternatives to the hydrides. However, it is fair to say that these have never been widely utilized due to much higher cost than the hydrides and poor availability. An alternative for improved safety has been investigated more recently and relies on the same principle of reducing the toxic gas pressure in the event of a system leak. This alternative stores the hydride in a reversible adsorption system [14.44]. The adsorption system keeps the hydride at sub-atmospheric pressure and requires pumping to draw off the hydride when needed, making it inherently safer. One major advantage to this system, in addition to the inherent improvement in safety, is that the precursors and hence the precursor chemistry are unchanged in the reactor cell. Other alloys commonly grown using MOCVD include In0.5 Ga0.5 P, which has a band gap of approximately 2 eV and is lattice-matched to GaAs. The quaternary alloy GaInAsP enables lattice-matching to InP substrates while controlling the bandgap in the 1.3 µm and 1.55 µm bands used for long-range fiberoptic telecommunications. Antimonides The antimonides cover an important range of bandgaps from the near-infrared to the mid-infrared bands, up to 5 or 6 µm. These compounds and alloys can be used in infrared detectors, thermophotovoltaic (TPV) devices and high-speed transistors. The growth of the antimonides is more complex than for the arsenides and phosphides be-
288
Part B
Growth and Characterization
Part B 14.2
desirable in order to achieve wider band gaps for applications such as UV LEDs and solar-blind detectors. The problems are similar to those of the In and Ga alloys, but in the case of Al and Ga the stability of AlN is much greater than that of GaN, which will tend to form AlN clusters. The growth of different alloy compositions, particularly the growth of higher In content GaInN, has stimulated some research on alternative precursors for nitrogen, as ammonia pyrolysis is not very efficient at temperatures below 800 ◦ C. One of the favorite candidates is dimethylhydrazine, which will react readily with TMGa at temperatures down to 400 ◦ C. The reaction of the ammonia with the Group III alkyls to form adducts that can then polymerize is a problem associated with the high growth temperature. This requires special care over the introduction of the precursors, the control of gas flows and wall temperatures. A failure to adequately control these parasitic reactions will lead to poor growth efficiency, higher defect concentration in the GaN layer and poor dopant control. The dopants used for n-type and p-type GaN are Si from silane and Mg from dicyclopentadienylmagnesium. The n-type doping has proved to be fairly straightforward, but Mg doping results in the formation of Mg–H bonds that passivate the acceptor state. This problem was solved by annealing the epitaxial films after growth to remove the hydrogen. This is possible due to the thermal stability of GaN and the high mobility of hydrogen in the lattice. A further problem with p-type doping is that the Mg acceptor has an ionization energy of between 160 and 250 meV and only about 10% of the chemically introduced Mg is ionized at room temperature. Despite the materials challenges of GaN and its alloys, MOCVD has enabled the production of a wide range of devices based on these alloys over the past decade, from high-power transistors to laser diodes. Both of these examples have required improvements in material quality and a reduction in the relatively high dislocation densities. In fact, the potential for nitrides is enormous as the quaternary GaInNAs can be tuned to around 1 eV with just 4% nitrogen and is a challenger to the use of InP-based materials for 1.3 µm telecommunications lasers.
14.2.6 II–VI MOCVD The MOCVD of II–VI semiconductors is carried out at much lower temperatures than for their III– V counterparts and this has stimulated a wide range of research on alternative precursors, growth kinetics and energy-assisted growth techniques such as pho-
toassisted growth. The basic principles are the same as for III–V MOCVD and, in general, the same reaction chambers can be used but the lower growth temperatures have led to the development of new precursors, particularly for the Group VI elements. Hydrides are, in general, not used now but early work on ZnSe and ZnS used hydrogen selenide and hydrogen sulfide [14.50]. A strong prereaction occurred between the hydrides and dimethylzinc that could result in deposition at room temperature, but as with III–V MOCVD, prereactions can make it difficult to control the defect chemistry and the doping. These II– VI compounds and their alloys have been investigated as blue emitter materials with similar bandgaps to GaInN. Alternatively, ZnTe is a potential green emitter and the narrower bandgap tellurides are used for infrared detectors. In fact, the only commercial application of II–VI MOCVD has been for the fabrication of HgCdTe alloys for infrared detectors. However, the processes used are quite different to standard MOCVD and require different designs of reactor cells, as will be shown in the next section. MOCVD of HgCdTe HgCdTe is one of the few direct bandgap semiconductors suitable for infrared detection in the important 10 µm band. The alloy has only a 0.3% mismatch over the entire composition range and will cover the entire infrared spectrum from the near-infrared with CdTe to the far-infrared (HgTe is a semimetal so there is no lower limit to the band gap). The main difficulty with growing HgCdTe by MOCVD has been the very high equilibrium vapor pressure of Hg over the alloy even at relatively low temperatures. For example, MBE has to be carried out at temperatures below 200 ◦ C. A further difficulty created by the instability of HgTe is that the tellurium-rich phase boundary, which represents the minimum Hg pressure required to achieve growth, has a high concentration of doubly ionized metal vacancies that make the material p-type. At typical MOCVD growth temperatures for HgCdTe, 350 to 400 ◦ C, the equilibrium vapor pressure for Hg would have to be close to the saturated vapor pressure for liquid Hg in order to keep the metal vacancy concentration below the impurity background. This is clearly not realistic in MOCVD as the walls of the reaction chamber would have to be heated to the same temperature as the substrate to avoid mercury condensation, and this, in turn, would cause pyrolysis of the precursors before they arrived at the substrate. Fortunately, it is possible to grow HgCdTe film on the tellurium-rich phase boundary where the Hg
290
Part B
Growth and Characterization
light LEDs already exist but the efficiency of the phosphors would improve if they were excited with UV rather than blue photons. A further potential advantage of ZnO is that large ZnO single-crystal substrates can be grown by the hydrothermal method and would eventually avoid the defect problems associated with heteroepitaxy that have slowed progress with GaN. All the early work on ZnO MOCVD used oxygen or water vapor as the oxygen source. These react strongly at room temperature with DMZn and DEZn. Although reasonably good quality ZnO films have been deposited with this approach, it is unlikely that it will lead to high-quality epitaxial growth or good doping control. Essentially, prereaction in all of the III–V and II–VI semiconductors has been a barrier to obtaining device-quality material. The favored alternative oxygen precursors are the alcohols: isopropanol and tertiarybutanol. For higher temperatures, N2 O is a suitable precursor. In general, for epitaxial growth on sapphire or ZnO substrates it is necessary to grow at temperatures above 600 ◦ C, but for polycrystalline transparent conducting oxides (TCOs) these precursors can react at temperatures as low as 300 ◦ C. It is possible to readily dope ZnO n-type using TMAl, but as with ZnSe it has been difficult to achieve p-type doping. Some encouraging results have been obtained using ammonia [14.56], but this work is still at an early stage of development and must be solved before electroluminescent devices can be made. This is proving
to be another class of materials where the versatility of MOCVD has a lot of potential for innovative solutions.
14.2.7 Conclusions This section of the chapter has covered the basic principles of MOCVD and reviewed the range of III–V and II–VI semiconductors that can be grown in this way. This can be contrasted with LPE and MBE, where each method will have its own strengths and weaknesses for a particular material or application. The strength and the weakness of MOCVD is in its complexity. With the right precursors it is possible to deposit almost any inorganic material, but in many cases the reaction mechanisms are not well understood and the development is empirical, with the researcher spoilt by a very wide choice. This is not to deny the very considerable successes that have led to major industries in compound semiconductors that has been epitomized in the past 10 years by the productionization of GaN and the plethora of large LED displays that would not have been possible without MOCVD. Without the pioneering work of Manasevit and Simpson, who demonstrated the potential to grow so many of these materials in the early years, and the fortuitous ease with which GaAs/AlGaAs could be grown, we might not have tried so hard with the more difficult materials and hopefully we will see many more innovations in the future with MOCVD.
Part B 14.3
14.3 Molecular Beam Epitaxy (MBE) 14.3.1 Introduction and Background MBE is conceptually a very simple route to epitaxial growth, in spite of the technology required, and it is this simplicity that makes MBE such a powerful technique. It can be thought of as a refined form of vacuum evaporation, in which neutral atomic and molecular beams from elemental effusion sources impinge with thermal velocities on a heated substrate under ultrahigh vacuum (UHV). Because there are no interactions within or between the beams, only the beam fluxes and the surface reactions influence growth, giving unparalleled control and reproducibility. Using MBE, complex structures can be grown atomic layer by atomic layer, with precise control over thickness, alloy composition and intentional impurity (doping) level. UHV confers two further advantages: cleanliness, because the partial pres-
sures of impurities are so low, and compatibility with in situ analytical techniques – essential to understanding the surface reaction kinetics. The basic elements of an MBE system are shown schematically in Fig. 14.17. A number of reviews [14.57–59] and books [14.60, 61] have discussed the physics, chemistry, technology and applications of MBE. The technique that became known as MBE evolved from surface kinetic studies of the interaction of silane (SiH4 ) beams with Si [14.62] and of Ga and As2 beams with GaAs [14.63]. Cho and coworkers, who first used the term molecular beam epitaxy, demonstrated that MBE was a viable technique for the growth of III–V material for devices, leading the way for a worldwide expansion of effort. Much early MBE equipment had a single vacuum chamber for loading, deposition and analysis, which
Epitaxial Crystal Growth: Methods and Materials
such as AlGaAs [14.83]. It was established that growth rate depends solely on the net Group III flux (incident flux minus desorbing flux), and that ternary alloy composition can be controlled by adjusting the ratio of the Group III fluxes provided the thermal stabilities of both of the binary compounds that make up the alloy are considered. The Group V element need only be supplied in excess. The situation is more complicated for alloys containing both arsenic and phosphorus, since the presence of one Group V element influences the sticking coefficient of the other. Foxon et al. [14.84] found that phosphorus has a much lower incorporation probability than arsenic. More recent studies have made use of in situ STM and more powerful theoretical treatments to consider nucleation and growth at the atomistic level, but the basic models are still sound.
14.3.3 MBE Growth Systems
sion with, and probable adsorption by, a surface at liquid nitrogen temperatures. The cryopanel also reduces contamination arising from outgassing from the walls of the chamber that are exposed to radiation from the effusion cells. Control over the composition and doping levels of the epitaxial layers is achieved by precise temperature control of the effusion cells and the use of fast-acting shutters in front of these cells. In most systems, the growth rate is about 1 monolayer per second, and the shutter operation time of 0.1 s thus corresponds to less than a monolayer of growth. A growth rate of one monolayer per second closely approximates one micrometer per hour for GaAs/AlGaAs. Although often referred to as K-cells, the solidsource effusion cells used in MBE growth have a large orifice so as to obtain a high flux at reasonable temperatures. A true Knudsen cell has a very small outlet orifice compared to the evaporating surface, so that an equilibrium vapor pressure, typically 10−3 torr, is maintained within the cell. The diameter of this orifice is less than one tenth of the molecular mean free path, which is typically several centimeters. Under these conditions, a near approximation to ideal Knudsen effusion is obtained from the cell, giving molecular flow with an approximately cosine distribution. The flux from such a cell can be calculated quite accurately, but a high temperature is required to produce a reasonable growth rate; for example a gallium Knudsen cell would need to be at 1500 ◦ C to produce the same flux as an open-ended effusion cell at 1000 ◦ C. The lower operating temperature helps to reduce impurities in the flux and puts a lower thermal load on the system. The beam from an openended cell may not be calculable with any degree of accuracy but it is highly reproducible. Once calibrated via growth rate, normally by in situ measurement, the flux can be monitored using an ion gauge located on the substrate stage. A number of effusion cells can be fitted to the growth chamber, generally in a ring facing towards the substrate with the axis of each cell at an angle of 20−25◦ to the substrate normal. Simple geometrical considerations therefore dictate the best possible uniformity that can be achieved with a stationary substrate [14.85]. Associated with each cell is a fast-action refractory metal shutter with either pneumatic or solenoid operation. Uniformity of growth rate for a binary compound can be achieved by rotating the substrate at speeds as low as a few rpm, but compositional uniformity of ternary or quaternary alloys requires rotation of the substrate at speeds of up to 120 rpm (normally rotation is timed
293
Part B 14.3
The UHV system required for MBE is of conventional stainless steel construction, with an ultimate or background vacuum of less than 5 × 10−11 torr achievable with a clean system after baking, and with the liquid nitrogen cryopanels filled. At such a pressure the molecules have a typical mean free path of 106 m and so only suffer collisions with the internal surfaces of the system. It would take several hours to build up a monolayer of impurity on the wafer surface. Oil-free pumping is used to eliminate the possibility of contamination by hydrocarbon backstreaming; typically rough pumping is with sorption pumps and UHV pumping is with ion pumps and titanium sublimation pumps. Diffusion pumps or turbomolecular pumps can be used, however, provided suitable cold traps are fitted, and such pumps are required for the higher gas loads involved in GSMBE and CBE. A two- or three-stage substrate entry load-lock and preparation chamber isolated by gate valves is used to minimize the exposure of the system to air. In modular systems, further deposition and analysis chambers may be added to the system and samples are transferred via the preparation chamber under UHV conditions. The growth chamber substrate stage is surrounded by a large liquid nitrogen-cooled cryopanel, which has a high pumping speed for H2 O, CO, O2 and other condensable species. This is arranged so that the heated (500–700 ◦ C) substrate is not directly exposed to thermal sources other than the molecular beams themselves, and impurities emanating from any other source can only reach the substrate after suffering at least one colli-
14.3 Molecular Beam Epitaxy (MBE)
294
Part B
Growth and Characterization
Part B 14.3
so that one rotation corresponds to the growth of one monolayer). The mechanical requirements for a rotating substrate stage in a UHV system are quite demanding, as no conventional lubricants can be used on the bearings or feedthroughs and yet lifetime must exceed several million rotations. Magnetic rotary feedthroughs have largely replaced the earlier bellows type. The need to rotate the substrate to give uniformity also leads to complications in substrate temperature measurement. The substrate is heated by radiation from a set of resistively heated tantalum foils behind the substrate holder, and both the heater and the thermocouple are stationary. Without direct contact between the thermocouple and the wafer the “indicated” thermocouple temperature will be very different from the “actual” substrate temperature. Some form of calibration can be obtained by using a pyrometer, although problems with window coating, emissivity changes and substrate transparency below the bandgap impose limits on the accuracy of such measurements. Alternatively, a number of “absolute” temperature measurements can be obtained by observing transitions in the RHEED pattern, which occur at reasonably fixed temperatures. However, such transitions occur in the lower temperature range and extrapolation to higher growth temperatures is not completely reliable. If the substrate is indium bonded to a molybdenum block (using the surface tension of the indium to hold the substrate), then inconsistencies in wetting can lead to variations in temperature across the substrate. Most modern systems and all production machines use “indium-free” mounting, which avoids these problems. However, the substrate is transparent to much of the IR radiation from the heater, putting a higher thermal load on the system. The substrate preparation techniques used prior to MBE growth are very important, as impurities on the surface provide nucleation sites for defects. Historically, various chemical clean and etch processes were used, but wafers are now usually supplied “epi-ready”, with a volatile oxide film on the surface that protects the surface from contamination and can be thermally removed within the UHV chamber. RHEED is used to confirm the cleanliness of the surface prior to growth. Historically, one of the major problems in MBE was the presence of macroscopic defects, with a typical density of 103 –105 cm−2 , although densities below 300 cm−2 were reported for ultraclean systems [14.86]. Defects are generally divided into two types; small hillocks or pits and oval defects. Such defects are a serious obstacle to the growth of material for integrated circuits, and considerable effort was devoted to the
problem. Oval defects are microtwin defects originating at a local imperfection, oriented in the (110) direction and typically 1 to 10 µm in length [14.87]. There are several possible sources of these defects, including foreign impurities on the substrate surface due to inadequate substrate preparation or to oxides from within the system, and possibly from the arsenic charge or the condensate on the cryopanels. The fact that oval defects were not seen when graphite crucibles were used but were common with PBN crucibles suggests that gallium oxide from the gallium melt is a major source of such defects since oxides would be reduced by the graphite. Chai and Chow [14.88] demonstrated a significant reduction in defects by careful charging of the gallium source and prolonged baking of the system. The irregular hillocks and pits seen in MBE-grown material were probably produced by microdroplets of gallium spitting from the effusion cells on to the substrate surface [14.89]. Gallium spitting can be caused by droplets of Ga that condense at the mouth of the effusion cell, fall back into the melt and explode, ejecting droplets of liquid Ga, or by turbulence in the Ga melt due to uneven heating that causes a sudden release of vapor and droplets. Continuous developments in the design of Group III effusion cells for solid-source MBE have largely eliminated the problem of macroscopic defects. Large-area Ta foil K-cell heaters have reduced the uneven heating of the PBN crucible; the use of a “hot-lipped” or two-temperature Group III cell, designed with a hightemperature front end to eliminate the condensation of gallium metal at the mouth of the cell, significantly reduced the spitting of microdroplets. Combined with careful procedures and the use of an arsenic cracker cell, defect densities as low as 10 cm−2 have been reported [14.90]. There have also been developments in the design of Group V cells. A conventional arsenic effusion cell produces a flux of As4 , but the use of a thermal cracker to produce an As2 flux resulted in the growth of GaAs with better optical properties and lower deep-level concentrations [14.91]. In the case of phosphorus, growth from P2 was strongly preferred to that from P4 for several reasons [14.92], and this was one reason behind the development of GSMBE described below. However, the use of phosphine requires suitable pumping and safety systems. The Group V cracker cell has two distinct zones. The first comprises the As or P reservoir and produces a controlled flux of the tetramer; this passes through the second – high-temperature – zone, where dissociation to the dimer occurs. Commercial
Epitaxial Crystal Growth: Methods and Materials
high-capacity cracker cells, some including a valve between the two zones to allow fast switching of Group V flux, have been developed for arsenic and phosphorus. Commercial MBE systems have increased throughput with multiwafer substrate holders, cassette loading and UHV storage and preparation chambers linked to the growth chamber with automated transfer, while increased capacity effusion cells have reduced the downtime required for charging. In some cases additional analytical and processing chambers have been added to permit all-UHV processing of the device structure.
14.3.4 Gas Sources in MBE
TMGa and cracked arsine in a modified commercial MBE system [14.96]. The growth of device-quality GaAs, InGaAs and InP from alkyl sources of both Group III and Group V elements was demonstrated by Tsang [14.97], who used the alternative acronym chemical beam epitaxy (CBE). The use of Group V alkyls, which had much poorer purity than the hydrides, was undertaken for safety reasons [14.98]. Material quality was improved when cracked arsine and phosphine were used [14.99]. RHEED observations indicated that reconstructed semiconductor surfaces could be produced prior to growth, as for MBE [14.100], and GaAs/AlGaAs quantum well structures were demonstrated that were comparable with those grown by MBE or MOVPE [14.101]. Almost all of this work was with III–Vs, where MOMBE/CBE was seen to have several significant advantages over MBE while retaining many of its strengths, including in situ diagnostics. The use of vapor Group III sources would avoid the morphological defects associated with effusion cells, and higher growth rate and greater throughput could be achieved. Both Group III and Group V sources were external, allowing for easy replacement without the need to break vacuum. Flux control with mass flow controllers (MFCs) and valves would improve control over changes in composition or doping level, since flow could be changed faster than effusion cell temperature. Abrupt changes could thus be achieved that would require switching between two preset effusion cells in MBE (a problem when the number of cells was limited by geometry). It also offered improved long-term flux stability and greater precursor flexibility. As this was still a molecular beam technique, precise control over layer growth and abrupt interfaces would be retained, without any of the gas phase reactions, boundary layer problems or depletion of reagents associated with MOVPE. Other advantages included improved InP quality using a P2 flux, lower growth temperatures and selective-area epitaxy. There was, however, a price to pay in system complexity, with the need for gas handling and high-volume pumping arrangements added to the expensive UHV growth chamber. These would have been acceptable if CBE had demonstrated clear advantages, but there were a number of other issues. The standard Al and Ga precursors used in MOVPE (trimethylaluminium and trimethylgallium) produced strongly p-type material when used in CBE, due to the incorporation of C as an acceptor. Triethylgallium proved to be a viable Ga source, but alloy growth was more complicated; no universally acceptable Al source was found, while InGaAs
295
Part B 14.3
A number of MBE hybrids were developed that combined the advantages of UHV deposition and external gas or metalorganic sources to produce a versatile technique that has some advantages over MBE and MOVPE. These techniques utilize the growth chambers developed for MBE and pumping systems with a high continuous throughput, typically liquid nitrogen-trapped diffusion pumps or turbomolecular pumps. Layers are deposited from molecular beams of the precursor materials introduced via gas source cells that are essentially very fine leak controllers. As in MBE, there are no interactions within or between beams and the precise control of beams using fast-acting gas-line valves is therefore translated into precise control of the species arriving at the substrate. Shutters are not generally required; atomically sharp interfaces and monolayer structures can be defined as a consequence of submonolayer valve switching times. Panish [14.93] investigated the use of cracked arsine and phosphine in the epitaxial growth of GaAs and InP, later extending this work to the growth of GaInAsP, and suggested the name gas source MBE (GSMBE). The major advantage of gaseous Group V sources was that the cracker cells produced controllable fluxes of the dimers As2 and P2 , giving improved control of the As:P ratio. The MBE growth of high-quality GaAs from cracked arsine and elemental gallium was demonstrated at the same time by Calawa [14.94]. The extension to gaseous Group III sources was made by Veuhoff et al. [14.95], who investigated the MOCVD of GaAs in a simple MBE system using trimethylgallium (TMGa) and uncracked arsine. Further study showed that cracking of arsine at the substrate surface was negligible, leading to the conclusion that unintentional cracking of the arsine had taken place in the inlet system. The acronym metalorganic MBE (MOMBE) was used to describe GaAs growth from
14.3 Molecular Beam Epitaxy (MBE)
296
Part B
Growth and Characterization
growth was found to be strongly temperature-dependent. The surface chemistry associated with metalorganic sources proved complex and the temperature dependence of surface reactions not only restricted growth conditions, but also had a serious impact on uniformity and reproducibility, particularly for quaternary alloys such as GaInAsP [14.102]. The lack of suitable gaseous dopant sources, particularly for Si, was a further handicap [14.103], but the deliberate use of C for p-type doping proved a success and this was transferred to MBE and MOVPE. Carbon diffuses significantly less than the ‘standard’ MBE and MOVPE dopants, Be and Zn, respectively [14.104], and proved an ideal dopant for thin highly doped layers such as the base region in heterojunction bipolar transistors (HBTs) and for p-type Bragg reflector stacks in vertical cavity surface-emitting laser structures (VCSELs). GSMBE remains important, not in the III–V field where, with some exceptions [14.105], the development of high-capacity Group V cracker cells provided an easier route to an As2 and P2 flux, but in the III–nitride field. There are two major routes to nitride MBE: active nitrogen can be supplied by cracking N2 in an RF or ECR plasma cell, or ammonia can be injected and allowed to dissociate on the substrate surface. In contrast, CBE has not demonstrated a sufficient advantage over its parent technologies to be commercially successful, particularly as both MOVPE and MBE have continued to develop as production techniques.
14.3.5 Growth of III–V Materials by MBE Part B 14.3
Although they were amongst the earliest materials to be grown by MBE, GaAs-based alloys retain great importance, with MBE supplying materials for the mass production of optoelectronic and microwave devices and leading research into new structures and devices. GaAs/AlGaAs AlGaAs is an ideal material for heterostructures, since AlAs has a greater bandgap than GaAs and the two have negligible mismatch (≈ 0.001%). The growth rate in MBE depends on the net Group III flux, with one micrometer per hour corresponding to a flux of 6.25 × 1014 Ga (or Al) atoms cm−2 s−1 . At low growth temperatures, all incident Group III atoms are incorporated into the growing film, together with sufficient arsenic atoms to maintain stoichiometry, and excess arsenic atoms are desorbed. However, III–V compounds are thermally unstable at high temperatures. Above ≈ 600 K [14.79] arsenic is preferentially desorbed, so an excess arsenic
flux is required to maintain stoichiometry. At higher temperatures, loss of the Group III element becomes significant, so that the growth rate is less than would be expected for the incident flux. This is particularly important for the growth of AlGaAs, where growth temperatures above 650 ◦ C are generally used to give the best optical properties. The Ga flux must be significantly increased above that used at lower temperatures in order to maintain the required composition of the alloy. Typical growth temperatures for MBE of GaAs are in the range 580–650 ◦ C and material with high purity and low deep-level concentrations has been obtained in this temperature range [14.106, 107]. The commonly used dopants, Be (p-type) and Si (n-type), show excellent incorporation behavior and electrical activity at these temperatures and at moderate doping levels. As was noted above, for highly doped layers Be has largely been replaced by C, which diffuses somewhat more slowly. At doping levels above ≈ 5 × 1017 , Si occupies both Ga (donor) and As (acceptor) sites, producing electrically compensated material with a consequent reduction in mobility. It is still predominantly a donor, however, and is the best available n-type dopant. The electrical properties of GaAs also depend on the As/Ga flux ratio, since this influences the site occupancy of dopants. The optimum As/Ga ratio is that which just maintains Asstabilized growth conditions, which can be determined using RHEED observations of surface reconstruction. MBE-grown GaAs is normally p-type, the dominant impurity being carbon [14.108]. The carbon concentration was found to correlate with CO partial pressure during growth [14.109] – CO is a common background species in UHV, being synthesized at hot filaments. The lowest acceptor levels commonly achieved are of the order of 5 × 1013 cm−3 , and such layers can be lightly doped to give n-type material with high mobilities. However, very high purity GaAs has been produced by adjusting the operating conditions for an arsenic cracker cell [14.110], which suggests that carbon contamination originates from hydrocarbons in the As charge. Unintentionally doped GaAs was n-type with a total impurity concentration of < 5 × 1013 cm−3 and a peak mobility of 4 × 105 cm2 V−1 s−1 at 40 K, the highest reported for n-type GaAs. The 77 K mobility of > 200 000 cm2 V−1 s−1 is comparable with that for the highest purity GaAs grown by LPE [14.111]. MBE is capable of the growth of very high-quality material for structures whose physical dimensions are comparable to the wavelength of an electron (or hole) so that quantum size effects are important. Such structures have typical layer thicknesses from 100 Å down to
298
Part B
Growth and Characterization
Part B 14.3
morphically but as the In content is increased the critical thickness falls, so that little more than a ML of InAs can be grown in this way. Thicker films will be relaxed by the formation of dislocations, limiting their usefulness for devices. The pseudomorphic HEMT (or pHEMT) replaces the GaAs channel of the conventional HEMT with a strained InGaAs channel in order to take advantage of the greater carrier confinement and superior electron transport properties. The maximum In content of the channel is limited by the need to prevent relaxation. Under certain growth conditions InAs or InGaAs islands are formed spontaneously. It is generally accepted that the growth of InAs on GaAs (001) follows a version of the Stranski–Krastanov mode, which implies that following the deposition of ≈ 1.7 ML of InAs in a 2-D pseudomorphic form (sometimes referred to as the wetting layer), coherent 3-D growth is initiated by a very small increment (≤ 0.1 ML) of deposited material to relax the elastic strain introduced by the lattice mismatch. The QDs rapidly reach a saturation number density, which is both temperature- and In flux-dependent, with a comparatively narrow size (volume) distribution. The actual process is rather more complicated, not least as a result of alloying with the GaAs substrate, and is the subject of much current research [14.59]. These islands can be embedded in a layer of GaAs to form self-assembled quantum dots (QDs), which have become a topic of immense interest due to the potential application of QDs in a wide range of devices, especially lasers. The volume fraction of QDs in an active layer can be increased by building up a 3-D array; the strain field induced around each dot influences not only the inter-dot spacing but also the capping layer growth, so that subsequent layers of dots are aligned ( [14.71] and references therein). QD lasers offer a route to long-wavelength emission from GaAs-based devices [14.116]. Group III Nitrides The growth of Group III nitrides has been dominated by MOVPE since the demonstration of a high-brightness blue-emitting InGaN-AlGaN double heterostructure LED by Nichia [14.117] and the subsequent development of other optoelectronic devices, including laser diodes also emitting in the blue [14.118]. MBE has made a significant contribution to more fundamental studies and to the growth of nitrides for high-power and microwave devices. Once again the wide range of in situ diagnostic techniques available has been important. For heteroepitaxial growth on the most commonly used substrates, sapphire and silicon carbide, several parameters strongly influence the quality of material
produced. These include substrate cleaning, initial nitridation, the nucleation and coalescence of islands involved in the low-temperature growth of a buffer layer, and subsequent annealing at a higher temperature. The polarity (nature of the outermost layer of atoms) of {0001}-oriented hexagonal structure films also has a crucial influence on material quality, but both N- or Ga-polarity can occur with MBE growth on sapphire substrates [14.119]. Under typical growth conditions with MOVPE, however, Ga-polarity material is exclusively produced. Several of these problems can be resolved using GaN templates obtained by growing thick layers onto suitable substrates using MOVPE and then exploiting the advantages of MBE to produce the functional layer on the GaN template. These advantages include well-controlled layer-by-layer growth and lower growth temperatures than those used for MOVPE, so that InGaN phase separation and In desorption are less problematic and precise quantum wells can be grown. No postgrowth thermal annealing is required to activate the p-type dopant. In this way films have been produced with smooth surface morphology and high performance, although MBE was still limited to low-power LEDs until the recent demonstration of laser diodes [14.120]. In the use of active nitrogen from plasma sources, the III/V flux ratio at the substrate during growth is also a critical parameter. GaN layers grown with a low III/V flux ratio (N-stable growth) display a faceted surface morphology and a tilted columnar structure with a high density of stacking faults. Smooth surfaces are only obtained under Ga-rich conditions, where not only is there a dramatic reduction in surface roughness, but significant improvements in structural and electrical properties are also observed. This is, of course, the exact opposite of the growth of most III–V compounds, such as GaAs. In the case of nitrides, it is thought that Garich conditions (close to the point where Ga droplets are formed) promote step flow growth, whereas Nstable growth promotes the nucleation of new islands. In contrast, growth from NH3 is smoother under N-rich conditions [14.121]. Group III–V Nitrides The “dilute nitrides” are III–V–N materials such as GaAsN and GaInNAs, where the N concentration is ≤ 2%. Replacing a small fraction of As atoms with smaller N atoms reduces both the lattice constant and the bandgap. Adjusting the composition of GaInNAs allows the bandgap, band alignment, lattice constant and strain to be tailored in a material that can be lattice-
Epitaxial Crystal Growth: Methods and Materials
matched with GaAs [14.122]. This offers strong carrier confinement and thermal stability compared to InPbased devices operating at 1.3 µm and 1.55 µm, and allows GaAs VCSEL technology to be exploited at these wavelengths [14.123]. These materials are grown in a metastable regime at a low growth temperature because of the miscibility gap in the alloys, so a less stable precursor than NH3 is needed. A nitrogen plasma source provides active N without the incorporation of hydrogen during growth associated with hydride sources, thus avoiding the deleterious formation of N–H bonds. Material with excellent crystallinity and strong PL at 1.3 µm can be obtained by optimizing growth conditions and using post-growth rapid thermal annealing [14.124]. A number of challenges remain, particularly in the higher N material required for longer wavelengths, including the limited solubility of N in GaAs and nonradiative defects caused by ion damage from the N plasma source. These challenges may be met by using GaInNAsSb; the addition of Sb significantly improves the epitaxial growth
References
299
and the material properties, and enhanced luminescence is obtained at wavelengths longer than 1.3 µm [14.125].
14.3.6 Conclusions MBE, historically seen as centered on GaAs-based electronic devices, has broadened its scope dramatically in both materials and devices. In addition to the materials described above, MBE has been used to grow epitaxial films of a wide range of semiconductors, including other III–V materials such as InGaAsP/InP and GaAsSb/InAsSb; silicon and silicon/germanium; II–VI materials such as ZnSe; dilute magnetic semiconductors such as GaAs:Mn [14.126] and other magnetic materials. It has also been used for the growth of metals, including epitaxial contacts for devices, oxides [14.127] and organic films [14.128]. Two clear advantages possessed by MBE are the wide range of analytical techniques compatible with a UHV system and the precise control of growth to less than a monolayer, which give it unrivaled ability to grow quantum dots and other nanostructures.
References 14.1 14.2 14.3 14.4
14.6 14.7
14.8 14.9
14.10 14.11
14.12 14.13 14.14
14.15 14.16 14.17 14.18 14.19
14.20
14.21 14.22
14.23 14.24 14.25 14.26
H. Yamane, M. Shimada, T. Sekiguchi, F. J. DiSalvo: J. Cryst. Growth 186, 8 (1998) C. Klemenz, H. J. Scheel: J. Cryst Growth 211, 62 (2000) A. Krier, H. H. Gao, V. V. Sherstinov: IEE Proc. Optoelectron 147, 217 (2000) E. R. Rubstov, V. V. Kuznetsov, O. A. Lebedev: Inorg. Mater. 34, 422 (1998) M. G. Mauk, Z. A. Shellenbarger, P. E. Sims, W. Bloothoofd, J. B. McNeely, S. R. Collins, P. I. Rabinowitz, R. B. Hall, L. C. DiNetta, A. M. Barnett: J. Cryst Growth 211, 411 (2000) J.-i. Nishizawa, K. Suto: Widegap II–VI Compounds for Optoelectronic Applications, ed. by H. E. Ruda (Chapman & Hall, London 1992) F. Sakurai, M. Motozawa, K. Suto, J.-i. Nishizawa: J. Cryst Growth 172, 75 (1997) M. G. Astles: Properties of Narrow Gap CadmiumBased Compounds, EMIS Datareview series, ed. by P. Capper (IEE, London 1994) pp. 13, 380 B. Pelliciari, J. P. Chamonal, G. L. Destefanis, L. D. Cioccio: Proc. SPIE 865, 22 (1987) P. Belca, P. A. Wolff, R. L. Aggarwal, S. Y. Yuen: J. Vac. Sci. Technol. A 3, 116 (1985) S. H. Shin, J. Pasko, D. Lo: Mater. Res. Soc. Symp. Proc. 89, 267 (1987) A. Wasenczuk, A. F. M. Willoughby, P. Mackett, E. S. O’Keefe, P. Capper, C. D. Maxey: J. Cryst. Growth 159, 1090 (1996)
Part B 14
14.5
D. Elwell, H. J. Scheel: Crystal Growth from HighTemperature Solutions (Academic, New York 1975) H. Nelson: RCA Rev. 24, 603 (1963) R. L. Moon: J. Cryst. Growth 170, 1 (1997) H. J. Scheel: The Technology of Crystal Growth and Epitaxy, ed. by H. J. Scheel, T. Fukuda (Wiley, Chichester 2003) P. Capper, T. Tung, L. Colombo: Narrow-Gap II–VI Compounds for Optoelectronic and Electromagnetic Applications, ed. by P. Capper (Chapman & Hall, London 1997) M. B. Panish, I. Hayashi, S. Sumski: Appl. Phys. Lett. 16, 326 (1970) M. G. Astles: Liquid Phase Epitaxial Growth of IIIV Compound Semiconductor Materials and their Device Applications (IOP, Bristol 1990) V. A. Dmitriev: Physica B 185, 440 (1993) T. Ciszek: The Technology of Crystal Growth and Epitaxy, ed. by H. J. Scheel, T. Fukuda (Wiley, Chichester 2003) M. I. Alonso, K. Winer: Phys. Rev. B 39, 10056 (1989) V. A. Dmitriev: Properties of Silicon Carbide, EMIS Datareview Series, ed. by G. L. Harris (IEE, London 1995) p. 214 Y. Mao, A. Krier: Mater. Res. Soc. Symp. Proc 450, 49 (1997) A. Krier, Z. Labadi, A. Manniche: J. Phys. D: Appl. Phys. 32, 2587 (1999) M. Mauk: private communication (2004)
300
Part B
Growth and Characterization
14.27 14.28 14.29
14.30 14.31
14.32 14.33 14.34 14.35 14.36
14.37 14.38
14.39
14.40
14.41 14.42
Part B 14
14.43 14.44 14.45 14.46 14.47 14.48
14.49 14.50 14.51 14.52 14.53
T. Tung, L. V. DeArmond, R. F. Herald: Proc. SPIE 1735, 109–134 (1992) P. W. Norton, P. LoVecchio, G. N. Pultz: Proc. SPIE 2228, 73 (1994) P. Capper, J. Gower, C. Maxey, E. O’Keefe, J. Harris, L. Bartlett, S. Dean: Growth and Processing of Electronic Materials, ed. by N. McN. Alford (IOM Communications, London 1998) C. C. Wang: J. Vac. Sci. Technol. B 9, 1740 (1991) T.N. Casselman, G.R. Chapman, K. Kosai, et al.: U.S. Workshop on Physics and Chemistry of MCT and other II-VI compounds, Dallas, TX (Oct. 1991) R. S. Patrick, A.-B. Chen, A. Sher, M. A. Berding: J. Vac. Sci. Technol. A 6, 2643 (1988) A. Rogalski: New Ternary Alloy Systems for Infrared Detectors (SPIE, Bellingham 1994) A. A. Chernov, H. J. Scheel: J. Cryst. Growth 149, 187 (1996) H. M. Manasevit, W. I. Simpson: J. Electrochem. Soc. 116, 1725 (1969) A. A. Chernov: Kinetic processes in vapor phase growth. In: Handbook of Crystal Growth, ed. by D. T. J. Hurle (Elsevier, Amsterdam 1994) G. B. Stringfellow: J. Cryst. Growth 115, 1 (1991) D. M. Frigo, W. W. van Berkel, W. A. H. Maassen, G. P. M. van Mier, J.H. Wilkie, A. W. Gal: J. Cryst. Growth 124, 99 (1992) S. Tompa, M. A. McKee, C. Beckham, P. A. Zwadzki, J. M. Colabella, P. D. Reinert, K. Capuder, R. A. Stall, P. E. Norris: J. Cryst. Growth 93, 220 (1988) X. Zhang, I. Moerman, C. Sys, P. Demeester, J. A. Crawley, E. J. Thrush: J. Cryst. Growth 170, 83 (1997) P. M. Frijlink, J. L. Nicolas, P. Suchet: J. Cryst. Growth 107, 166 (1991) D. W. Kisker, J. N. Miller, G. B. Stringfellow: Appl. Phys. Lett. 40, 614 (1982) C. A. Larson, N. I. Buchan, S. H. Li, G. B. Stringfellow: J. Cryst. Growth 93, 15 (1988) M. W. Raynor, V. H. Houlding, H. H. Funke, R. Frye, J. A. Dietz: J. Cryst. Growth 248, 77–81 (2003) R. M. Biefeld, R. W. Gedgridge Jr.: J. Cryst. Growth 124, 150 (1992) C. A. Wang, S. Salim, K. F. Jensen, A. C. Jones: J. Cryst. Growth 170, 55 (1997) S. Nakamura: Jpn. J. Appl. Phys. 30, 1620 (1991) A. Stafford, S. J. C. Irvine, K. Jacobs. Bougrioua, I. Moerman, E. J. Thrush, L. Considine: J. Cryst. Growth 221, 142 (2000) S. Keller, S. P. DenBaars: J. Cryst. Growth 248, 479 (2003) B. Cockayne, P. J. Wright: J. Cryst. Growth 68, 223 (1984) W. Bell, J. Stevenson, D. J. Cole-Hamilton, J. E. Hails: Polyhedron 13, 1253 (1994) J. Tunnicliffe, S. J. C. Irvine, O. D. Dosser, J. B. Mullin: J. Cryst. Growth 68, 245 (1984) S. Fujita, S. Fujita: J. Cryst. Growth 145, 552 (1994)
14.54 14.55 14.56
14.57 14.58 14.59 14.60 14.61 14.62 14.63 14.64 14.65 14.66 14.67 14.68 14.69 14.70 14.71 14.72 14.73 14.74 14.75 14.76 14.77 14.78 14.79 14.80 14.81 14.82 14.83 14.84 14.85
14.86 14.87
S. Fujita, A. Tababe, T. Sakamoto, M. Isemura, S. Fujita: J. Cryst. Growth 93, 259 (1988) S. J. C. Irvine, M. U. Ahmed, P. Prete: J. Electron. Mater. 27, 763 (1988) J. Wang, G. Du, B. Zhao, X. Yang, Y. Zhang, Y. Ma, D. Liu, Y. Chang, H. Wang, H. Yang, S. Yang: J. Cryst. Growth 255, 293 (2003) A. Y. Cho: J. Cryst. Growth 150, 1 (1995) C. T. Foxon: J. Cryst. Growth 251, 1–8 (2003) B. A. Joyce, T. B. Joyce: J. Cryst. Growth 264, 605 (2004) A. Y. Cho: Molecular Beam Epitaxy (AIP, New York 1994) E. H. C. Parker: The Technology and Physics of Molecular Beam Epitaxy (Plenum, New York 1985) B. A. Joyce, R. R. Bradley: Philos. Mag. 14, 289–299 (1966) J. R. Arthur: J. Appl. Phys. 39, 4032 (1968) A. Y. Cho: J. Vac. Sci. Technol. 8, 31 (1971) A. Y. Cho: Appl. Phys. Lett. 19, 467 (1971) J. W. Robinson, M. Ilegems: Rev. Sci. Instrum. 49, 205 (1978) P. A. Barnes, A. Y. Cho: Appl. Phys. Lett. 33, 651 (1978) W. T. Tsang: Appl. Phys. Lett. 34, 473 (1979) A. Y. Cho, K. Y. Cheng: Appl. Phys. Lett. 38, 360 (1981) L. L. Chang, L. Esaki, W. E. Howard, R. Ludeke: J. Vac. Sci. Technol. 10, 11 (1973) H. Sakaki: J. Cryst. Growth 251, 9 (2003) A. Y. Cho: J. Appl. Phys. 41, 2780 (1970) M. D. Pashley, K. W. Haberern, J. M. Woodall: J. Vac. Sci. Technol. 6, 1468 (1988) J. J. Harris, B. A. Joyce, P. J. Dobson: Surf. Sci. 103, L90 (1981) J. H. Neave, B. A. Joyce, P. J. Dobson, N. Norton: Appl. Phys. 31, 1 (1983) C. T. Foxon, M. R. Boudry, B. A. Joyce: Surf. Sci. 44, 69 (1974) J. R. Arthur: Surf. Sci. 43, 449 (1974) C. T. Foxon, J. A. Harvey, B. A. Joyce: J. Phys. Chem. Solids 34, 1693 (1973) C. T. Foxon, B. A. Joyce: Surf. Sci. 50, 434 (1975) C. T. Foxon, B. A. Joyce: Surf. Sci. 64, 293 (1977) E. S. Tok, J. H. Neave, J. Zhang, B. A. Joyce, T. S. Jones: Surf. Sci. 374, 397 (1997) A. Y. Cho, J. R. Arthur: Prog. Solid State Chem. 10(3), 157–191 (1975) C. T. Foxon, B. A. Joyce: J. Cryst. Growth 44, 75 (1978) C. T. Foxon, B. A. Joyce, M. T. Norris: J. Cryst. Growth 49, 132 (1980) M. A. Herman, H. Sitter: Molecular Beam Epitaxy, Springer Ser. Mater. Sci., Vol. 7 (Springer, Berlin, Heidelberg 1988) p. 7 J. Saito, K. Nambu, T. Ishikawa, K. Kondo: J. Cryst. Growth 95, 322 (1989) M. Bafleur, A. Munoz-Yague, A. Rocher: J. Cryst. Growth 59, 531 (1982)
Epitaxial Crystal Growth: Methods and Materials
14.88 14.89 14.90 14.91 14.92
14.93 14.94 14.95 14.96 14.97 14.98 14.99 14.100 14.101 14.102 14.103 14.104
14.105 14.106 14.107
14.109
14.110 C. R. Stanley, M. C. Holland, A. H. Kean, J. M. Chamberlain, R. T. Grimes, M. B. Stanaway: J. Cryst. Growth 111, 14 (1991) 14.111 H. G. B. Hicks, D. F. Manley: Solid State Commun. 7, 1463 (1969) 14.112 C. T. Foxon, J. J. Harris, D. Hilton, J. Hewett, C. Roberts: Semicond. Sci. Technol. 4, 582 (1989) 14.113 K. Ploog: J. Cryst. Growth 81, 304 (1987) 14.114 H. Tanaka, M. Mushiage: J. Cryst. Growth 111, 1043 (1991) 14.115 J. Miller: III–Vs Rev. 4(3), 44 (1991) 14.116 D. Bimberg, M. Grundmann, F. Heinrichsdorff, N. N. Ledentsov, V. M. Ustinov, A. R. Korsh, M. V. Maximov, Y. M. Shenyakov, B. V. Volovik, A. F. Tsatsalnokov, P. S. Kopiev, Zh. I. Alferov: Thin Solid Films 367, 235 (2000) 14.117 S. Nakamura, T. Mukai, M. Senoh: Appl. Phys. Lett. 64(13), 1689 (1994) 14.118 S. Nakamura, M. Senoh, S. Nagahama, N. Iwasa, T. Yamada, T. Matsushita, H. Kiyoku, Y. Sugimoto: Jpn. J. Appl. Phys. 35, 74 (1996) 14.119 H. Morkoç: J. Mater. Sci. Mater. El. 12, 677 (2001) 14.120 S. E. Hooper, M. Kauer, V. Bousquet, K. Johnson, J. M. Barnes, J. Heffernan: Electron. Lett. 40(1), 33 (2004) 14.121 N. Grandjean, M. Leroux, J. Massies, M. Laügt: Jpn. J. Appl. Phys. 38, 618 (1999) 14.122 M. Kondow, K. Uomi, A. Niwa, T. Kitatani, S. Watahiki, Y. Yazawa: Jpn. J. Appl. Phys. 35, 1273 (1996) 14.123 H. Riechert, A. Ramakrishnan, G. Steinle: Semicond. Sci. Technol. 17, 892 (2002) 14.124 M. Kondow, T. Kitatani: Semicond. Sci. Technol. 17, 746 (2002) 14.125 J. S. Harris, S. R. Bank, M. A. Wistey, H. B. Yuen: IEE Proc. Optoelectron. 151(5), 407 (2004) 14.126 H. Ohno: J. Cryst. Growth 251, 285 (2003) 14.127 H. J. Osten, E. Bugiel, O. Kirfel, M. Czernohorsky, A. Fissel: J. Cryst. Growth 278, 18 (2005) 14.128 F.-J. Meyer zu Heringdolf, M. C. Reuter, R. M. Tromp: Nature 412, 517 (2001)
301
Part B 14
14.108
Y. G. Chai, R. Chow: Appl. Phys. Lett. 38, 796 (1981) C. E. C. Wood, L. Rathburn, H. Ohmo, D. DeSimone: J. Cryst. Growth 51, 299 (1981) S. Izumi, N. Hayafuji, T. Sonoda, S. Takamiya, S. Mitsui: J. Cryst. Growth 150, 7 (1995) J. H. Neave, P. Blood, B. A. Joyce: Appl. Phys. Lett. 36(4), 311 (1980) C. R. Stanley, R. F. C. Farrow, P. W. Sullivan: The Technology and Physics of Molecular Beam Epitaxy, ed. by E. H. C. Parker (Plenum, New York 1985) M. B. Panish: J. Electrochem. Soc. 127, 2729 (1980) A. R. Calawa: Appl. Phys. Lett. 38(9), 701 (1981) E. Veuhoff, W. Pletschen, P. Balk, H. Luth: J. Cryst. Growth 55, 30 (1981) N. Putz, E. Veuhoff, H. Heinicke, H. Luth, P. J. Balk: J. Vac. Sci. Technol. 3(2), 671 (1985) W. T. Tsang: Appl. Phys. Lett. 45(11), 1234 (1984) W. T. Tsang: J. Vac. Sci. Technol. B 3(2), 666 (1985) W. T. Tsang: Appl. Phys. Lett. 49(3), 170 (1986) T. H. Chiu, W. T. Tsang, J. E. Cunningham, A. Robertson: J. Appl. Phys. 62(6), 2302 (1987) W. T. Tsang, R. C. Miller: Appl. Phys. Lett. 48(19), 1288 (1986) J. S. Foord, C. L. Levoguer, G. J. Davies, P. J. Skevington: J. Cryst. Growth 136, 109 (1994) M. Weyers, J. Musolf, D. Marx, A. Kohl, P. Balk: J. Cryst. Growth 105, 383–392 (1990) R. J. Malik, R. N. Nottenberg, E. F. Schubert, J. F. Walker, R. W. Ryan: Appl. Phys. Lett. 53, 2661 (1988) F. Lelarge, J. J. Sanchez, F. Gaborit, J. L. Gentner: J. Cryst. Growth 251, 130 (2003) A. Y. Cho: J. Appl. Phys. 50, 6143 (1979) R. A. Stall, C. E. C. Wood, P. D. Kirchner, L. F. Eastman: Electron. Lett. 16, 171 (1980) R. Dingle, C. Weisbuch, H. L. Stormer, H. Morkoc, A. Y. Cho: Appl. Phys. Lett. 40, 507 (1982) G. B. Stringfellow, R. Stall, W. Koschel: Appl. Phys. Lett. 38, 156 (1981)
References
303
Narrow-Band
15. Narrow-Bandgap II–VI Semiconductors: Growth
The field of narrow-bandgap II–VI semiconductors is dominated by the compound Hg1−x Cdx Te (CMT), although some Hg-based alternatives to this ternary have been suggested. The fact that CMT is still the preeminent infrared (IR) material stems, in part, from the fact that the material can be made to cover all IR regions of interest by varying the x value. In addition, the direct band transitions in this material result in large absorption coefficients, allowing quantum efficiencies to approach 100%. Long minority carrier lifetimes result in low thermal noise, allowing high-performance detectors to be made at the highest operating temperatures reported for infrared detectors of comparable wavelengths. This chapter covers the growth of CMT by various bulk growth techniques (used mainly for firstgeneration infrared detectors), by liquid phase epitaxy (used mainly for second-generation infrared detectors), and by metalorganic vapor phase and molecular beam epitaxies (used mainly for third-generation infrared detectors, including two-color and hyperspectral detectors). Growth on silicon substrates is also discussed.
Bulk Growth Techniques ...................... 15.1.1 Phase Equilibria ........................ 15.1.2 Crystal Growth .......................... 15.1.3 Material Characterization ...........
304 304 304 306
15.2
Liquid-Phase Epitaxy (LPE) ................... 15.2.1 Hg-Rich Growth ........................ 15.2.2 Te-Rich Growth......................... 15.2.3 Material Characteristics ..............
308 309 309 311
15.3
Metalorganic Vapor Phase Epitaxy (MOVPE) .............................................. 15.3.1 Substrate Type and Orientation ... 15.3.2 Doping..................................... 15.3.3 In Situ Monitoring .....................
312 315 316 317
15.4 Molecular Beam Epitaxy (MBE) .............. 15.4.1 Double-Layer Heterojunction Structures................................. 15.4.2 Multilayer Heterojunction Structures................................. 15.4.3 CMT and CdZnTe Growth on Silicon .................................
317 319 319 319
15.5 Alternatives to CMT .............................. 320 References .................................................. 321
ied semiconductor after silicon and gallium arsenide. This chapter covers the growth and characterization of CMT, mainly concentrating on the x region between 0.2 and 0.4 where the majority of applications are satisfied, and some of the Hg-based alternative ternary systems first described by Rogalski. The detectors made from these materials will be described in the chapter by Baker in this Handbook Chapt. 36. In the first section the growth of CMT by various bulk techniques is reviewed. These include solid state recrystallization (SSR), Bridgman (plus ACRT, the accelerated crucible rotation technique), and the travelling heater method (THM). Despite the major advances made over the last three decades in the various epitaxial processes (liquid phase epitaxy, LPE, metalorganic vapor phase epitaxy, MOVPE, and molecular beam epitaxy, MBE), which are discussed in subsequent sections, bulk
Part B 15
The field of narrow-bandgap II–VI semiconductors is dominated by Hg1−x Cdx Te (CMT) (although some Hgbased alternatives to this ternary have been suggested and are discussed by Rogalski [15.1]). The reason that CMT is still the main infrared (IR) material is at least partially because this material can be made to cover all IR regions of interest by varying the value of x appropriately. This material also has direct band transitions that yield large absorption coefficients, allowing the quantum efficiency to approach 100% [15.2,3]. Furthermore, long minority carrier lifetimes result in low thermal noise allowing high-performance detectors to be made at the highest operating temperatures reported for infrared detectors of comparable wavelengths. These three major advantages all stem from the energy band structure of the material and they apply whatever device architecture is used. It has been shown that CMT is the third most stud-
15.1
Narrow-Bandgap II–VI Semiconductors: Growth
Periodic Table. This is true in SSR material for Group V and VII elements only after a high-temperature treatment, and is linked to the stoichiometry level at the growth temperature (in other words, those elements that substitute on Te lattice sites have to be forced onto the correct sites in Te-rich material). Group I and III elements are acceptors and donors, respectively, on the metal sites. There is evidence that some Group I elements can migrate at low temperatures to grain boundaries or to the surface of samples [15.21]. In ACRT crystals, Groups I and III are acceptors and donors, respectively, on the metal sites, as they are in Bridgman, with the exception of Au [15.21]. Groups V and VII are inactive dopants in those portions of ACRT crystals that are Te-rich as-grown (x < 0.3), but are active dopants for x > 0.3 where metal-rich conditions prevail, as found in Bridgman material. In doped material, grown by either standard Bridgman or ACRT, acceptor ionization energies were found to be lower than undoped counterparts [15.21]. Segregation of impurities in SSR is very limited due to the initial fast quench step. By contrast, Bridgman and THM benefit from marked segregation of impurities due to their relatively slow growth rate. Impurity segregation behavior was affected by ACRT [15.21], in general, segregation coefficients decrease in ACRT crystals, when compared to standard Bridgman. This segregation leads to very low levels of impurities in both THM [15.25] and Bridgman/ACRT material [15.42]. Vere [15.43] has reviewed structural properties and noted grains, subgrains, dislocations, Te precipitates and impurities on dislocations as major problems. Grain boundaries act as recombination centers and generate noise and dark current in devices. Subgrain sizes cover 50–500 µm in both SSR and Bridgman material. Williams and Vere [15.44] showed how a recrystallization step at high pressure and temperatures of > 600 ◦ C coalesces subgrains and eventually eliminates them. Tellurium precipitation was extensively studied [15.44, 45] and was summarized in [15.44]. Precipitates were found to nucleate on dislocations during the quench from the recrystallization step but a 300 ◦ C anneal dissolves the precipitates, generating dislocation loops that climb and lead to dislocation multiplication. Quenching studies in Bridgman/ACRT crystals grown in flat-based ampoules [15.21] revealed not only a flat growth interface but also that the slow-grown material produced prior to quenching was single crystal. This demonstrated the power of Ekman stirring and the importance of initiating the growth of a single crystal grain. In Bridgman material, which is close
307
Part B 15.1
Triboulet et al. [15.10] quoted values of ±0.02 (over 3 cm at x = 0.2) and ±0.002 for ∆A(x) and ∆R(x), respectively, for THM growth at 0.1 mm/h. Corresponding figures from Colombo et al. [15.16] for incremental-quenched starting material and a similar growth rate of 2 mm/d were ±0.01 and ±0.005. For the very slow grown material produced by Gille et al. [15.28], variations in composition were within experimental error for ∆R(x) while ∆A(x) was ±0.005. Royer et al. [15.30] obtained improved radial uniformity with the addition of ACRT to THM, but in the work of Bloedner and Gille [15.31] both ∆A(x) and ∆R(x) were only as good as non-ACRT crystals. With regard to electrical properties, as-grown materials from SSR, ACRT Bridgman (with x < 0.3) and THM are highly p-type in nature, believed to be due to metal vacancies. These types of materials can all be annealed at low temperatures, in the presence of Hg, to low n-type levels, indicating that the p-type character is due to metal vacancies. By contrast, Bridgman material is n-type as-grown [15.21] and the residual impurity donor level is found to be < 5 × 1014 cm−3 . Higgins et al. [15.18] have shown that n-type carrier concentrations can be < 1014 cm−3 at x ≈ 0.2 in melt-grown material. A great deal of work has been done on the annealing behavior of SSR material, see Tregilgas [15.19]. n-type levels of 2 × 1014 cm−3 after Hg annealing x = 0.2 and higher-x material were reported by Nguyen Duy et al. [15.26], while Colombo et al. [15.16] quote 4 × 1014 cm−3 . Durand et al. [15.37] note that THM growth at 600 ◦ C results in p-type behavior, but growth at 700 ◦ C gives n-type material. In this author’s laboratory, current 20 mm-diameter ACRT material has reached mid-1013 cm−3 levels with high mobility after a normal low-temperature Hg anneal step. This is thought to reflect the improvements made in the purity of starting elements over the recent years. The basic p to n conversion process [15.19] has recently been extended by Capper et al. [15.38] to produce analytical expressions that can account for the temperature, donor level and composition dependencies of the junction depth. High minority n-type carrier lifetimes have been reported in all three types of material. Kinch [15.39] noted values > 1 µs (at 77 K) in x = 0.2 SSR crystals, while Triboulet et al. [15.10] quote 3 µs in THM material. Pratt and coworkers [15.40,41] found high lifetimes, up to 8 µs in x = 0.23 Bridgman and ACRT material with n-type levels of 1–6 × 1014 cm−3 , and up to 30 µs for equivalent x = 0.3 material (192 K). In terms of extrinsic doping, most elements are electrically active in accordance with their position in the
15.1 Bulk Growth Techniques
Narrow-Bandgap II–VI Semiconductors: Growth
implemented by using both tellurium- and mercury-rich solutions, whereas only tellurium-rich solutions have been used with the sliding boat. Both dipping and sliding boat Te-rich techniques are still in widespread use. Extensive experimental phase diagram and thermodynamic data have been critically reviewed, along with the results calculated by the associated solution model [15.49]. As in bulk growth, full knowledge of the solid–liquid phase relation is essential for proper use of solution-growth processes. In addition, the solid–vapor and liquid–vapor phase relations are of practical importance, especially in view of the high Hg pressure in the growth process and the effect of the vapor of constituent components upon post-growth annealing and the consequent electrical properties. Astles [15.50] reviewed the experimental data on Te-rich LPE growth at 460 to 550 ◦ C.
15.2.1 Hg-Rich Growth
309
In normal operation, the high-purity melt components are introduced into the clean melt vessel at room temperature and the system is sealed, evacuated and pressurized. The temperature of the furnace is raised above the predicted melting point and held constant until all of the solute dissolves. The amount of material removed from the melt during each growth run is relatively insignificant. Optimum layer smoothness occurs on polished lattice-matched CdZnTe substrates oriented close to the plane. Growth begins by lowering the paddle plus substrates into the melt and allowing thermal equilibrium to be reached while stirring. A programmed ramp then reduces the melt temperature to the required level, at which point the shutters are opened and the substrates are exposed to the melt. The growth rate and layer thickness are determined mainly by the exposure temperature relative to the saturation point and the total growth range. The composition of the layer and its variation are determined mainly by the melt composition and its thermal uniformity. Large melts allow the production of layer areas of up to 30 cm2 with excellent compositional and thickness uniformity, and allow dopant impurities to be accurately weighed for incorporation into layers and to maintain stable electrical characteristics over a long period of time. Four layers with a total area of 120 cm2 can be grown in a single run [15.51]. Norton et al. [15.52] have also scaled-up for the growth of cap layers from Hg-rich solutions, with each reactor capable of growth on four 24 cm2 base layers per run.
15.2.2 Te-Rich Growth A number of problems encountered with bulk crystal growth techniques are solved using CMT growth from tellurium-rich solution. The most important of these is the reduction of the Hg vapor pressure over the liquid by almost three orders of magnitude at the growth temperature. Growth from Te-rich solutions is used in three embodiments: dipping, tipping and sliding boat technologies (Fig. 15.4). While the tipping process may be used for low-cost approaches, it is not as widely used as the sliding boat and the dipping techniques. A comparison of the three techniques is shown in Table 15.1. Current dipping reactors are capable of growing in excess of 60 cm2 per growth run and are kept at temperature for long periods, > 6 months. Melts, on the other hand, last a very long time, > 5 years. A sensorbased reactor capable of growing CMT thick layers at relatively high production volumes and with excellent
Part B 15.2
For CMT growth from Hg-rich melts, the design and operation of a system is dominated by the consideration of the high vapor pressure of Hg, which comprises ≥ 90% of the growth solution. A secondary but related factor is the requirement to minimize melt composition variation during and between growths due to solvent or solute loss. These factors led to the evolution of a vertical highpressure furnace design with a cooled reflux region. The furnace has to provide a controllable, uniform and stable thermal source for the melt vessel, which has to be capable of maintaining at least 550 ◦ C continuously. The cylindrical melt vessel consists of a high-strength stainless steel chamber lined with quartz. Such systems are capable of containing about 10–20 kg of melt at 550 ◦ C for several years with no degradation in melt integrity or purity. The system must be pressurized and leak-free to keep the Hg-rich melt from boiling or oxidizing at temperatures above 360 ◦ C. Typical pressures range up to 200 psi and the pressurization gas may be high-purity H2 or a less explosive reducing gas mixture containing H2 . The melts are always kept saturated and are maintained near to the growth temperature and pressure between successive runs. The prepared substrates are introduced into the melt through a transfer chamber or air lock. A high-purity graphite paddle with externally actuated shutters holds the substrates. The paddle with the shutters closed is not gas-tight but protects the substrates from undue exposure to Hg vapor/droplets, or other condensing melt components. The paddle assembly can be lowered into the melt and rotated to stir it.
15.2 Liquid-Phase Epitaxy (LPE)
Narrow-Bandgap II–VI Semiconductors: Growth
LPE solution and that allows the solution to be brought into contact with the substrate and then wiped off after growth. The main advantages of the technique are the efficient use of solution and the possibility of growing multilayers. The main disadvantages are the need for careful machining of the boat components in order to obtain efficient removal of the solution after growth and the need for precisely sized substrate wafers to fit into the recess in the boat. The sliding boat growth process has several variants, but essentially a polished substrate is placed into the well of a graphite slider and the Te-rich solution is placed into a well in the body of the graphite boat above the substrate and displaced horizontally from it. Normally, a separate well contains the HgTe charge to provide the Hg vapor pressure needed during growth and during cool-down to control the stoichiometry. The boat is then loaded into a silica tube that can be flushed with nitrogen/argon prior to the introduction of H2 for the growth phase. The furnace surrounding the work tube is slid over the boat, and the temperature is increased to 10–20 ◦ C above the relevant liquidus. At that point, a slow temperature ramp (2–3 ◦ C/h) is initiated, and when the temperature is close to the liquidus of the melt the substrate is slid under the melt and growth commences. After the required thickness of CMT has been deposited (typical growth rates are 9–10 µm/h), the substrate is withdrawn and the temperature is decreased to an annealing temperature (to fix the p-type level in the as-grown material) before being reduced rapidly to room temperature. Layer thicknesses of 25–30 µm are normally produced for loophole diode applications [15.56].
15.2.3 Material Characteristics
control is about ±15% for layers of < 20 µm due to the relatively large amount of solidified material. For Te-rich sliding-boat LPE, layers of ≈ 30 µm thickness can show wavelength uniformity at room temperature of 6.5 ± 0.05 µm over 90% of the area of 20 × 30 mm layers [15.57]. The ease of decanting the Hg-rich melt after layer growth results in smooth and specular surface morphology if a precisely oriented, lattice-matched CdZnTe substrate is used. Epitaxial growth reproduces the substructure of the substrate, especially in the case of homoepitaxy. The dislocation density of LPE CMT and its effects on device characteristics have received much attention [15.58, 59]. The dislocation density is dominated mainly by the dislocations of the underlying substrate [15.60]. For layers grown on substrates with ZnTe ≈ 3 − 4%, the dislocations are present only in the interface region and the dislocation density is close to that of the substrate. For layers grown on substrates with ZnTe > 4.25%, dislocation generation is observed within a region of high lattice parameter gradient. The same variation of dislocation density with depth is seen for sliding-boat LPE material [15.56]
typical values are 3–7 × 104 cm−2 . For the production of heterostructure detectors with CMT epitaxial layers, it is essential that proper impurity dopants be incorporated during growth to form wellbehaved and stable p–n junctions. An ideal impurity dopant should have low vapor pressure, low diffusivity, and a small impurity ionization energy. Group V and Group III dopants – As and Sb for p-type and In for n-type – are the dopants of choice. Hg-rich melts can be readily doped to produce n- and p-type layers; the solubilities of most of the useful dopants are significantly higher than in Te-rich solutions, most notably for Group V dopants, which are among the most difficult to incorporate into CMT. Accurate determinations of dopant concentration in the solid involve the use of Hall effect measurements and secondary ion mass spectrometry (SIMS) concentration profiles. Measurements on the same sample by the two techniques are required to unequivocally substantiate the electrical activity of impurity dopants. The ease of incorporating Group I and Group III dopants into CMT, irrespective of non-stoichiometry, has been confirmed experimentally [15.5, 61]. The excess carrier lifetime is one of the most important material characteristics of CMT since it governs the device performance and frequency response. The objective is to routinely produce material with a lifetime that
311
Part B 15.2
Good composition uniformity, both laterally and in depth, is essential in order to obtain the required uniform device performance. Growth parameters that need to be optimized in Hg-rich LPE include the degree of supercooling and mixing of the melt, the geometrical configuration of the growth system, the melt size and the phase diagram. The standard deviation of the cutoff wavelength, for 12-spot measurements by Fourier transform infrared (FTIR) transmission at 80 K across a 30 cm2 LWIR layer, is reported as 0.047. Composition control and the uniformity of layers grown by dipping Te-rich LPE is one of the strengths of this process. The cut-off wavelength reproducibility is typically 10.05 ± 0.18 µm. Dipping Te-rich LPE is mainly used to grow thick films, about 100 µm, hence thickness control is not one of its advantages. Thickness
15.2 Liquid-Phase Epitaxy (LPE)
312
Part B
Growth and Characterization
is limited by Auger processes, or by the radiative process in the case of the medium-wavelength infrared (MWIR) and short-wavelength infrared (SWIR) material [15.62]. It has been reported that intentionally impurity-doped LPE CMT material grown from As-doped Hg-rich melts can be obtained with relatively high minority carrier lifetimes [15.63]. The 77 K lifetimes of As-doped MWIR (x = 0.3) CMT layers are significantly higher than those of undoped bulk CMT and are within a factor of two of theoretical radiative lifetimes. Various annealing schedules have been proposed recently [15.38] that may lead to a reduction in Shockley–Read traps with a consequent increase in lifetime, even in undoped material. Lifetimes in In-doped MWIR CMT were also found to exhibit an inverse linear dependence on the doping concentration [15.63], with Nd τ products similar to Na τ products observed for the As-doped material. The lifetimes of LWIR In-doped LPE material are typically limited by the Auger process at doping levels above 1015 cm−3 . The first heterojunction detectors were formed in material grown by Hg-rich LPE [15.64]. For doublelayer heterojunctions (DLHJ), a second LPE (cap) layer is grown over the first (base) layer. With dopant types and layer composition controlled by the LPE growth process, this approach offers great flexibility (p-on-n or n-on-p) in junction type and in utilizing heterojunction formation between the cap and absorbing base layers to optimize detector performance. The key step in the process is to grow the cap layer doped with slow-diffusing impurities,
In for an n-type cap layer, and As or Sb for a p-type cap layer. For future large-area FPAs, Si-based substrates are being developed as a replacement for bulk CdZnTe substrates. This effort is directed at improvements in substrate size, strength, cost and reliability of hybrid FPAs, particularly during temperature cycling. These alternative substrates, which consist of epitaxial layers of CdZnTe or CdTe on GaAs/Si wafers [15.65] or directly onto Si wafers [15.66], are particularly advantageous for the production of large arrays. High-quality epitaxial CMT has been successfully grown on the Sibased substrates by the Hg-melt LPE technology for the fabrication of p-on-n DLHJ detectors. The first highperformance 128 × 128 MWIR and LWIR arrays were demonstrated by Johnson et al. [15.67]. MWIR arrays as large as 512 × 512 and 1024 × 1024 have also been produced [15.68]. A bias-selectable two-color (LWIR/MWIR) detector structure was first fabricated by growing three LPE layers from Hg-rich melts in sequence on a bulk CdZnTe substrate [15.68]. The structure forms an n-p-n triple-layer graded heterojunction (TLHJ) with two p-n junctions, one for each spectral band (color). Destefanis et al. [15.69] have recently described their work on large-area and long linear FPAs based on Te-rich sliding-boat LPE material. Using a 15 µm pitch they were able to produce 1000 × 1000 MW arrays of photodiodes and 1500 × 2 MW and LW long linear arrays.
15.3 Metalorganic Vapor Phase Epitaxy (MOVPE)
Part B 15.3
Metalorganic vapor phase epitaxy (MOVPE) of CMT is dominated by the relatively high vapor pressures of mercury that are needed to maintain equilibrium over the growing film. This arises from the instability of HgTe compared with CdTe, and requires much lower growth temperatures than are usual for more stable compounds. The MOVPE process was developed as a vapor phase method that would provide sufficient control over growth parameters at temperatures below 400 ◦ C, the main advantage being that the elements (although not mercury) can be transported at room temperature as volatile organometallics and react in the hot gas stream above the substrate or catalytically on the substrate surface. The first mercury chalcogenide growth by MOVPE [15.70,71] was followed by intense research activity that has brought the technology to its current state of maturity.
Although the MOVPE reaction cell conditions are far from equilibrium, an appreciation of the vapor–solid equilibrium can determine the minimum conditions needed for growth. This is particularly important with the mercury chalcogenides, where the relatively weak bonding of mercury causes a higher equilibrium vapor pressure. The equilibrium pressures of the component elements are linked and there is a range of pressures over which the solid remains in equilibrium as a single phase. At MOVPE growth temperatures, the pressure can vary by three orders of magnitude and remain in equilibrium with a single phase of HgTe. However, the Te2 partial pressure varies across the phase field in the opposite sense to the Hg partial pressure. The maintenance of vapor pressure equilibrium within the reactor cell does not automatically lead to the growth of an epilayer, but it does enable us to elimi-
Narrow-Bandgap II–VI Semiconductors: Growth
(Fig. 15.7a) there is a gradual decrease in composition from upstream to downstream such that the compositional uniformity was within ±0.022 in x. The thickness uniformity was typically ±5 − 6%. However, by introducing substrate rotation, the uniformity improved dramatically such that, to within a few millimeters of the wafer edge, the composition was uniform to within ±0.004 (Fig. 15.7b). The thickness uniformity also improved to within ±2 − 3%. This was compatible with the production of twelve sites of large-format 2-D arrays (640 × 512 diodes on 24 µm pitch) per layer or larger numbers of smaller arrays, and was comparable with the uniformity achieved by Edwall [15.76]. In the past, other workers have established MOVPE reactor designs capable of large-area uniform growth of CMT on 3 inch wafers [15.76, 77] but these activities have now ceased as attention has focused on MBE growth techniques. The usual methods for determining depth uniformity of a CMT layer are sharpness of the infrared absorption edge and SIMS depth profiles (in particular looking at the Te125 secondary ion). The results from these techniques indicate that the IMP structure is fully diffused for IMP periods of the order of 1000 Å and growth temperatures in the range of 350 to 400 ◦ C unless the surface becomes faceted during growth, when microinhomogeneities may occur [15.78].
15.3.1 Substrate Type and Orientation
substrates have a much lower yield. MOVPE-grown CdTe also twins on the (111), and these twins will propagate through an entire structure. However, CMT growth on the (111)B face is very smooth for layers up to 20 µm thick, which is adequate for infrared detector structures. The majority of MOVPE growth has concentrated on orientations close to (100), normally with a misorientation to reduce the size of macrodefects, known as hillocks or pyramids. Large Te precipitates can intersect the substrate surface and nucleate macrodefects. In a detailed analysis of the frequency and shapes of defects on different misorientations, it was concluded [15.79] that the optimum orientation was (100) 3−4◦ towards the (111)B face. The presence of macrodefects is particularly critical for focal plane arrays, where they cause one or more defective pixels per defect. An alternative approach has been to use the (211)B orientation. In this case the surface appears to be free of macrodefects and is sufficiently misoriented from the (111) to avoid twinning. Dislocation densities of 105 cm−2 have been measured in CMT grown by IMP onto CdZnTe (211)B substrates [15.76], and diffusion-limited detectors have been fabricated using this orientation [15.80]. The alternative lattice-mismatched substrates were investigated as a more producible alternative to the variable quality of the CdTe family of substrates. As the CMT arrays must be cooled during operation, there is the risk that the differential thermal contraction between the substrate and multiplexer will break some of the indium contacting columns. The ideal substrate from this point of view is of course silicon, but the initial quality of heteroepitaxy with 20% lattice mismatch was poor. One of the most successful alternative substrate technologies has been the Rockwell PACE-I (producible alternative to CdTe epitaxy) which uses c-plane sapphire with a CdTe buffer layer grown by MOVPE and a CMT detector layer grown by LPE. The sapphire substrates absorb above 6 µm and can only be used for the 3–5 µm waveband. However, even with careful substrate preparation, a buffer layer thicker than 5 µm is needed to avoid contamination of the active layer. GaAs has been the most extensively used alternative substrate, which has been successfully used to reduce the macrodefect density to below 10 cm−2 , and X-ray rocking curve widths below 100 arcs have been obtained [15.81]. Due to the large lattice mismatch, the layer nucleates with rafts of misfit dislocations that relieve any strain. The main cause of X-ray rocking curve broadening is the tilt associated with a mosaic structure that arises from the initial island growth.
315
Part B 15.3
The search for the correct substrate material and orientation has been a major area of research in CMT because it is a limiting factor in the quality of the epilayers. Essentially, there are two categories of substrates: (i) lattice-matched II–VI substrates and (ii) non-latticematched ‘foreign substrates’. Examples of the former are CdZnTe and CdSeTe, where the alloy compositions are tuned to the lattice parameter of the epilayer. Non-lattice-matched substrates include GaAs, Si and sapphire. The lattice mismatches can be up to 20% but, remarkably, heteroepitaxy is still obtained. The need for a ternary substrate to avoid substantial numbers of misfit dislocations has made the development of the CdTebased substrate more complex. The small mismatch with CdTe substrates (0.2%) is sufficient to increase the dislocation density to greater than 106 cm−2 , comparable with some layers on CdTe-buffered GaAs, where the mismatch is 14% [15.76]. An additional problem encountered with the lattice-matched substrates is the lamella twins that form on (111) planes in Bridgmangrown crystals. It is possible to cut large (4 × 6 cm) (111)-oriented substrates parallel to the twins, but (100)
15.3 Metalorganic Vapor Phase Epitaxy (MOVPE)
318
Part B
Growth and Characterization
Fig. 15.10 CMT MBE growth facility. (After O.K. Wu et al., in [15.4] p. 97)
Part B 15.4
Hg employed for the growth of CMT by MBE, suggesting that CMT growth occurs on the Te-rich side of the phase boundary, and RHEED studies confirm this. Although Hg is the more mobile species, which is likely to attach at step edges, sufficient mobility of the Cd species is critical for the growth of high-quality films. The CMT alloy composition can be readily varied by choosing the appropriate beam-flux ratio. Over the range from x = 0.2 − 0.50, excellent control of composition can be achieved readily by varying the CdTe source flux with a constant flux of Hg at 3 × 10−4 mbar and Te at 8 × 10−7 mbar during the MBE growth [15.91]. The most widely used n-type dopant for CMT alloys during MBE growth is indium [15.94, 95]. The In concentration can be varied from 2 × 1015 to 5 × 1018 cm−3 by adjusting the In cell temperature (450–700 ◦ C) with no evidence of a memory effect. The doping efficiency of In was almost 100%, evident from the Hall measurement and secondary ion mass spectrometry (SIMS) data, for carrier concentrations < 2 × 1018 cm−3 . As in several bulk growth techniques, and in LPE and MOVPE processes, donor doping is seen to be much easier than acceptor doping in MBE growth. A critical issue when growing advanced CMT structures is the ability to grow high-quality p-type materials in situ. As, Sb, N, Ag and Li have all been used as acceptors during MBE growth of CMT, with varying degrees of success [15.96]. Most data available is centered on the use of arsenic, and two approaches have been investigated. The first approach is based on photoassisted MBE to enable high levels of p-type As-doping of CdTe [15.95]. For As-doping during composition-
ally modulated structure growth, only the CdTe layers in a CdTe-CMT combination are doped, as in MOVPE growth. Since the CdTe does not contain Hg vacancies, and is grown under cation-rich conditions, the As is properly incorporated onto the Te site and its concentration is proportional to the As flux. The structure then interdiffuses after annealing at high temperature to remove residual Hg vacancies, resulting in p-type, homogeneous CMT. The main disadvantage of this approach is that it requires a high-temperature anneal that results in reduced junction and interface control. An alternative approach is to use cadmium arsenide and correct Hg/Te ratios to minimize Hg vacancies during CMT growth [15.94]. As a result, the As is directed to the Group VI sublattice to promote efficient p-type doping. The main growth parameters that determine the properties of As-doped p-type CMT are the growth temperature and Hg/Te flux ratio. A comparison of the net hole concentration and the SIMS measurement indicates that the electrical activity of the As acceptors exceeds 60%. Lateral compositional and thickness uniformity, evaluated by nine-point FTIR measurements, were performed on a 2.5 × 2.5 cm2 sample, and the results showed that the average alloy composition and thickness were x = 0.219 ± 0.0006 and t = 8.68 ± 0.064 µm, respectively [15.91]. The surface morphology of CMT layers is important from a device fabrication point of view. Scanning electron microscopy (SEM) studies indicate that surface morphology of MBE-grown CMT alloys is very smooth for device fabrication, except for occasional small undulations (< 1 µm). The excellent crystal quality of CMT layers grown by MBE is illustrated by X-ray rocking curve data for a LWIR double-layer heterojunction structure. The In-doped n-type (about 8 µm thick) base layer peak has a width of < 25 arcs and is indistinguishable from the CdZnTe substrate. Because the As-doped p-type cap layer is much thinner (about 2 µm) and has a different alloy composition, its peak is broader (45 arcs), but the X-ray FWHM width still indicates high quality [15.91]. Other material properties such as minority carrier lifetime and etch pit density of the material are important for device performance. The lifetime of the photoexcited carriers is among the most important, since it governs the diode leakage current and the quantum efficiency of a detector. In the case of In-doped n-type layers (x = 0.2 − 0.3), results show that the lifetime ranges from 0.5–3 µs depending upon the x value and carrier concentration. Measured
320
Part B
Growth and Characterization
ical strength and at substantially lower cost. The use of Si substrates also avoids potential problems associated with outdiffusion of fast-diffusing impurities, such as Cu, that has been identified [15.105] as a recurring problem with CdZnTe substrates. Finally, development of the technology for epitaxial growth of CMT on Si will ultimately be a requisite technology should monolithic integration of IR detector and readout electronics on a single Si chip become a goal of future IRFPA development. However, the most serious technical challenge faced when fabricating device-quality epitaxial layers of CMT on Si is the reduction in the density of threading dislocations that results from the accommodation of the 19% lattice constant mismatch and the large difference in thermal expansion coefficients between Si and CMT. Dislocation density is known to have a direct effect on IR detector performance [15.58], particularly at low temperature. All efforts to fabricate CMT IR detectors on Si substrates have relied upon the prior growth of CdZnTe buffer layers on Si. Growth of ≈ 5 µm of CdZnTe is required to allow dislocation annihilation processes to decrease the dislocation density to low 106 cm−2 [15.104]. As an additional step, initiation layers of ZnTe have been used to facilitate parallel MBE deposition of CdTe(001) on Si(001) [15.98, 102]; ZnTe nucleation layers are also commonly used for the same purpose for the growth of CdZnTe on GaAs/Si substrates by other vapor-phase techniques [15.106]. CdTe(001) films with rocking curves as narrow as 78 arcsec and
EPD of 1–2 × 106 cm−2 have been demonstrated with this technique. Both (111)- and (001)-oriented MBE CdTe/Si substrates have been used as the basis for demonstrating LPE-grown CMT detectors [15.98, 101]. The (001)-oriented CdTe/Si films have been used in demonstrations of 256 × 256 CMT hybrid arrays on Si [15.98]. Current state-of-the-art MBE material on four-inchdiameter Si substrates has been discussed by Varesi et al. [15.107,108]. Dry etching is used to produce array sizes of 128 × 128 and 1024 × 1024 with performances equivalent to LPE material. Similar material, grown on CdZnTe this time, by another group [15.109] in the MW and SW regions is used in astronomical applications (see [15.110]). Other recent applications of MBE-grown CMT include very long wavelength arrays (onto (211)B CdZnTe substrates) by Philips et al. [15.111], twocolor (MW 4.5 µm/SW 2.5 µm) arrays of 128 × 128 diodes [15.69, 112], gas detectors in the 2–6 µm region [15.113] and 1.55 µm avalanche photodiodes using Si substrates [15.66]. All of these applications demonstrate the versatility of the CMT MBE growth technique. One final point to note about the current devices being researched in MOVPE and MBE (and to a lesser extent LPE) processes is that growth is no longer of single layers from which the detector is made; instead the materials growers are actually producing the device structures within the grown layer. This is particularly true of the fully doped heterostructures grown by MOVPE and MBE shown in Figs. 15.8 and 15.11.
15.5 Alternatives to CMT
Part B 15.5
Rogalski [15.1, 114] has provided details about several Hg-based alternatives to CMT for infrared detection. He concludes that only HgZnTe and HgMnTe are serious candidates from the range of possibilities. Theoretical considerations of Sher et al. [15.115] showed that the Hg–Te bond is stabilized by the addition of ZnTe, unlike the destabilization that occurs when CdTe is added, as in CMT. The pseudobinary phase diagram of HgZnTe shows even more separation of solidus and liquidus than the equivalent for CMT, see Fig. 15.12. This leads to large segregation effects, large composition variations for small temperature changes, and the high Hg vapor pressure presents the usual problems of containment. HgTe and MnTe are not completely miscible over the entire
range; the single-phase region is limited to x 0.35. The solidus–liquidus separation in the HgTe-MnTe pseudobinary is approximately half that in CMT, so for equivalent wavelength uniformity requirements any crystals of the former must be much more uniform than CMT crystals. Three methods: Bridgman, SSR and THM are the most popular ones for the bulk growth of HgZnTe and HgMnTe. The best quality crystals have been produced by THM [15.116], with uniformities of ±0.01 in both the axial and radial directions for HgZnTe. For HgMnTe, Bodnaruk et al. [15.117] produced crystals of 0.04 < x < 0.2 with uniformities of ±0.01 and ±0.005 in the axial and radial directions, while Gille et al. [15.118] grew x = 0.10 crystals with ±0.003 along
322
Part B
Growth and Characterization
15.9 15.10 15.11
15.12 15.13 15.14 15.15 15.16 15.17 15.18 15.19 15.20 15.21 15.22
15.23 15.24 15.25 15.26 15.27 15.28 15.29 15.30 15.31
Part B 15
15.32 15.33 15.34 15.35 15.36 15.37 15.38
P. W. Kruse: Semicond. Semimet. 18, 1 (1981) Chap.1 R. Triboulet, T. Nguyen Duy, A. Durand: J. Vac. Sci. Technol. A 3, 95 (1985) W. E. Tennant, C. Cockrum, J. Gilpin, M. A. Kinch, M. B. Reine, R. P. Ruth: J. Vac. Sci. Technol. B 10, 1359 (1992) T. C. Harman: J. Electron. Mater. 1, 230 (1972) A. W. Vere, B. W. Straughan, D. J. Williams: J. Cryst. Growth 59, 121 (1982) L. Colombo, A. J. Syllaios, R. W. Perlaky, M. J. Brau: J. Vac. Sci. Technol. A 3, 100 (1985) R. K. Sharma, V. K. Singh, N. K. Mayyar, S. R. Gupta, B. B. Sharma: J. Cryst. Growth 131, 565 (1987) L. Colombo, R. Chang, C. Chang, B. Baird: J. Vac. Sci. Technol. A 6, 2795 (1988) J. Ziegler: US Patent 4,591,410 (1986) W. M. Higgins, G. N. Pultz, R. G. Roy, R. A. Lancaster: J. Vac. Sci. Technol. A 7, 271 (1989) J. H. Tregilgas: Prog. Cryst. Growth Charact. 28, 57 (1994) P. Capper, J. Harris, D. Nicholson, D. Cole: J. Cryst. Growth 46, 575 (1979) P. Capper: Prog. Cryst. Growth Charact. 28, 1 (1994) A. Yeckel, and J.J. Derby: Paper given at 2002 US Workshop on Physics and Chemistry of II–VI Materials, San Diego, USA (2002) P. Capper, and J.J.G. Gosney: U.K. Patent 8115911 (1981) P. Capper, C. Maxey, C. Butler, M. Grist, J. Price: Mater. Electron. Mater. Sci. 15, 721 (2004) R. Triboulet: Prog. Cryst. Growth Charact. 28, 85 (1994) Y. Nguyen Duy, A. Durand, J. Lyot: Mater. Res. Soc. Symp. Proc 90, 81 (1987) A. Durand, J. L. Dessus, T. Nguyen Duy, J. Barbot: Proc. SPIE 659, 131 (1986) P. Gille, F. M. Kiessling, M. Burkert: J. Cryst. Growth 114, 77 (1991) P. Gille, M. Pesia, R. Bloedner, N. Puhlman: J. Cryst. Growth 130, 188 (1993) M. Royer, B. Jean, A. Durand, R. Triboulet: French Patent No. 8804370 (1/4/1988) R. U. Bloedner, P. Gille: J. Cryst. Growth 130, 181 (1993) B. Chen, J. Shen, S. Din: J. Electron Mater. 13, 47 (1984) D. A. Nelson, W. M. Higgins, R. A. Lancaster: Proc. SPIE 225, 48 (1980) C.-H. Su, G. Perry, F. Szofran, S. L. Lehoczky: J. Cryst. Growth 91, 20 (1988) R. R. Galazka: J. Cryst. Growth 53, 397 (1981) B. Bartlett, P. Capper, J. Harris, M. Quelch: J. Cryst. Growth 47, 341 (1979) A. Durand, J. L. Dessus, T. Nguyen Duy: Proc. SPIE 587, 68 (1985) P. Capper, C. D. Maxey, C. L. Jones, J. E. Gower, E. S. O’Keefe, D. Shaw: J. Electron Mater. 28, 637 (1999)
15.39 15.40 15.41 15.42
15.43 15.44 15.45 15.46 15.47 15.48 15.49
15.50
15.51 15.52 15.53 15.54 15.55 15.56 15.57
15.58 15.59 15.60 15.61 15.62 15.63
15.64 15.65 15.66
15.67 15.68
M. A. Kinch: Mater. Res. Soc. Symp. Proc. 90, 15 (1987) R. Pratt, J. Hewett, P. Capper, C. Jones, N. Judd: J. Appl. Phys. 60, 2377 (1986) R. Pratt, J. Hewett, P. Capper, C. L. Jones, M. J. T. Quelch: J. Appl. Phys. 54, 5152 (1983) F. Grainger, I. Gale, P. Capper, C. Maxey, P. Mackett, E. O’Keefe, J. Gosney: Adv. Mater. Opt. Electron. 5, 71 (1995) A. W. Vere: Proc. SPIE 659, 10 (1986) D. J. Williams, A. W. Vere: J. Vac. Sci. Technol. A 4, 2184 (1986) J. H. Tregilgas, J. D. Beck, B. E. Gnade: J. Vac. Sci. Technol. A 3, 150 (1985) C. Genzel, P. Gille, I. Hahnert, F. M. Kiessling, P. Rudolph: J. Cryst. Growth 101, 232 (1990) P. Capper, C. Maxey, C. Butler, M. Grist, J. Price: J. Cryst. Growth 275, 259 (2005) T. Tung: J. Cryst. Growth 86, 161 (1988) T.-C. Yu, R. F. Brebrick: Properties of Narrow Gap Cadmium-Based Compounds, EMIS Datarev. Ser., ed. by P. Capper (IEE, London 1994) p. 55 M. G. Astles: Properties of Narrow Gap Cadmiumbased Compounds, EMIS Datarev. Ser., ed. by P. Capper (IEE, London 1994) p. 1 T. Tung, L. V. DeArmond, R. F. Herald: Proc. SPIE 1735, 109 (1992) P. W. Norton, P. LoVecchio, G. N. Pultz: Proc. SPIE 2228, 73 (1994) G. H. Westphal, L. Colombo, J. Anderson: Proc. SPIE 2228, 342 (1994) D. W. Shaw: J. Cryst. Growth 62, 247 (1983) L. Colombo, G. H. Westphal, P. K. Liao, M. C. Chen, H. F. Schaake: Proc. SPIE 1683, 33 (1992) I. B. Baker, G. J. Crimes, J. Parsons, E. O’Keefe: Proc. SPIE 2269, 636 (1994) P. Capper, E. S. O’Keefe, C. D. Maxey, D. Dutton, P. Mackett, C. Butler, I. Gale: J. Cryst. Growth 161, 104 (1996) R. S. List: J. Electron. Mater. 22, 1017 (1993) S. Johnson, D. Rhiger, J. Rosbeck: J. Vac. Sci. Technol. B 10, 1499 (1992) M. Yoshikawa: J. Appl. Phys. 63, 1533 (1988) P. Capper: J. Vac. Sci. Technol. B 9, 1667 (1991) C. A. Cockrum: Proc. SPIE. 2685, 2 (1996) W. A. Radford, R. E. Kvaas, S. M. Johnson: Proc. IRIS Specialty Group on Infrared Materials (IRIS, Menlo Park 1986) K. J. Riley, A. H. Lockwood: Proc. SPIE 217, 206 (1980) S. M. Johnson, J. A. Vigil, J. B. James: J. Electron. Mater. 22, 835 (1993) T. DeLyon, A. Hunter, J. Jensen, M. Jack, V. Randall, G. Chapman, S. Bailey, K. Kosai: Paper given at 2002 US Workshop on Physics and Chemistry of II–VI Materials, San Diego, USA (2002) S. Johnson, J. James, W. Ahlgren: Mater. Res. Soc. Symp. Proc. 216, 141 (1991) P. R. Norton: Proc. SPIE 2274, 82 (1994)
Narrow-Bandgap II–VI Semiconductors: Growth
15.69
15.70 15.71 15.72 15.73 15.74
15.75 15.76 15.77 15.78 15.79 15.80 15.81 15.82 15.83 15.84 15.85 15.86 15.87 15.88
15.89
15.91
15.92
15.93 15.94
15.95 15.96 15.97 15.98 15.99 15.100 15.101 15.102 15.103 15.104 15.105 15.106 15.107
15.108
15.109
15.110
15.111 15.112
15.113
15.114
15.115 15.116 15.117 15.118 15.119
J. Arias, S. Shin, D. Copper: J. Vac. Sci. Technol. A 8, 1025 (1990) O. K. Wu: Mater. Res. Soc. Symp. Proc. 340, 565 (1994) V. Lopes, A. J. Syllaios, M. C. Chen: Semicond. Sci. Technol. 8, 824 (1993) S. M. Johnson, T. J. de Lyon, C. Cockrum: J. Electron. Mater. 24, 467 (1995) G. Kamath, and O. Wu: US Patent Number 5,028,561, July 1, 1991 O. K. Wu, R. D. Rajavel, T. J. deLyon: Proc. SPIE 2685, 16 (1996) F. T. Smith, P. W. Norton, P. Lo Vecchio: J. Electron. Mater. 24, 1287 (1995) J. M. Arias, M. Zandian, S. H. Shin: J. Vac. Sci. Technol. B 9, 1646 (1991) R. Sporken, Y. Chen, S. Sivananthan: J. Vac. Sci. Technol. B 10, 1405 (1992) T. J. DeLyon, D. Rajavel, O. K. Wu: Proc. SPIE. 2554, 25 (1995) J. P. Tower, S. P. Tobin, M. Kestigian: J. Electron. Mater. 24, 497 (1995) N. Karam, R. Sudharsanan: J. Electron. Mater. 24, 483 (1995) J. B. Varesi, A. A. Buell, R. E. Bornfreund, W. A. Radford, J. M. Peterson, K. D. Maranowski, S. M. Johnson, D. F. King: J. Electron. Mater. 31, 815 (2002) J. B. Varesi, A. A. Buell, J. M. Peterson, R. E. Bornfreund, M. F. Vilela, W. A. Radford, S. M. Johnson: J. Electron. Mater. 32, 661 (2003) M. Zandian, J. D. Garnett, R. E. DeWames, M. Carmody, J. G. Pasko, M. Farris, C. A. Cabelli, D. E. Cooper, G. Hildebrandt, J. Chow, J. M. Arias, K. Vural, D. N. B. Hall: J. Electron. Mater. 32, 803 (2003) I.S. McLean: Paper given at 2002 US Workshop on Physics and Chemistry of II–VI Materials, San Diego, USA (2002) J. D. Philips, D. D. Edwall, D. L. Lee: J. Electron. Mater. 31, 664 (2002) L. A. Almeida, M. Thomas, W. Larsen, K. Spariosu, D. D. Edwall, J. D. Benson, W. Mason, A. J. Stolz, J. H. Dinan: J. Electron. Mater. 31, 669 (2002) J. P. Zanatta, F. Noel, P. Ballet, N. Hdadach, A. Million, G. Destefanis, E. Mottin, E. Picard, E. Hadji: J. Electron. Mater. 32, 602 (2003) A. Rogalski: New Ternary Alloy Systems for Infrared Detectors (SPIE Optical Engineering, Bellingham 1994) A. Sher, A. B. Chen, W. E. Spicer, C. K. Shih: J. Vac. Sci. Technol. A 3, 105 (1985) R. Triboulet: J. Cryst. Growth 86, 79 (1988) O. A. Bodnaruk, I. N. Gorbatiuk, V. I. Kalenik: Neorg. Mater. 28, 335 (1992) P. Gille, U. Rössner, N. Puhlmann: Semicond. Sci. Technol. 10, 353 (1995) P. Becla, J-C. Han, S. Matakef: J. Cryst. Growth 121, 394 (1992)
323
Part B 15
15.90
G. Destefanis, A. Astier, J. Baylet, P. Castelein, J. P. Chamonal, E. De Borniol, O. Gravand, F. Marion, J. L. Martin, A. Million, P. Rambaud, F. Rothan, J. P. Zanatta: J. Electron. Mater. 32, 592 (2003) T. F. Kuech, J. O. McCaldin: J. Electrochem. Soc. 128, 1142 (1981) S. J. C. Irvine, J. B. Mullin: J. Cryst. Growth 55, 107 (1981) A. C. Jones: J. Cryst. Growth 129, 728 (1993) S. J. C. Irvine, J. Bajaj: Semicond. Sci. Technol. 8, 860 (1993) C. D. Maxey, J. Camplin, I. T. Guilfoy, J. Gardner, R. A. Lockett, C. L. Jones, P. Capper: J. Electron. Mater. 32, 656 (2003) J. Tunnicliffe, S. Irvine, O. Dosser, J. Mullin: J. Cryst. Growth 68, 245 (1984) D. D. Edwall: J. Electron. Mater. 22, 847 (1993) S. Murakami: J. Vac. Sci. Technol B 10, 1380 (1992) S. J. C. Irvine, D. Edwall, L. Bubulac, R. V. Gil, E. R. Gertner: J. Vac. Sci. Technol. B 10, 1392 (1992) D. W. Snyder, S. Mahajan, M. Brazil: Appl. Phys. Lett. 58, 848 (1991) P. Mitra, Y. L. Tyan, F. C. Case: J. Electron. Mater. 25, 1328 (1996) A. M. Kier, A. Graham, S. J. Barnett: J. Cryst. Growth 101, 572 (1990) S. J. C. Irvine, J. Bajaj, R. V. Gil, H. Glass: J. Electron. Mater. 24, 457 (1995) S. J. C. Irvine, E. Gertner, L. Bubulac, R. V. Gil, D. D. Edwall: Semicond. Sci. Technol. 6, C15 (1991) C. D. Maxey, P. Whiffin, B. C. Easton: Semicond. Sci. Technol. 6, C26 (1991) P. Mitra, Y. L. Tyan, T. R. Schimert, F. C. Case: Appl. Phys. Lett. 65, 195 (1994) P. Capper, C. Maxey, P. Whiffin, B. Easton: J. Cryst. Growth 97, 833 (1989) C. D. Maxey, C. J. Jones: . Proc. SPIE 3122, 453 (1996) R. D. Rajavel, D. Jamba, O. K. Wu, J. A. Roth, P. D. Brewer, J. E. Jensen, C. A. Cockrum, G. M. Venzor, S. M. Johnson: J. Electron. Mater. 25, 1411 (1996) J. Bajaj, J. M. Arias, M. Zandian, D. D. Edwall, J. G. Pasko, L. O. Bubulac, L. J. Kozlowski: J. Electron. Mater. 25, 1394 (1996) J. P. Faurie, L. A. Almeida: Proc. SPIE 2685, 28 (1996) O. K. Wu, T. J. deLyon, R. D. Rajavel, J. E. Jensen: Narrow-Gap II–VI Compounds for Optoelectronic and Electromagnetic Applications, ed. by P. Capper (Chapman & Hall, London 1997) p. 97 O. K. Wu, D. R. Rhiger: Characterization in Compound Semiconductor Processing, ed. by Y. Strausser, G. E. McGuire (Butterworth-Heinemann, London 1995) p. 83 T. Tung, L. Golonka, R. F. Brebrick: J. Electrochem. Soc. 128, 451 (1981) O. Wu, D. Jamba, G. Kamath: J. Cryst. Growth 127, 365 (1993)
References
324
Part B
Growth and Characterization
15.120 A. Rogalski: Prog. Quantum Electron. 13, 299 (1989) 15.121 A. Rogalski: Infrared Phys. 31, 117 (1991)
15.122 T. Uchino, K. Takita: J. Vac. Sci. Technol. A 14, 2871 (1996)
Part B 15
Wide-Bandgap II–VI Semiconductors: Growth and Properties
16.1 Crystal Properties
327
Table 16.1 Properties of some wide-bandgap II–VI compound semiconductors ZnS
ZnO
ZnSe
ZnTe
CdS
CdSe
CdTe
Melting point (K)
2038 (WZ, 150 atm) 3.68/3.911
2248
1797
1513
1623
1370 (ZB)
–/3.4
2.71/–
2.394
2023 (WZ, 100 atm) 2.50/2.50
–/1.751
1.475
4.6/8.5
–/9.5
4.0/–
5.5/–
–/5.2
–/4.6
5.4/–
ZB/WZ 2.342 (WZ) 0.541
WZ 1.977 (WZ) –
ZB/WZ 2.454 (ZB) 0.567
ZB 2.636 (ZB) 0.610
WZ 2.530 (ZB) 0.582
WZ 2.630 (ZB) 0.608
ZB 2.806 (ZB) 0.648
0.234
–
0.246
0.264
0.252
0.263
0.281
4.11
¯
5.26
5.65
4.87
5.655
5.86
0.3811 0.6234 1.636 3.98
0.32495 0.52069 1.602 5.606
0.398 0.653 1.641 –
0.427 0.699 1.637 –
0.4135 0.6749 1.632 4.82
0.430 0.702 1.633 5.81
– – – –
C6me/F43m
–/C6me
ZB & WZ 1293
WZ –
–/F43m 4.09 ZB 1698
–/F43m 3.53 ZB –
C6me/F43m 4.79 ZB & WZ –
C6me/F43m 4.95 ZB & WZ 403
–/– 4.28 ZB 1273(?)
44
62
52
56
58
45
57
11.0
9.6
12.4
11.9
13.2
11.8
–
62 3.7
62 –
63 1.0
61 1.9
69 3.8
70 1.0
72 0.7
2.8
7.82
0.53
0.64
2.2
0.4–0.5
0.23
0.469
–
0.339
0.16
0.47
0.49
0.21
0.27
0.6
0.19
0.18
0.2
0.09
0.01
4.7
–
6.1
–
–
–
11.0
2 × 10−12
–
2.2 × 10−12
4.0 × 10−12 (r41 = r52 = r63 )
–
–
6.8 × 10−12
Energy gap E g at 300 K (eV)(ZB*/WZ*) dE g / dT (×10−4 eV/K) ZB/WZ Structure Bond length (µm) Lattice constant (ZB) a0 at 300 K (nm) ZB nearest-neighbor dist. at 300 K (nm) ZB density at 300 K (g/cm3 ) Lattice constant (WZ) at 300 K (nm) a0 = b0 c0 c0 /a0 WZ density at 300 K (g/cm3 ) Symmetry ZB/WZ Electron affinity χ (eV) Stable phase(s) at 300 K Solid–solid phase transition temperature (K) Heat of crystallization ∆HLS (kJ/mol) Heat capacity CP (cal/mol K) Ionicity (%) Equilibrium pressure at c.m.p. (atm) Minimum pressure at m.p. (atm) Specific heat capacity (J/gK) Thermal conductivity (W cm−1 K−1 ) Thermo-optical cofficient (dn/dT )(λ = 10.6 µm) Electrooptical coefficient r41 (m/V) (λ = 10.6 µm)
m.p. – melting point; c.m.p. – congruent melting point; ZB – zinc blende; WZ – wurtzite
Part B 16.1
Material Property
328
Part B
Growth and Characterization
Table 16.1 (continued) Material Property
ZnS
ZnO
ZnSe
ZnTe
CdS
CdSe
CdTe
Linear expansion coefficient (10−6 K−1 ) ZB/WZ Poisson ratio Dielectric constant ε0 /ε∞ Refractive index ZB/WZ Absorption coeff. (including two surfaces) (λ = 10.6 µm)(cm−1 ) Electron effective mass (m ∗ /m 0 ) Hole effective mass m ∗dos /m 0 Electron Hall mobility (300) K for n = lowish (cm2 /Vs) Hole Hall mobility at 300 K for p = lowish (cm2 /Vs) Exciton binding energy (meV) Average phonon energy (meV) ZB/WZ Elastic constant (1010 N/m2 ) C11 C12 C44 Knoop hardness (N/cm2 ) Young’s modulus
–/6.9
2.9/7.2
7.6/–
8.0/–
3.0/4.5
3.0/7.3
5.1/–
0.27 8.6/5.2
8.65/4.0
0.28 9.2/5.8
9.3/6.9
8.6/5.3
9.5/6.2
0.41 2.27/–
2.368/2.378
–/2.029
2.5/–
2.72/–
–/2.529
2.5/–
2.72/–
≤ 0.15
–
1–2 × 10−3
–
≤ 0.007
≤ 0.0015
≤ 0.003
–0.40
–0.27
0.21
0.2
0.21
0.13
0.11
–
–
0.6
circa 0.2
0.8
0.45
0.35
165
125
500
340
340
650
1050
5
–
30
100
340
–
100
36
60
21
10
30.5
15
12
16.1/17.1
–
15.1/–
10.8/–
–/13.9
18.9/25.4
5.8/–
1.01±0.05 0.64±0.05 0.42±0.04 0.18 10.8 Mpsi
– – – 0.5 –
8.10±0.52 4.88±0.49 4.41±0.13 0.15 10.2 Mpsi
0.72±0.01 0.48±0.002 0.31±0.002 0.13 –
– – – – 45 GPa
– – – – 5 × 1011 dyne/cm2
5.57 3.84 2.095 0.10 3.7 × 1011 dyne/cm2
m.p. – melting point; c.m.p. – congruent melting point; ZB – zinc blende; WZ – wurtzite
shows the phase diagrams reported for ZnS [16.25], ZnSe [16.25, 26], ZnTe [16.25], CdSe [16.27] and CdTe [16.28, 29]. Although much work has been done, there some exact thermodynamic data are still
lacking, especially details close to the congruent point. Unfortunately, the phase diagram of ZnO is not available in spite of its growing importance in applications.
Part B 16.2
16.2 Epitaxial Growth Epitaxial growth of wide-bandgap II–VI compounds was mainly carried out using liquid-phase epitaxy (LPE), or VPE. VPE includes several techniques, such as conventional VPE, hot-wall epitaxy (HWE), met-
alorganic chemical vapor deposition (MOCVD) or metalorganic phase epitaxy (MOVPE), molecular-beam epitaxy (MBE), metalorganic molecular-beam epitaxy (MOMBE) and atomic-layer epitaxy (ALE), etc. Each
Wide-Bandgap II–VI Semiconductors: Growth and Properties
16.2 Epitaxial Growth
329
Table 16.2 Strengths and weaknesses of several epitaxial growth techniques
LPE
Thermodynamic equilibrium growth Easy-to-use materials Low-temperature growth High purity Multiple layers Thickness control not very precise Poor surface/interface morphology
HWE
Easy-to-use materials Low cost Thermodynamic equilibrium Hard to grow thick layers Thickness control not very precise
VPE
Easy to operate Economic Thinner layers High growth rates Easier composition control High temperature (800–1000 ◦ C)
ALE
Gaseous reaction for deposition Low-temperature growth Precise composition Low growth rate Safety precautions needed
of these methods has its advantages and disadvantages. They are summarized in Table 16.2. In the case of hetero-epitaxy, the mismatch between substrate material and epitaxial layer affects the growing structure and quality of the epitaxial layer. The mismatch should be made as small as possible when choosing the pair of materials (substrate and epitaxial material). Furthermore, the difference between the thermal expansion coefficients of the pair of materials has to be considered to obtain high-quality epitaxial layer [16.30].
16.2.1 The LPE Technique
Gaseous reaction for deposition Precise composition Patterned/localized growth Potentially easier large-area multiplewafer scale-up Low-temperature growth High-vapor-pressure materials growth allowed About 1 ML/s deposition rate Expensive equipment Safety precautions needed
MBE and MOMBE
Physical vapor deposition Ultra-high-vacuum environment About 1 ML/s deposition rate In situ growth-front monitoring Precise composition Low growth rate Sophisticated equipment Limit for high-vapor-pressure materials growth (MBE)
tiated. The second is the step-cooling process ,in which the saturated solution is cooled down a few degrees (5–20 K) to obtain a supersaturated solution. The substrate is inserted into the solution, which is kept at this cooled temperature. Growth occurs first due to the supersaturation, and will slow down and stop finally. For both techniques, if the substrate is dipped in sequence into several different melt sources, multiple layer structures can be grown. LPE can successfully and inexpensively grow homo- and heterostructures. As the growth is carried out under thermal equilibrium, an epilayer with a very low native defect density can be obtained. The LPE method can be used to grow highquality epilayers, such as ZnS [16.31], ZnSe [16.31, 32], ZnSSe [16.33], ZnTe [16.34], etc. Werkhoven et al. [16.32] grew ZnSe epilayers by LPE on ZnSe substrates in a low-contamination-level environment. In their study, the width of bound exciton lines in low-temperature photoluminescence spectra was used
Part B 16.2
LPE growth occurs at near-thermodynamic-equilibrium conditions. There are two growth methods. The first is called equilibrium cooling, in which the saturated solution is in contact with the substrate and the temperature is lowered slowly, the solution becomes supersaturated; meanwhile a slow epitaxial growth on the substrate is ini-
MOCVD
332
Part B
Growth and Characterization
relatively insensitive to temperature, allows efficient and reproducible deposition. The substrate wafer is placed on a graphite susceptor inside a reaction vessel and heated by a radio-frequency (RF) induction heater. The growth temperature depends on the type of compounds grown. Growth is carried out in a hydrogen atmosphere at a pressure of 100–700 torr. The growth precursors decompose on contact with the hot substrate to form epitaxial layers. Each layer is formed by switching the source gases to yield the desired structure. The films of almost all wide-bandgap II–VI compounds have been grown by MOCVD technique. Most work has been done on p-ZnSe epilayers in the past two decades [16.64–66]. The highest hole concentration of 8.8 × 1017 cm−3 was reported with a NH3 doping source [16.67]. Recently, quantum wells (QW) and quantum dots (QD) of these wide-bandgap compounds have become the focus. Successful pulsed laser operation at 77 K in ZnCdSe/ZnSe/ZnMgSSe QW-structure separated-confinement heterostructures has been realized [16.68].
Part B 16.2
MBE and MOMBE MBE was developed at the beginning of the 1970s to grow high-purity high-quality compound semiconductor epitaxial layers on some substrates [16.69, 70]. To date, it has become a very important technique for growing almost all semiconductor epilayers. An MBE system is basically a vacuum evaporation apparatus. The pressure in the chamber is commonly kept below ≈ 10−11 torr. Any MBE process is dependent on the relation between the equilibrium vapor pressure of the constituent elements and that of the compound [16.71]. There are a number of features of MBE that are generally considered advantageous for growing semiconducting films: the growth temperature is relatively low, which minimizes any undesirable thermally activated processes such as diffusion; the epilayer thickness can be controlled precisely; and the introduction of different vapor species to modify the alloy composition and to control the dopant concentration can be conveniently achieved by adding different beam cells with proper shutters. These features become particularly important in making structures involving junctions. Metalorganic molecular-beam epitaxy growth (MOMBE) is one of the variations of the MBE system [16.72, 73]. The difference is that metalorganic gaseous sources are used as the source materials. Therefore, this growth technique has the merits of MOCVD and MBE.
MBE or MOMBE techniques have been used to grow epilayers of almost all wide-bandgap II–VI semiconductors [16.74,75]. Due to its features, it is very successful in growing super-thin layers, such as single quantum wells (SQW), multiple quantum wells (MQW) [16.76,77] and nanostructures [16.78]. In nanostructures, quantum dot (QD) structures have attracted a lot of attention in recent years. This field represents one of the most rapidly developing areas of current semiconductor. They present the utmost challenge to semiconductor technology, rendering possible fascinating novel devices. QD are nanometer-size semiconductor structures where charge carriers are confined in all three spatial dimensions. They are neither atomic nor bulk semiconductor, but may best be described as artificial atoms. In the case of heteroepitaxial growth there are three different growth modes [16.79]: (a) Frank–van der Merwe (FM) or layer-by-layer growth, (b) Volmer– Weber (VW) or island growth, and (c) Stranski– Krastanov (SK) or layer-plus-island growth. Which growth mode will be adopted in a given system depends on the surface free energy of the substrate, (σs ), that of the film, (σf ), and the interfacial energy (σi ). Layer-bylayer growth mode occurs when ∆σ = σf + σi − σs = 0. The condition for FM-mode growth is rigorously fulfilled only for homoepitaxy, where σs = σf and σi = 0. If the FM-mode growth condition is not fulfilled, then three-dimensional crystals form immediately on the substrate (VW mode). For a system with ∆σ = 0 but with a large lattice mismatch between the substrate and the film, initial growth is layer-by-layer. However, the film is strained. As the film grows, the stored strain energy increases. This strained epilayer system can lower its total energy by forming isolated thick islands in which the strain is relaxed by interfacial misfit dislocations, which leads to SK growth in these strained systems. The SK growth mode occurs when there is a lattice mismatch between the substrate and the epilayer, causing the epilayer to be strained, which results in the growth of dot-like self-assembled islands. Wire-like islands can grow from dot-like islands via a shape transition which helps strain relaxation. For nanostructure fabrication, a thin epilayer is usually grown on a substrate. This two-dimensional (2-D) layer is used to fabricate lower-dimensional structures such as wires (1-D) or dots (0-D) by lithographic techniques. However, structures smaller than the limits of conventional lithography techniques can only be obtained by self-assembled growth utilizing the principles of SK or VW growth. For appropriate growth condi-
Wide-Bandgap II–VI Semiconductors: Growth and Properties
tions, self-assembled epitaxial islands can be grown in reasonably well-controlled sizes [16.80]. Because wide-bandgap II–VI materials typically have stronger exciton–phonon interactions than III–V materials, their nanostructures are expected to be very useful in fabricating optoelectronics devices and in exploring the exciton nature in low-dimensional structure. Self-assembled semiconductor nanostructures of different system, such as CdSe/ZnSe [16.81], ZnSe/ZnS [16.82], CdTe/ZnTe [16.83], CdS/ZnSe [16.84], are thought to be advantageous for future application. MBE/MOMBE [16.81, 84], MOCVD [16.82], HWE [16.85] are the main growth techniques used to obtain such structures. MBE is the most advanced technique for the growth of controlled epitaxial layers. With the advancement of nanoscience and nanotechnology, lower-dimensional nanostructures are being fabricated by lithographic techniques from two-dimensional epitaxial layers. Alternately, selfassembled, lower-dimensional nanostructures can be fabricated directly by self-assembly during MBE growth. Atomic-Layer Epitaxy ALE is a chemical vapor deposition technique [16.5] where the precise control of the system parameters (pres-
16.3 Bulk Crystal Growth
333
sure and temperature) causes the reaction of adsorption of the precursors to be self-limiting and to stop with the completion of a single atomic layer. The precursors are usually metalorganic molecules. The special feature of ALE is that the layer thickness per cycle is independent of subtle variations of the growth parameters. The growth rate is only dependent on the number of growth cycles and the lattice constant of the deposited material. The conditions for thickness uniformity are fulfilled when material flux on each surface unit is sufficient for monolayer saturation. In an ALE reactor, this means freedom in designing the precursor transport and its interaction with the substrates. The advantages obtainable with ALE depend on the material to be processed and the type of application. In single-crystal epitaxy, ALE may be a way to obtain a lower epitaxial crystal-growth temperature. It is also a method for making precise interfaces and material layers needed in superlattice structures and super-alloys. In thin-film applications, ALE allows excellent thickness uniformity over large areas. The process has primarily been developed for processing of compound materials. ALE is not only used to grow conventional thin films of II–VI wide-bandgap compounds [16.5,86,87], but is also a powerful method for the preparation of monolayers (ML) [16.88].
16.3 Bulk Crystal Growth Bulk crystal is the most important subject studied in recent decades. The quality of bulk crystals is the most important aspect of electronic device design. To date, many growth methods have been developed to grow high-quality crystals. Significant improvements have been made in bulk crystal growth with regard to uniformity, reproducibility, thermal stability, diameter control, and impurity and dopant control. According to the phase balance, crystals can be grown from vapor phase, liquid (melt) phase, and solid phase.
16.3.1 The CVT and PVT Techniques
2AB + 2X2 ↔ 2AX2 (gas) + B2 (gas)
(16.1)
In the low-temperature region, the reverse reaction takes place. The whole process continues by back-diffusion of the X2 generated in the lower-temperature region. The transport agent X usually employed is hydrogen (H2 ), a halogen (I2 , Br2 , Cl2 ), a halide (HCl, HBr), and so on. For example, I2 has been used as a transport agent for ZnS, ZnSe, ZnTe and CdS [16.89]; HCl, H2 , Cl2 , NH3 [16.90], and C and CH4 [16.91] have been used as the transport agents for ZnO. According to [16.89]: the typical growth temperature for ZnS is 1073–1173 K, for ZnSe 1023–1073 K, for ZnTe 973–1073 K; ∆T is 5–50 K; the concentration of the transport agent is
Part B 16.3
Crystal growth from the the vapor phase is the most basic method. It has advantages that growth can be performed at lower temperatures. This can prevent from phase transition and undesirable contamination. Therefore, this method has commonly been used to grow II–VI compound semiconductors. Crystal growth techniques from the vapor phase can be divided into chemical vapor transport (CVT) and
physical vapor transport (PVT). CVT is based on chemical transport reactions that occur in a closed ampoule having two different temperature zones. Figure 16.6 shows a typical schematic diagram of the CVT technique. In the high-temperature region, the source AB reacts with the transport agent X:
Wide-Bandgap II–VI Semiconductors: Growth and Properties
ing in pure argon. Using refined zinc and commercial high-purity Se, high-quality ZnSe single crystals were grown by the same method, as reported by Huang and Igaki [16.97]. The emission intensities of donor-bound exciton (I2 ) are remarkably small. The emission intensities of the radiative recombinations of free excitons (EX ) are very strong [16.98]. These intensities indicated the crystal had a very high purity and a very low donor concentration, and they suggest that the purity of the grown crystal strongly depends on the purity of the starting materials. This method is suitable for preparing highpurity crystals, since a purification effect is expected during growth. Impurities with a higher vapor pressure will condense at the reservoir portion and those with a lower vapor pressure will remain in the source crystal. This effect was confirmed by the PL results [16.99]. As for these crystals, photoexcited cyclotron resonance measurements have been attempted and cyclotron resonance signals due to electrons [16.100] and heavy holes [16.101] have been detected for the first time. The cyclotron mobility of electrons under B = 7 T is 2.3 × 105 cm2 /Vs. This indicates that the quality of the grown crystals is very high. Furthermore, the donor concentration in the crystal is estimated to be 4 × 1014 cm3 by analyzing the temperature dependence of the cyclotron mobility [16.99]. The crystals are grown in a self-seeded approach by the CVT or PVT techniques introduced above. This limits single-crystal volume to several cm3 . Meanwhile, grain boundaries and twins are easy to form during growth. In order to solve these problems, seeded chemical vapor transport (SCVT) and seeded physical vapor transport (SPVT), the so-called modified Lely method, have been developed [16.102]. The difference between SCVT/SPVT and CVT/PVT is that a seed is set in the crystal growth space before growth starts. The most successful method of eliminating twin formation has usually been by using a polycrystal or single-crystal seed. Even this seeding cannot assure complete elimination of twinning unless seeding is done carefully. The usual method of using small seeds and increasing the diameter of the growing crystal are dependent on the preparation and condition of the walls of the ampoule and the furnace profiles required to eliminate spurious nucleation from the walls. Since the use of a seed crystal provides better control over the nucleation process, high-quality single crystals can be grown [16.103, 104]. Using this technique, sizable single crystals of II–VI wide-bandgap compounds has been commercialized. Fujita et al. [16.105] grew ZnS single crystals as large as 24 mm × 14 mm × 14 mm by the SCVT method
335
Part B 16.3
The PVT of II–VI compounds takes advantage of the volatility of both components of the compound semiconductor. This same volatility, coupled with typically high melting points, makes melt growth of these materials difficult. In the PVT process, an ampoule containing a polycrystalline source of the desired II–VI compound is heated to a temperature that causes the compound to sublime at a rate conducive to crystal growth. The ampoule is typically placed in a furnace having a temperature gradient over the length of the ampoule, so that the polycrystalline source materials sublime at the end with the higher temperature. The end of the ampoule where the crystal is to be grown is then maintained at a lower temperature. This temperature difference causes supersaturation, and vaporized molecules from source materials eventually deposit at the cooler end. In order to control the deviation from stoichiometry, a reservoir is often used (Fig. 16.7). One of the constituent elements is placed in it. By selecting the proper growth conditions, the rate of deposition can be set to a value leading to growth of high-quality crystals. Typically, PVT growth of II–VI compounds is carried out at temperatures much lower than their melting points; this gives benefits in terms of reduced defects, which are related to the melt growth of II–VI compounds such as voids and/or inclusions of excess components of the compound, and also helps to reduce the contamination of the growing crystal from the ampoule. Other effects, such as the reduction of point defects, are also typically found when crystals grown by PVT are compared to crystals grown by melt techniques. Although claims have been made that the lower temperatures of physical vapor transport crystal growth should also reduce the twinning found in most of the cubic II–VI compound crystals, the reduction is not usually realized in practice. The assumption that the twinning is a result of cubic/hexagonal phase transitions is not found to be the determining factor in twin formation. Ohno et al. [16.95] grew cubic ZnS single crystals by the iodine transport method without a seed. By means of Zn-dip treatment, this low-resistivity crystal was used for homoepitaxial MOCVD growth, and a metal–insulator–semiconductor(MIS)-structured blue LED, which yielded an external quantum efficiency as high as 0.05%. They found that crystal quality was significantly improved by prebaking the ZnS powder in H2 S gas prior to growth. The growth rate also increased by three times. Isshiki et al. [16.96] purified zinc by a process consisting of vacuum distillation and overlap zone melt-
16.3 Bulk Crystal Growth
340
Part B
Growth and Characterization
References 16.1 16.2 16.3
16.4 16.5 16.6 16.7 16.8 16.9 16.10 16.11
16.12 16.13 16.14
16.15
16.16 16.17 16.18 16.19 16.20 16.21 16.22 16.23
Part B 16
16.24 16.25 16.26
A. Lopez-Otero: Thin Solid Films 49, 1 (1978) H. M. Manasevit, W. I. Simpson: J. Electrochem. Soc. 118, 644 (1971) L. L. Chang, R. Ludeke: Epitaxial Growth, Part A, ed. by J. W. Matthews (Academic, New York 1975) p. 37 E. Veuhoff, W. Pletschen, P. Balk, H. Luth: J. Cryst. Growth 55, 30 (1981) T. Suntola: Mater. Sci. Rep. 4, 261 (1989) M. M. Faktor, R. Heckingbottom, I. Garrett: J. Cryst. Growth 9, 3 (1971) I. Kikuma, M. Furukoshi: J. Cryst. Growth 41, 103 (1977) Y. V. Korostelin, V. J. Kozlovskij, A. S. Nasibov, P. V. Shapkin: J. Cryst. Growth 159, 181 (1996) J. F. Wang, A. Omino, M. Isshiki: Mater. Sci. Eng. 83, 185 (2001) S. H. Song, J. F. Wang, G. M. Lalev, L. He, M. Isshiki: J. Cryst. Growth 252, 102 (2003) H. Harmann, R. Mach, B. Sell: In: Current Topics Mater. Sci., Vol. 9, ed. by E. Kaldis (North-Holland, Amsterdam 1982) pp. 1–414 P. Rudolph, N. Schäfer, T. Fukuda: Mater. Sci. Eng. 15, 85 (1995) R. Shetty, R. Balasubramanian, W. R. Wilcox: J. Cryst. Growth 100, 51 (1990) K. W. Böer: Survey of Semiconductor Physics, Vol. 1: Electrons and Other Particales in Bulk Semiconductors (Van Nostrand, New York 1990) C. M. Wolf, N. Holonyak, G. E. Stillman: Physical Properties of Semiconductors (Prentice Hall, New York 1989) L. Smart, E. Moore: Solid State Chemistry, 2nd edn. (Chapman Hall, New York 1995) E. Lide(Ed.): Handbook of Chemistry and Physics, 2nd edn. (CRC, Boca Raton 1973) J. Singh: Physics of Semiconductors and Their Heterostructures (McGraw–Hill, New York 1993) N. Yamamoto, H. Horinaka, T. Miyauchi: Jpn. J. Appl. Phys. 18, 225 (1997) H. Neumann: Kristall Technik 15, 849 (1980) J. Camassel, D. Auvergne, H. Mathieu: J. Phys. Colloq. 35, C3–67 (1974) W. Shan, J. J. Song, H. Luo, J. K. Furdyna: Phys. Rev. 50, 8012 (1994) K. A. Dmitrenko, S. G. Shevel, L. V. Taranenko, A. V. Marintchenko: Phys. Status Solidi B 134, 605 (1986) S. Logothetidis, M. Cardona, P. Lautenschlager, M. Garriga: Phys. Rev. B 34, 2458 (1986) R. C. Sharma, Y. A. Chang: J. Cryst. Growth 88, 192 (1988) H. Okada, T. Kawanaka, S. Ohmoto: J. Cryst. Growth 165, 31 (1996)
16.27
16.28 16.29
16.30 16.31 16.32
16.33 16.34 16.35 16.36 16.37 16.38 16.39 16.40 16.41 16.42 16.43 16.44 16.45 16.46
16.47 16.48 16.49 16.50 16.51 16.52
N. Kh. Abrikosov, V. F. Bankina, L. B. Poretzkaya, E. V. Skudnova, S. N. Chichevskaya: Poluprovodnikovye chalkogenidy i splavy na ikh osnovje (Nauka, Moscow 1975) (in Russian) R. F. Brebrick: J. Cryst. Growth 86, 39 (1988) M. R. Lorenz: Physics and Chemistry of II–VI Compounds, ed. by M. Aven, J. S. Prener (North Holland, Amsterdam 1967) pp. 210–211 T. Yao: Optoelectron. Dev. Technol. 6, 37 (1991) H. Nakamura, M. Aoki: Jpn. J. Appl. Phys. 20, 11 (1981) C. Werkhoven, B. J. Fitzpatrik, S. P. Herko, R. N. Bhargave, P. J. Dean: Appl. Phys. Lett. 38, 540 (1981) H. Nakamura, S. Kojima, M. Wasgiyama, M. Aoki: Jpn. J. Appl. Phys. 23, L617 (1984) V. M. Skobeeva, V. V. Serdyuk, L. N. Semenyuk, N. V. Malishin: J. Appl. Spectrosc. 44, 164 (1986) P. Lilley, P. L. Jones, C. N. W. Litting: J. Mater. Sci. 5, 891 (1970) T. Matsumoto, T. Morita, T. Ishida: J. Cryst. Growth 53, 225 (1987) S. Zhang, H. Kinto, T. Yatabe, S. Iida: J. Cryst. Growth 86, 372 (1988) S. Iida, T. Yatabe, H. Kinto: Jpn. J. Appl. Phys. 28, L535 (1989) P. Besomi, B. W. Wessels: J. Cryst. Growth 55, 477 (1981) T. Kyotani, M. Isshiki, K. Masumoto: J. Electrochem. Soc. 136, 2376 (1989) N. Stucheli, E. Bucher: J. Electron. Mater. 18, 105 (1989) M. Nishio, Y. Nakamura, H. Ogawa: Jpn. J. Appl. Phys. 22, 1101 (1983) N. Lovergine, R. Cingolani, A. M. Mancini, M. Ferrara: J. Cryst. Growth 118, 304 (1992) O. De. Melo, E. Sánchez, S. De. Roux, F. RábagoBernal: Mater. Chem. Phys., 59, 120 (1999) M. Kasuga, H. Futami, Y. Iba: J. Cryst. Growth 115, 711 (1991) J. F. Wang, K. Kikuchi, B. H. Koo, Y. Ishikawa, W. Uchida, M. Isshiki: J. Cryst. Growth 187, 373 (1998) J. Humenberger, G. Linnet, K. Lischka: Thin Solid Films 121, 75 (1984) F. Sasaki, T. Mishina, Y. Masumoto: J. Cryst. Growth 117, 768 (1992) B. J. Kim, J. F. Wang, Y. Ishikawa, S. Sato, M. Isshiki: Phys. Stat. Sol. (a) 191, 161 (2002) A. Rogalski, J. Piotrowski: Prog. Quantum Electron. 12, 87 (1988) G. M. Lalev, J. Wang, S. Abe, K. Masumoto, M. Isshiki: J. Crystal Growth 256, 20 (2003) H. M. Manasevit: Appl. Phys. Lett. 12, 1530 (1968)
Wide-Bandgap II–VI Semiconductors: Growth and Properties
16.53 16.54 16.55
16.56 16.57 16.58 16.59 16.60 16.61
16.62 16.63 16.64 16.65 16.66 16.67 16.68 16.69 16.70 16.71
16.72 16.73 16.74 16.75 16.76
16.78 16.79
16.80 16.81
16.82 16.83 16.84 16.85 16.86 16.87 16.88 16.89 16.90 16.91 16.92 16.93 16.94 16.95 16.96 16.97 16.98 16.99 16.100 16.101 16.102 16.103
16.104 16.105 16.106 16.107 16.108 16.109
J. Drucker, S. Chapparro: Appl. Phys. Lett. 71, 614 (1997) S. H. Xin, P. D. Wang, A. Yin, C. Kim, M. Dobrowolska, J. L. Merz, J. K. Furdyna: Appl. Phys. Lett. 69, 3884 (1996) M. C. Harris Liao, Y. H. Chang, Y. H. Chen, J. W. Hsu, J. M. Lin, W. C. Chou: Appl. Phys. Lett. 70, 2256 (1997) Y. Terai, S. Kuroda, K. Takita, T. Okuno, Y. Masumoto: Appl. Phys. Lett. 73, 3757 (1998) M. Kobayashi, S. Nakamura, K. Wakao, A. Yoshikawa, K. Takahashi: J. Vac. Sci. Technol. B 16, 1316 (1998) S. O. Ferreira, E. C. Paiva, G. N. Fontes, B. R. A. Neves: J. Appl. Phys. 93, 1195 (2003) M. A. Herman, J. T. Sadowski: Cryst. Res. Technol. 34, 153 (1999) M. Ahonen, M. Pessa, T. Suntola: Thin Solid Films 65, 301 (1980) M. Ritala, M. Leskelä: Nanotechnology 10, 19 (1999) H. Hartmann: J. Cryst. Growth 42, 144 (1977) M. Shiloh, J. Gutman: J. Cryst. Growth 11, 105 (1971) S. Hassani, A. Tromson-Carli, A. Lusson, G. Didier, R. Triboulet: Phys. Stat. Sol. (b) 229, 835 (2002) W. W. Piper, S. J. Polich: J. Appl. Phys. 32, 1278 (1961) A. C. Prior: J. Electrochem. Soc. 108, 106 (1961) T. Kiyosawa, K. Igaki, N. Ohashi: Trans. Jpn. Inst. Metala 13, 248 (1972) T. Ohno, K. Kurisu, T. Taguchi: J. Cryst. Growth 99, 737 (1990) M. Isshiki, T. Tomizono, T. Yoshita, T. Ohkawa, K. Igaki: J. Jpn. Inst. Metals 48, 1176 (1984) X. M. Huang, K. Igaki: J. Cryst. Growth 78, 24 (1986) M. Isshiki, T. Yoshita, K. Igaki, W. Uchida, S. Suto: J. Cryst. Growth 72, 162 (1985) M. Isshiki: J. Cryst. Growth 86, 615 (1988) T. Ohyama, E. Otsuka, T. Yoshita, M. Isshiki, K. Igaki: Jpn. J Appl. Phys. 23, L382 (1984) T. Ohyama, K. Sakakibara, E. Otsuka, M. Isshiki, K. Igaki: Phys. Rev. B 37, 6153 (1988) Y. M. Tairov, V. F. Tsvetkov: J. Cryst. Growth 43, 209 (1978) G. Cantwell, W. C. Harsch, H. L. Cotal, B. G. Markey, S. W. S. McKeever, J. E. Thomas: J. Appl. Phys. 71, 2931 (1992) Yu. V. Korostelin, V. I. Kozlovsky, A. S. Nasibov, P. V. Shapkin: J. Cryst. Growth 161, 51 (1996) S. Fujita, H. Mimoto, H. Takebe, T. Noguchi: J. Cryst. Growth 47, 326 (1979) K. Byrappa: Hydrothermal Growth of Crystal, ed. by K. Byrappa (Pergamon, Oxford 1991) A. C. Walker: J. Am. Ceram. Soc. 36, 250 (1953) R. A. Laudice, E. D. Kolg, A. J. Caporaso: J. Am. Ceram. Soc. 47, 9 (1964) M. Suscavage, M. Harris, D. Bliss, P. Yip, S.-Q. Wang, D. Schwall, L. Bouthillette, J. Bailey, M. Callahan, D. C. Look, D. C. Reynolds, R. L. Jones, C. W. Litton: MRS Internet J. Nitride Semicond. Res 4S1, G3.40 (1999)
341
Part B 16
16.77
Sg. Fujita, M. Isemura, T. Sakamoto, N. Yoshimura: J. Cryst. Growth 86, 263 (1988) H. Mitsuhashi, I. Mitsuishi, H. Kukimoto: J. Cryst. Growth 77, 219 (1986) P. J. Wright, P. J. Parbrook, B. Cockayne, A. C. Jones, E. D. Orrell, K. P. O’Donnell, B. Henderson: J. Cryst. Growth 94, 441 (1989) S. Hirata, M. Isemura, Sz. Fujita, Sg. Fujita: J. Cryst. Growth 104, 521 (1990) S. Nishimura, N. Iwasa, M. Senoh, T. Mukai: Jpn. J. Appl. Phys. 32, L425 (1993) K. P. Giapis, K. F. Jensen, J. E. Potts, S. J. Pachuta: Appl. Phys. Lett. 55, 463 (1989) S. J. Pachuta, K. F. Jensen, S. P. Giapis: J. Cryst. Growth 107, 390 (1991) M. Danek, J. S. Huh, L. Foley, K. F. Jenson: J. Cryst. Growth 145, 530 (1994) W. Kuhn, A. Naumov, H. Stanzl, S. Bauer, K. Wolf, H. P. Wagner, W. Gebhardt, U. W. Pohl, A. Krost, W. Richter, U. Dümichen, K. H. Thiele: J. Cryst. Growth 123, 605 (1992) J. K. Menno, J. W. Kerri, F. H. Robert: J. Phys. Chem. B 101, 4882 (1997) H. P. Wagner, W. Kuhn, W. Gebhardt: J. Cryst. Growth 101, 199 (1990) N. R. Taskar, B. A. Khan, D. R. Dorman, K. Shahzad: Appl. Phys. Lett. 62, 270 (1993) Y. Fujita, T. Terada, T. Suzuki: Jpn. J. Appl. Phys. 34, L1034 (1995) J. Wang, T. Miki, A. Omino, K. S. Park, M. Isshiki: J. Cryst. Growth 221, 393 (2000) M. K. Lee, M. Y. Yeh, S. J. Guo, H. D. Huang: J. Appl. Phys. 75, 7821 (1994) A. Toda, T. Margalith, D. Imanishi, K. Yanashima, A. Ishibashi: Electron. Lett. 31, 1921 (1995) A. Cho: J. Vac. Sci. Tech. 8, S31 (1971) C. T. Foxon: J. Cryst. Growth 251, 130 (2003) T. Yao: The Technology and Physics of Molecular Beam Epitaxy, ed. by E. H. C. Parker (Plenum, New York 1985) Chap. 10, p. 313 E. Veuhoff, W. Pletschen, P. Balk, H. Luth: J. Cryst. Growth 55, 30 (1981) M. B. Panish, S. Sumski: J. Appl. Phys. 55, 3571 (1984) Y. P. Chen, G. Brill, N. K. Dhar: J. Cryst. Growth 252, 270 (2003) H. Kato, M. Sano, K. Miyamoto, T. Yao: J. Cryst. Growth 237-239, 538 (2002) M. Imaizumi, M. Adachi, Y. Fujii, Y. Hayashi, T. Soga, T. Jimbo, M. Umeno: J. Cryst. Growth 221, 688 (2000) W. Xie, D. C. Grillo, M. Kobayashi, R. L. Gunshor, G. C. Hua, N. Otsuka, H. Jeon, J. Ding, A. V. Nurmikko: Appl. Phys. Lett. 60, 463 (1992) S. Guha, A. Madhukar, K. C. Rajkumar: Appl. Phys. Lett. 57, 2110 (1990) E. Bauer, J. H. van der Merwe: Phys. Rev. B 33, 3657 (1986)
References
342
Part B
Growth and Characterization
16.110 L. N. Demianets, D. V. Kostomarov: Ann. Chim. Sci. Mater. 26, 193 (2001) 16.111 N. Ohashi, T. Ohgaki, T. Nakata, T. Tsurumi, T. Sekiguchi, H. Haneda, J. Tanaka: J. Kor. Phys. Soc. 35, S287 (1999) 16.112 D. C. Look, D. C. Reynolds, J. R. Sizelove, R. L. Jones, C. W. Litton, G. Gantwell, W. C. Harsch: Solid State Commun. 105, 399 (1988) 16.113 T. Sekiguchi, S. Miyashita, K. Obara, T. Shishido, N. Sakagami: J. Cryst. Growth 214/215, 72 (2000) 16.114 P. Höschl, Yu. M. Ivanov, E. Belas, J. Franc, R. Grill, D. Hlidek, P. Moravec, M. Zvara, H. Sitter, A. Toth: J. Cryst. Growth 184/185, 1039 (1998) 16.115 T. Fukuda, K. Umetsu, P. Rudolph, H. J. Koh, S. Iida, H. Uchiki, N. Tsuboi: J. Cryst. Growth 161, 45 (1996) 16.116 A. Omino, T. Suzuki: J. Cryst. Growth 117, 80 (1992) 16.117 I. Kikuma, M. Furukoshi: J. Cryst. Growth 71, 136 (1985)
16.118 J. F. Wang, A. Omino, M. Isshiki: J. Cryst. Growth 214/215, 875 (2000) 16.119 J. Wang, A. Omino, M. Isshiki: J. Cryst. Growth 229, 69 (2001) 16.120 J. F. Wang, A. Omino, M. Isshiki: Mater. Sci. Eng. B 83, 185 (2001) 16.121 P. Rudolph, N. Schäfer, T. Fukuda: Mater. Sci. Eng. R 15, 85 (1995) 16.122 T. Asahi, A. Arakawa, K. Sato: J. Cryst. Growth 229, 74 (2001) 16.123 M. Ohmori, Y. Iwase, R. Ohno: Mater. Sci. Eng. B 16, 283 (1999) 16.124 R. Triboulet: Prog. Cryst. Growth Char. Mater. 128, 85 (1994) 16.125 H. H. Woodbury, R. S. Lewandowski: J. Cryst. Growth 10, 6 (1971) 16.126 R. Triboulet: Cryst. Res. Technol. 38, 215 (2003) 16.127 T. Asahi, T. Yabe, K. Sato: The Japan Society of Applied Physics and Related Societies, Extended Abstracts, The 50th Spring Meeting, (2003) p. 332
Part B 16
343
The aim of this chapter is to convey the basic principles of X-ray and electron diffraction, as used in the structural characterization of semiconductor heterostructures. A number of key concepts associated with radiation–material and particle–material interactions are introduced, with emphasis placed on the nature of the signal used for sample interrogation. Various modes of imaging and electron diffraction are then described, followed by a brief appraisal of the main techniques used to prepare electrontransparent membranes for TEM analysis. A number of case studies on electronic and photonic material systems are then presented in the context of a growth or device development program; these emphasize the need to use complementary techniques when characterizing a given heterostructure.
17.4
Optics, Imaging and Electron Diffraction ....................... 17.4.1 Electron Diffraction and Image Contrast Analysis ....... 17.4.2 Microdiffraction and Polarity ...... 17.4.3 Reflection High-Energy Electron Diffraction ................................
351 355 358 359
17.5
Characterizing Functional Activity ......... 362
17.6
Sample Preparation ............................. 362
17.7
Case Studies – Complementary Characterization of Electronic and Optoelectronic Materials ................ 17.7.1 Identifying Defect Sources Within Homoepitaxial GaN ......... 17.7.2 Cathodoluminescence/Correlated TEM Investigation of Epitaxial GaN ........................ 17.7.3 Scanning Transmission Electron Beam Induced Conductivity of Si/Si1−x Gex /Si(001) ..................
364 366
367
367
17.1
Radiation–Material Interactions ........... 344
17.2
Particle–Material Interactions............... 345
17.8
17.3
X-Ray Diffraction ................................. 348
References .................................................. 370
The functional properties of semiconductors emanate from their atomic structures; indeed, the interrelationship between materials processing, microstructure and functional properties lies at the heart of semiconductor science and technology. Therefore, if we are to elucidate how the functional properties of a semiconductor depend on the processing history (the growth or device fabrication procedures used), then we must study the development of the microstructure of the semiconductor by applying an appropriate combination of analytical techniques to the given bulk crystal, heterostructure or integrated device structure. The main aim of this chapter is to provide a general introduction to the techniques used to characterize the structures of semiconductors. Thus, we consider techniques such as X-ray diffraction (XRD) and electron diffraction, combined with diffraction contrast imaging, alongside related techniques used for chem-
ical microanalysis, since modern instruments such as analytical electron microscopes (AEMs) provide a variety of operational modes that allow both structure and chemistry to be investigated, in addition to functional activity. For example, chemical microanalyses of the fine-scale structures of materials can be performed within a scanning electron microscope (SEM) and/or a transmission electron microscope (TEM), using the techniques of energy dispersive X-ray (EDX) analysis, wavelength dispersive X-ray (WDX) analysis or electron energy loss spectrometry (EELS). In addition, electrical and optical properties of semiconductors can also be investigated in situ using the techniques of electron beam induced conductivity (EBIC) or cathodoluminescence (CL), respectively. Techniques such as X-ray photoelectron spectrometry (XPS; also known as electron spectroscopy for chemical analysis, ESCA), secondary ion mass spectrometry (SIMS) or Ruther-
Concluding Remarks ............................ 370
Part B 17
Structural Ch 17. Structural Characterization
Primary beam
Electron
Ion (He atoms)
Electron
Ion
Electron
X-ray
X-ray
X-ray
Technique
AES
RBS
SEM / EDS
SIMS
TEM /EDS / EELS
XPS
XRD
XRF
30 kV / 20 mA
1–10 keV
1–10 keV
100–400 keV
1–30 keV
X-ray (fluorescent)
X-ray
Electron (elastic, inelastic) X-ray (characteristic) Photoelectron
Ion (secondary)
Electron (SE, BSE) X-ray (characteristic)
Ion (He atoms)
> 1 MeV
0.3–30 keV
Auger electron
Signals detected
0.5–10 keV
Energy
Composition
Structure and chemistry of thin sections (high resolution) Surface composition (chemical bonding) Structure
Depth trace composition
Depth composition & thickness Surface morphology & composition
Surface composition
Assessment
Low Z may be difficult to detect Na–U
lateral ≈ 0.1–10 mm depth ≈ 10 nm
Li–U
up to U
H–U
B–U
lateral ≈ 10 µm depth ≈ 0.1–10 µm
≈ 1 –5 nm (SE) < 1 µm (BSE) lateral > 0.3 µm (EDS) depth ≈ 0.5–3 µm (EDS) lateral ≈ 60 µm (Dynamic SIMS) lateral ≈ 1 µm (Static SIMS) depth ≈ 2–20 nm ≈ 0.1–0.3 nm lateral > 2 nm (EDS) lateral ≈ 1 nm (EELS) energy resolution ≈ 1 eV (EELS) lateral ≈ 10 µm–2 mm depth ≈ 1–10 nm
Li–U
lateral ≈ 200 nm (LaB6 source) lateral ≈ 20 nm (FE source) depth ≈ 2–20 nm lateral ≈ 1 mm depth ≈ 5–20 nm B–U
Elements detected
Spatial resolution
≈ 0.1 − 1 at % (sub-monolayer) accuracy ≈ 30% ≈ 3 at % in a twophase mixture (≈ 0.1 at % for synchrotron) accuracy ≈ 10% ≈ ppb - ppm, accuracy ≈ 10%
≈ 0.1–1 at % accuracy ≈ 20% (depends on matrix)
≈ 10−10 − 10−5 at. %
≈ 0.1–1 at % accuracy ≈ 20% (depends on matrix)
≈ 0.1–1 at % (sub-monolayer) accuracy ≈ 30% ≈ 0.001–10 at %
Detection limit
17.2 Particle–Material Interactions
Part B 17.2
Table 17.1 Overview of characterization techniques
Structural Characterization 347
Structural Characterization
ing, respectively, for the diffracted beams. Accordingly, in principle XRD techniques offer greater accuracy than electron diffraction for the measurement of lattice parameters. It should also be noted that XRD is essentially a kinematic process based on single scattering events, whilst electron diffraction is potentially more complex due to the possibility of dynamic (or plural) scattering processes which can affect the generated intensities. Also, electrons are more strongly absorbed than X-rays, so there is need for very thin sample foils, typically < 1 µm, for the purposes of transmission electron diffraction (TED) experiments. However, electrons are more easily scattered by a crystal lattice than X-rays, albeit through small angles, so an electron-transparent sample foil is capable of producing intense diffracted beams. X-rays require a much greater interaction volume to achieve a considerable diffraction intensity. The effectiveness of the technique of electron diffraction becomes most apparent when combined with TEM-based chemical microanalysis imaging techniques. This enables features such as small grains and embedded phases, or linear or planar defect structures such as dislocations and domain boundaries, to be investigated in detail. Before describing some variants of the electron diffraction technique, a few concepts related to imaging and modes of operation of the TEM need to be introduced.
17.4 Optics, Imaging and Electron Diffraction The aim of a microscope-based system is to image an object at high magnification, with optimum resolution and without distortion. The concepts of magnification and resolution associated with imaging in electron microscopy are usually introduced via light ray diagrams for optical microscopy. The constraints on achieving optimum resolution in TEM are generally considered to be lens aberration and astigmatism. The concepts of depth of field and depth of focus must also be considered. If we consider the objective lens shown in Fig. 17.9, a single lens is characterized by a focal length f and a magnification M. The expression 1/ f = 1/u + 1/v relates the focal length to the object distance u and the image distance v for a thin convex lens. The magnification of this lens is then given by M = v/u = f/(u − f ) = (v − f )/ f , from which it is apparent that u − f must be small and positive for a large magnification to be obtained. In practice, a series of lenses are used to achieve a high magnification overall
whilst minimizing distortion effects. For the combined projection microscope system shown in Fig. 17.9, magnification scales as M = (v − f )(v − f )/ f f . Resolution is defined as being the smallest separation of two points on an object that can be reproduced distinctly within an image. The resolution of an optical lens system is diffraction-limited since light must pass through a series of apertures, and so a point source is imaged as a set of Airy rings. Formally, the minimum resolvable separation of two point sources, imaged as two overlapping sets of Airy rings, is given by the Rayleigh criterion, whereby the center of one set of Airy rings overlaps the first minimum of the second set of Airy rings. The defining equation for resolution is given by r = 0.61λ/n sin α, where λ is the wavelength of the imaging radiation, n is the refractive index of the lens, and α is the semiangle subtended at the lens. The combined term n sin α is the ‘numerical aperture’ of the lens. Thus, resolution can be improved by decreasing λ
351
Part B 17.4
it is possible to approximate the crystal size from the λ–peak-width relationship. Other X-ray diffraction techniques include Laue back reflection, that can be used conveniently to orient bulk single crystals, for example for sectioning prior to use as substrates for heteroepitaxial growth. Alternatively, the Debye– Scherrer method can be used for powder samples, since a significant number of crystal grains will always be in an orientation that satisfies the Bragg equation for each set of {hkl} planes. In this scattering arrangement, the diffracted rays form cones coaxial with the incident X-ray beam, with each cone of diffracted rays corresponding to a Bragg reflection from a specific set of lattice planes in the sample. A cylindrical strip of photographic film can be used to detect the diffracted intensity. To reiterate, it is the combination of Bragg’s law and the structure factor equation that enables the directions and intensities of beams scattered from a crystal to be predicted. In this context, it is instructive to briefly compare XRD with electron diffraction. Electrons are scattered by the periodic potential – the electric field – within a crystal lattice, whilst X-rays are scattered by shell electrons. Since X-rays and electrons exhibit comparable and comparatively small wavelengths, respectively, on the scale of the plane spacings of a crystal lattice, this equates to large and small angles of scatter-
17.4 Optics, Imaging and Electron Diffraction
362
Part B
Growth and Characterization
Part B 17.6
17.5 Characterizing Functional Activity There are many solid state analytical techniques that employ X-ray or electron probes, generating a variety of signals for chemical microanalysis. Techniques for performing correlated assessment of the structural and functional performance of a material are perhaps less well-covered in mainstream texts. Accordingly, we now briefly introduce the techniques of scanning transmission electron beam induced conductivity (STEBIC) and TEM-cathodoluminescence (TEM–CL), since these allow us to make correlated structure–property investigations of electrical and optical activity within a semiconductor, respectively. As discussed earlier, when an electron beam is incident on a semiconductor specimen, electron–hole pairs are created by the excitation of crystal electrons across the band-gap. These electron–hole pairs can, for example, recombine to emit light that may be detected by a photomultiplier. A CL image can then be obtained by displaying the detected photomultiplier signal as a function of the position of the incident electron beam as it is scanned across the specimen. CL spectra can also be acquired in spot mode, which show features attributable to excitons, donor–acceptor pairs or impurities. The ‘information content’ of CL images and spectra therefore includes the location of recombination sites such as dislocations and precipitates, and the presence of doping-level inhomogeneities. Similarly, if the sample is configured to incorporate a collection junction, such as a Schottky-contacted semiconductor or an ohmic-contacted p–n junction, electron-hole pairs that sweep across the built-in electric field constitute current flow. This can be amplified and an image of the recombination activity displayed as the electron beam is rastered
across the sample. If the dislocations within a semiconductor act as nonradiative recombination centers, then they appear as dark lines in both CL and EBIC images because of the reduced specimen luminescence or reduced current that is able to flow through the collection junction when the beam is incident at a defect. The techniques of CL and EBIC are most commonly performed in an SEM, but this precludes the direct identification of features responsible for a given optical or electronic signature. The resolution of extended defects achieved using EBIC and CL techniques is limited by the penetration depth of the electron beam, the effect of beam spreading and the diffusion length of minority carriers. Conversely, the resolutions of the STEBIC and TEM–CL techniques, as applied to an electron-transparent sample foil, are essentially limited by specimen geometry. The constraint of minority carrier diffusion length is removed due to the close proximity of the sample foil surfaces, and resolution depends on the incident probe size, the width of the electron hole pair generation zone and the recombination velocity at the free surface. For the case of STEBIC, resolution also depends on the defect position relative to the collecting junction. The trade-off is low electrical signal and a degraded signal-to-noise ratio due to the small generation volume and surface recombination effects, in addition to the practicality of contacting and handling thin foils. Before presenting a number of material characterization case studies based on electron beam techniques, we now discuss the preparation of electron transparent foils that are free from artefacts and suitable for TEM investigation.
17.6 Sample Preparation We should initially consider whether destructive or nondestructive preparative techniques need to be applied. Some characterization techniques allow samples to be examined with a minimal amount of preparation, provided they are of a form and size that will fit within the apparatus. For example, the crystallography of bulk or powder samples could be directly investigated by XRD, since the penetration depth of energetic X-rays within a sample is on the scale of ≈ 100 µm. The surface morphology and near-surface bulk chemistry of a sample can be directly investigated within the SEM, noting the inter-
action volume of electrons [on the scale of ≈ 1 (µm)3 ] associated with the EDX and WDX techniques. It might, however, be necessary to coat insulating samples with a thin layer of carbon or gold prior to SEM investigation to avoid charging effects. Similarly, minimal preparation might only be required before surface assessment using XPS or RHEED, such as cleaning using a degreasing protocol or plasma cleaning. Accordingly, the focus of this section is to introduce the techniques used to prepare samples for TEM investigation, since the requirement is for specimens that are typically submicrometer in
366
Part B
Growth and Characterization
Part B 17.7
weak-beam, HREM or CBED analysis can be used for fine-scale defect structural analysis, whilst EELS and EDX analysis can be used to profile alloy composition. However, the ability to perform atomic-level structural characterization and chemical analysis on the nanometer scale is offset by concerns about statistical significance and whether the small volume of material analyzed is truly representative of the larger object. Therefore, electron microscopy-based techniques combined with FIB procedures for site-specific sample preparation tend to be used when investigating integrated device structures. The examples provided so far illustrate how various diffraction and imaging techniques can provide information on the structural integrity of a given sample. The following examples emphasize the need to apply complementary material characterization techniques in support of the development of semiconductor science and technology.
17.7.1 Identifying Defect Sources Within Homoepitaxial GaN The emergence of the (In,Ga,Al)N system for shortwavelength light-emitting diodes, laser diodes and high-power field effect transistors has been the semiconductor success story of recent years. In parallel with the rapid commercialization of this technology, nitridebased semiconductors continue to provide fascinating problems to be solved for future technological development. In this context, a study of homoepitaxial GaN, at one time of potential interest for high-power blue–uv lasers, is presented. The reduction in extended microstructural defects permitted by homoepitaxial growth is considered to be beneficial in the development of nitride-based technology, particularly in view of the evidence confirming that dislocations do indeed exhibit nonradiative recombinative properties. However, in the case of metalorganic chemical vapor deposition (MOCVD)-grown homoepitaxial GaN on chemomechanically polished (0001), N-polar substrates, gross hexagonally shaped surface hillocks were found to develop, considered problematic for subsequent device processing [17.25]. The homoepitaxial GaN samples examined in this case study were grown at 1050 ◦ C. The bulk GaN substrate material was grown under a high hydrostatic pressure of nitrogen (15–20 kbar) from liquid Ga at 1600 ◦ C. Prior to growth, the (0001) surfaces were mechanically polished using 0.1 µm diamond paste and then chemomechanically polished in an aqueous KOH solution. Epitaxial growth was performed using trimethylgallium and NH3 precur-
sors with H2 as the carrier gas, under a total pressure of 50 mbar. Figure 17.25a shows an optical micrograph of the resultant homoepitaxial GaN/GaN(0001) growth hillocks, typically 5–50 µm in size depending on the layer thickness (and therefore the time of growth). Electron-transparent samples were prepared in plan view using conventional sequential mechanical polishing and argon ion beam thinning procedures applied from the substrate side, whilst cross-sectional samples were prepared using a Ga-source FIB workstation. As shown earlier, the selectivity of the FIB technique enables cross-sections through the emergent cores of the hillocks to be obtained, thereby allowing the nucleation events associated with these features to be isolated and characterized. When prepared in plan-view geometry for TEM observation, each hillock exhibited a small faceted core structure at the center (Fig. 17.25b), but otherwise the layers were generally found to be defectfree. Low-magnification cross-sectional TEM imaging also revealed the presence of faceted column-shaped defects beneath the apices of these growth hillocks (Fig. 17.25c). It was presumed that these features originated at the original epilayer–substrate interface since no other contrast delineating the region of this homoepitaxial interface could be discerned. A reversal of contrast within the 0002 diffraction discs from CBED patterns acquired across the boundary walls of such features (Fig. 17.19) confirmed that they were inversion domains. Thus, the defect cores were identified as having Ga-polar growth surfaces embedded within an N-polar GaN matrix. Once nucleated, the inversion domains exhibited a much higher growth rate than the surrounding matrix, being directly responsible for the development of the “circus tent” hillock structures around them. Competition between the growth and desorption rates of Ga and N-polar surfaces allowed the gross hexagonal pyramids to evolve. This initial approach of applying electron diffraction and imaging techniques thus enabled the nature of the inversion domains to be identified and their propagation mechanism established in order to explain the development of the hillocks. However, more detailed chemical analysis was required to ascertain the nature of the source of the inversion domains and how this related to the substrate preparation and growth process. A high-angle annular dark field (HAADF) image of the inversion domain nucleation event is shown in Fig. 17.25d. HAADF is a scanned electron probe imaging technique with a resolution defined by the size of the incident probe, while the scattering (and hence con-
370
Part B
Growth and Characterization
Part B 17
17.8 Concluding Remarks The above commentary has attempted to convey the framework underpinning a variety of analytical techniques used to investigate the structures of semiconductors. It is emphasized that an appropriate combination of assessment techniques should generally be applied, since no single technique of assessment will provide information on the composition, morphology, microstructure and (opto)electronic properties of a given functional material or processed device structure. This type of considered approach to materials characterization is required in order to break free of the “black-box” mentality that can develop if one is too trusting of the output generated by automated or computerized instrumentation systems. We must always bear in mind the process of signal generation that provides the information content. This in turn should help us to develop an appreciation of performance parameters such as spatial or spectral resolution, in addition to sensitivity, precision and the detection limit. We should consider technique calibration and the appropriate use of standards in order to ensure that the data acquired is appropriate (and reproducible) to the problem being addressed. Consideration should also be given to the form and structure of the data being acquired and how the data sets are analyzed. In this context, distinction should
be made between the processing of analog and digital information and the consequences of data conversion. Issues regarding the interpretation (or misinterpretation) of results often stem from the handling of experimental errors. On a practical level, a rigorous experimental technique should certainly be applied to ensure that the data generated is both meaningful and representative of the sample being investigated, free from artefacts from the preparation and investigation processes. There are clearly differences between qualitative assessment and the more rigorous demands of quantitative analysis. The level of effort invested often reflects the nature of the problem that is being addressed. A comparative assessment of a number of samples may simply require a qualitative investigation (for example, in order to solve a specific materials science problem within a growth or device fabrication process). Alternatively, quantitative analysis may be required to gain a more complete understanding of the nature of a given sample, such as the precise composition. To summarize, an awareness of the methodology used in any investigation is required to establish confidence in the relevance of the results obtained. A range of complementary analysis techniques should ideally be applied to gain a more considered view of a given sample structure.
References 17.1 17.2 17.3 17.4
17.5
17.6
17.7 17.8
17.9
R. W. Cahn, E. Lifshin: Concise Encyclopedia of Materials Characterization (Pergamon, New York 1992) J. M Cowley: Electron Diffraction Techniques, Vol. 1, 2 (Oxford Univ. Press., Oxford 1992, 1993) B. D. Cullity, S. R. Stock: Elements of X-Ray Diffraction, 3rd edn. (Addison Wesley, New York 1978) J. W. Edington: Practical Electron Microscopy in Materials Science (Philips Electron Optics, Eindhoven 1976) R. F. Egerton: Electron Energy-Loss Spectroscopy in the Electron Microscope (Plenum, New York 1996) P. J. Goodhew, F. J. Humphreys, R. Beanland: Electron Microscopy and Analysis (Taylor Francis, New York 2001) P. J. Grundy, G. A. Jones: Electron Microscopy in the Study of Materials (Edward Arnold, London 1976) P. B. Hirsch, A. Howie, R. B. Nicholson, D. W. Pashley, M. J. Whelan: Electron Microscopy of Thin Crystals (Butterworths, London 1965) I. P. Jones: Chemical Microanalysis Using Electron Beams (Institute of Materials, London 1992)
17.10
17.11 17.12
17.13
17.14 17.15
17.16 17.17
17.18
D. C. Joy, A. D. Romig, J. I. Goldstein: Principles of Analytical Electron Microscopy (Plenum, New York 1986) M. H. Loretto, R. E. Smallman: Defect Analysis in Electron Microscopy (Chapman Hall, London 1975) D. Shindo, K. Hiraga: High-Resolution Electron Microscopy for Materials Science (Springer, Berlin, Heidelberg 1998) J. C. H. Spence: Experimental High-Resolution Electron Microscopy – Fundamentals and Applications (Oxford Univ. Press, New York 1988) G. Thomas, M. J. Goringe: Transmission Electron Microscopy of Metals (Wiley, New York 1979) D. B. Williams, C. B. Carter: Transmission Electron Microscopy: A Textbook for Materials Science (Plenum, New York 1996) R. Hull, J. C. Bean: Crit. Rev. Solid State 17, 507 (1992) T. Sugahara, H. Sato, M. Hao, Y. Naoi, S. Kurai, S. Tattori, K. Yamashita, K. Nishino, L. T. Romano, S. Sakai: Jpn. J. Appl. Phys. 37, 398 (1997) Y. Xin, P. D. Brown, T. S. Cheng, C. T. Foxon, C. J. Humphreys: Inst. Phys. Conf. Ser. 157, 95 (1997)
Structural Characterization
17.20 17.21 17.22
17.23 17.24
Y. Ishida, H. Ishida, K. Kohra, H. Ichinose: Philos. Mag. A 42, 453 (1980) D. B. Holt: J. Mater. Sci. 23, 1131 (1988) K. Ishizuka, J. Taftø: Acta Cryst. B 40, 332 (1984) D. Cherns, W. T. Young, M. Saunders, J. W. Steeds, F. A. Ponce, S. Nakamura: Philos. Mag. A77, 273 (1998) J. M. Cowley: Electron Diffraction: An Introduction, Vol. 1 (Oxford Univ. Press, Oxford 1992) G. J. Russell: Prog. Cryst. Growth Ch. 5, 291 (1982)
17.25
17.26
17.27
J. L. Weyher, P. D. Brown, A. R. A. Zauner, S. Muller, C. B. Boothroyd, D. T. Foord, P. R. Hageman, C. J. Humphreys, P. K. Larsen, I. Grzegory, S. Porowski: J. Cryst. Growth 204, 419 (1999) P. D. Brown, D. M. Tricker, C. J. Humphreys, T. S. Cheng, C. T. Foxon, D. Evans, S. Galloway, J. Brock: Mater. Res. Soc. Symp. Proc 482, 399 (1998) P. D. Brown, C. J. Humphreys: J. Appl. Phys. 80, 2527 (1996)
371
Part B 17
17.19
References
373
18. Surface Chemical Analysis
Surface Chem Electron Spectroscopy .......................... 373 18.1.1 Auger Electron Spectroscopy ....... 373 18.1.2 X-Ray Photoelectron Spectroscopy (XPS) ..................... 375
18.2 Glow-Discharge Spectroscopies (GDOES and GDMS)................................ 376
Surface chemical analysis is a term that is applied to a range of analytical techniques that are used to determine the elements and molecules present in the outer layers of solid samples. In most cases, these techniques can also be used to probe the depth distributions of species below the outermost surface. In 1992 the International Standards Organisation (ISO) established a technical committee on surface chemical analysis (ISO TC 201) to harmonize methods and procedures in surface chemical analysis. ISO TC 201 has a number of subcommittees that deal with different surface chemical analytical techniques and this chapter will discuss the applications of these different methods, defined by ISO TC 201, in the context of semiconductor analyses. In particular, this discussion is intended to deal with practical issues concerning the application of surface chemical analysis to routine measurement rather than to the frontiers of current research. Standards relating to surface chemical analysis developed by the ISO TC201 committee can be found on the ISO TC201 web site www.iso.org (under “standards development”). Traditional surface chemical analysis techniques include the electron spectroscopy-based methods Auger electron spectroscopy (AES or simply Auger) and X-ray photoelectron spectroscopy (XPS, once also known as ESCA – electron spectroscopy for chemical analysis), and the mass spectrometry method SIMS (secondary
ion mass spectrometry). The ISO TC 201 committee also has a subcommittee that deals with glow discharge spectroscopies. Whilst these latter methods have been used more for bulk analysis than surface analysis, the information they produce comes from the surface of the sample as that surface moves into the sample, and so they have been finding applications in depth profiling studies. One thing that is common to all of these surface chemical analysis techniques is that they are vacuumbased methods. In other words, the sample has to be loaded into a high or ultrahigh vacuum system for the analysis to be carried out. With the one exception of glow discharge optical emission spectroscopy (GDOES), where the analysis relies upon the detection of photons, all of the techniques also depend upon the detection of charged particles. This requirement for vacuum operation necessarily imposes limits on the types and sizes of samples that can be analyzed, although of course instruments capable of handling semiconductor wafers do exist. The quality of the vacuum environment around the sample can also affect the quality of the analysis, especially with regard to the detection of elements that exist in the atmosphere around us. The size and complexity of surface chemical analysis equipment has arguably tended to limit the wider use of these powerful methods.
18.3 Secondary Ion Mass Spectrometry (SIMS) 377 18.4 Conclusion .......................................... 384
18.1 Electron Spectroscopy In the electron spectroscopies, Auger and XPS, the surface of the sample is probed by an exciting beam which
causes electrons to be ejected from the atoms in the sample. These electrons are collected and their ener-
Part B 18
18.1
The physical bases of surface chemical analysis techniques are described in the context of semiconductor analysis. Particular emphasis is placed on the SIMS (secondary ion mass spectrometry) technique, as this is one of the more useful tools for routine semiconductor characterization. The practical application of these methods is addressed in preference to describing the frontiers of current research.
Surface Chemical Analysis
sputters the outside of the sample, removing material. This material, some of which is ionized but the majority of which is neutral as it leaves the surface, is ionized by a variety of processes as it passes through the glow discharge plasma. These ions are then accelerated into a high-resolution magnetic sector mass spectrometer where they are mass-analyzed and counted. Instruments can also be based on quadrupole mass spectrometers, but it is the magnetic sector instruments which offer the greater sensitivity. By sweeping the mass spectrometer through a range of masses, which can cover the entire periodic table, the major, minor and trace elements present in a sample can be determined. GDMS is a particularly powerful method of detecting the trace elements present in bulk semiconductor materials at levels down to parts per billion. It is also possible to analyze flat, rather than matchstick-shaped, samples in GDMS. Just as in GDOES, the flat sample is positioned at the end of the discharge cell, and a cylindrical crater is etched into the sample surface. As with GDOES, with GDMS there is no spatial resolution, and the depth information from layered structures will be distorted by crater edge effects and loss of crater base flatness as it is not possible to discriminate between ions produced from the base of the crater and those produced from the sidewalls.
18.3 Secondary Ion Mass Spectrometry (SIMS) SIMS is probably the most powerful and versatile of all of the surface analysis techniques and comes in the widest variety of instrumentations, from big, standalone instruments to bench-top instruments and add-ons to electron spectrometers. SIMS can offer chemical identification of submonolayer organic contamination, measurement of dopant concentrations, and can produce maps and depth profile distributions from nanometers to tens of µm in depth. However, no one instrument is going to be capable of all of these tasks, and even if it could it would not be able to achieve all of them at the same time. SIMS, in its simplest form, requires an ion gun and a mass spectrometer. The sample is placed in a vacuum chamber and ions from the ion gun sputter the sample surface. Material is sputtered from the sample surface and some of this will be ionized, although in most cases the major part of the sputtered material will be in the form of a neutral species. The ionized component of the sputtered material is mass-analyzed with the mass spectrometer.
The technique has evolved in various directions from this common origin to produce a variety of subtly different variants of the SIMS technique, including dynamic SIMS (DSIMS), static SIMS (SSIMS) and time of flight SIMS (ToFSIMS), each of which has its own distinct attributes. There are three main types of mass spectrometer used for SIMS analysis: the magnetic sector, the quadrupole and the time of flight, ToF. Dedicated depthprofiling SIMS machines, dynamic SIMS instruments, tend to employ either magnetic sector or quadrupole mass spectrometers. Magnetic sector instruments offer high transmission and high mass resolution capabilities, useful for separating adjacent mass peaks with a very small mass difference, for example 31 P from 30 SiH. Quadrupole mass spectrometers offer ultrahigh vacuum compatibility and, as well as being used in DSIMS instruments, smaller versions are also found as add-ons to Auger/XPS instruments and bench-top instruments. Time of flight instruments are remarkably efficient in their use of material in that the entire mass spectrum is sampled in parallel, whereas in the
377
Part B 18.3
criminate where the analytical signal is coming from, the quality of the depth profiles produced will be compromised by crater edge effects. In other words, while most of the analytical signal will originate from the bottom of the sputtered crater, there will always be some information that comes from the crater side wall. The consequence of this is that, with layered structures, layers closer to the surface will appear to tail into layers beneath them, even though the interface between the layers is abrupt. This effect can be seen in the depth profile shown in Fig. 18.3, which shows a GDOES profile into a DWDM structure. Glow discharge mass spectrometry (GDMS) is a considerably more complex technique, at least from an instrumental point of view. Originally developed as a method of bulk analysis, GDMS is probably the most sensitive, in terms of the detection limit achievable, of all of the techniques being considered here. As with GDOES, in GDMS the sample forms one electrode in a simple glow discharge cell. However, in the case of GDMS, the discharge cell is mounted within a high-vacuum system. In its original form, the sample (typically be 1 mm2 by about 15 mm long) is placed in the center of a cylindrical cell into which argon is leaked at low pressure. By applying a dc voltage between the sample and the cell, an argon plasma is created which
18.3 Secondary Ion Mass Spectrometry (SIMS)
384
Part B
Growth and Characterization
18.4 Conclusion The various surface chemical analysis techniques have their own strengths and weaknesses. No one method is suitable for all of the tasks the analyst faces; sometime one technique is sufficient to address the problem
at hand, sometimes a combination of them is required. However, the approach should be successful if the technique(s) is (are) fit for the purpose of the task.
Part B 18.4
385
Thermal Prop
19. Thermal Properties and Thermal Analysis: Fundamentals, Experimental Techniques and Applications
The selection and use of electronic materials, one way or another, invariably involves considering such thermal properties as the specific heat capacity (cs ), thermal conductivity (κ), and various thermodynamic and structural transition temperatures, for example, the melting or fusion temperature (Tm ) of a crystal, glass transformation (Tg ) and crystallization temperature (Tc ) for glasses and amorphous polymers. The thermal expansion coefficient (α) is yet another important material property that comes into full play in applications of electronic mater-
19.1
Heat Capacity ...................................... 386 19.1.1 Fundamental Debye Heat Capacity of Crystals .................... 386 19.1.2 Specific Heat Capacity of Selected Groups of Materials ... 388
19.2 Thermal Conductivity ........................... 19.2.1 Definition and Typical Values ...... 19.2.2 Thermal Conductivity of Crystalline Insulators.............. 19.2.3 Thermal Conductivity of Noncrystalline Insulators ........ 19.2.4 Thermal Conductivity of Metals ...
391 391 391 393 395
19.3 Thermal Expansion .............................. 396 19.3.1 Grüneisen’s Law and Anharmonicity.................... 396 19.3.2 Thermal Expansion Coefficient α . 398 19.4 Enthalpic Thermal Properties ................ 398 19.4.1 Enthalpy, Heat Capacity and Physical Transformations ..... 398 19.4.2 Conventional Differential Scanning Calorimetry (DSC) ......... 400 19.5 Temperature-Modulated DSC (TMDSC)..... 19.5.1 TMDSC Principles........................ 19.5.2 TMDSC Applications .................... 19.5.3 Tzero Technology.......................
403 403 404 405
References .................................................. 406 The new Tzero DSC has an additional thermocouple to calibrate better for thermal lags inherent in the DSC measurement, and allows more accurate thermal analysis.
ials inasmuch as the thermal expansion mismatch is one of the main causes of electronic device failure. One of the most important thermal characterization tools is the differential scanning calorimeter (DSC), which enables the heat capacity, and various structural transition temperatures to be determined. Modulated-temperature DSC in which the sample temperature is modulated sinusoidally while being slowly ramped is a recent powerful thermal analysis technique that allows better thermal characterization and heat-capacity measurement. In addition, it
Part B 19
The chapter provides a summary of the fundamental concepts that are needed to understand the heat capacity CP , thermal conductivity κ, and thermal expansion coefficient αL of materials. The CP , κ, and α of various classes of materials, namely, semiconductors, polymers, and glasses, are reviewed, and various typical characteristics are summarized. A key concept in crystalline solids is the Debye theory of the heat capacity, which has been widely used for many decades for calculating the CP of crystals. The thermal properties are interrelated through Grüneisen’s theorem. Various useful empirical rules for calculating CP and κ have been used, some of which are summarized. Conventional differential scanning calorimetry (DSC) is a powerful and convenient thermal analysis technique that allows various important physical and chemical transformations, such as the glass transition, crystallization, oxidation, melting etc. to be studied. DSC can also be used to obtain information on the kinetics of the transformations, and some of these thermal analysis techniques are summarized. Temperature-modulated DSC, TMDSC, is a relatively recent innovation in which the sample temperature is ramped slowly and, at the same time, sinusoidally modulated. TMDSC has a number of distinct advantages compared with the conventional DSC since it measures the complex heat capacity. For example, the glass-transition temperature Tg measured by TMDSC has almost no dependence on the thermal history, and corresponds to an almost step life change in CP .
386
Part B
Growth and Characterization
can be used to measure the thermal conductivity. The present review is a selected overview of thermal properties and the DSC technique, in particular MTDSC. The overview is written from a materials science perspective with emphasis on phenomenology rather than fundamental physics.
The thermal properties of a large selection of materials can be found in various handbooks [19.1, 2]. In the case of semiconductors, Adachi’s book is highly recommended [19.3] since it provides useful relationships between the thermal properties for various group IV, II–V and II–VI semiconductors.
19.1 Heat Capacity 19.1.1 Fundamental Debye Heat Capacity of Crystals Part B 19.1
The heat capacity of a solid represents the increase in the enthalpy of the crystal per unit increase in the temperature. The heat capacity is usually defined either at constant volume or at constant pressure, CV and CP , respectively. CV represents the increase in the internal energy of the crystal when the temperature is raised because the heat added to the system increases the internal energy U without doing mechanical work by changing the volume. On the other hand CP represents the increase in the enthalpy H of the system per unit increase in the temperature. Thus, ∂H ∂U ∂H CV = = and CP = . ∂T V ∂T V ∂T P (19.1)
The exact relationship between CV and CP is T α2 (19.2) , ρK where T is the temperature, ρ is the density, α is the linear expansion coefficient and K is the compressibility. For solids, CV and CP are approximately the same. The increase in the internal energy U is due to an increase in the energy of lattice vibrations. This is generally true for all solids except metals at very low temperatures where the heat capacity is due to the conduction electrons near the Fermi level becoming excited to higher energies. For most practical temperature ranges of interest, the heat capacity of most solids is determined by the excitation of lattice vibrations. The molar heat capacity Cm is the increase in the internal energy Um of a crystal of Avogadro’s number NA atoms per unit increase in the temperature at constant volume, that is, Cm = ( dUm / dT )V . The Debye heat capacity is still the most successful model for understanding the heat capacity of crystals, and is based on the thermal excitation of lattice vibrations, that is phonons, in the crystal [19.4]; CV = CP −
it is widely described as a conventional heat capacity model in many textbooks [19.5,6]. The vibrational mean energy at a frequency ω is given by ¯ E(ω) =
ω exp( kBωT ) − 1
,
(19.3)
¯ where kB is the Boltzmann constant. The energy E(ω) increases with temperature. Each phonon has an energy of ω so that the phonon concentration in the crystal increases with temperature. To find the internal energy due to all the lattice vibrations we must also consider how many vibrational modes there are at various frequencies. That is, the distribution of the modes over the possible frequencies: the spectrum of the vibrations. Suppose that g(ω) is the number of modes per unit frequency, that is, g(ω) is the vibrational density of states or modes. Then g(ω) dω is the number of vibrational states in the range dω. The internal energy Um of all lattice vibrations for 1 mole of solid is, ωmax
Um =
¯ E(ω)g(ω) dω .
(19.4)
0
The integration is up to certain allowed maximum frequency ωmax . The density of states g(ω) for the lattice vibrations in a periodic three-dimensional lattice, in a highly simplified form, is given by g(ω) ≈
3 ω2 , 2π 2 v3
(19.5)
where v is the mean velocity of longitudinal and transverse waves in the solid. The maximum frequency is ωmax and is determined by the fact that the total number of modes up to ωmax must be 3NA . It is called the Debye frequency. Thus, integrating g(ω) up to ωmax we find, ωmax ≈ v(6π 2 N A )1/3 .
(19.6)
388
Part B
Growth and Characterization
Table 19.1 Debye temperatures (TD ), heat capacities, thermal conductivities and linear expansion coefficients of various
selected metals and semiconductors. Cm , cs , κ, and α are at 25 ◦ C. For metals, TD is obtained by fitting the Debye curve to the experimental molar heat capacity data at the point Cm = 12 (3R). TD data for metals from [19.8]. Other data from various references, including [19.2] and the Goodfellow metals website
Part B 19.1
Metals
Ag
Al
Au
Bi
Cu
Ga
Hg
In
Pd
W
Zn
TD (K) Cm (J/K mol) cs (J/K g) κ (W/m K) α (K−1 ) × 10−6
215 25.6 0.237 420 19.1
394 24.36 0.903 237 23.5
170 25.41 0.129 317 14.1
120 25.5 0.122 7.9 13.4
315 24.5 0.385 400 17
240 25.8 0.370 40.6 18.3
100 27.68 0.138 8.65 61
129 26.8 0.233 81.6 24.8
275 25.97 0.244 71.8 11
310 24.45 0.133 173 4.5
234 25.44 0.389 116 31
Semiconductors
Diamond
Si
Ge
AlAs
CdSe
GaAs
GaP
InAs
InP
ZnSe
ZnTe
TD (K) Cm (J/K mol) cs (J/K g) κ (W/m K) α (K−1 ) × 10−6
1860 6.20 0.540 1000 1.05
643 20.03 0.713 156 2.62
360 23.38 0.322 60 5.75
450 43.21 0.424 91 4.28
135 53.77 0.281 4 7.43
370 47.3 0.327 45 6.03
560 31.52 0.313 77 4.89
280 66.79 0.352 30 5
425 46.95 0.322 68 4.56
340 51.97 0.360 19 7.8
260 49.79 0.258 18 8.33
and CP are identical. However, the actual interatomic PE is anharmonic, that is, it has an additional x 3 term. It is not difficult to show that in this case the vibrations or phonons interact. For example, two phonons can mix to generate a third phonon of higher frequency or a phonon can decay into two phonons of lower frequency etc. Further, the anharmonicity also leads to thermal expansion, so that CV and CP are not identical as is the case in the Debye model. As a result of the anharmonic effects, CP continues to increase with temperature beyond the 3R Dulong–Petit rule, though the increase with temperature is usually small.
19.1.2 Specific Heat Capacity of Selected Groups of Materials Many researchers prefer to quote the heat capacity for one mole of the substance, that is quote Cm , and sometime express Cm in terms of R. The limit Cm = 3R is the DP rule. It is not unusual to find materials for which Cm can exceed the 3R limit at sufficiently high temperatures for a number of reasons, as discussed, for example, by Elliott [19.6]. Most applications of electronic materials require a knowledge of the specific heat capacity cs , the heat capacity per unit mass. The heat capacity per unit volume is simply cs /ρ, where ρ is the density. For a crystal that has only one type of atom with an atomic mass Mat (g/mol) in its unit cell (e.g. Si), cs is Cm /Mat expressed in J/K g. While the Debye heat capacity is useful in predicting the molar heat capacity of a crystal at any temperature, there are many substances, such as metals, both pure
metals and alloys, and various semiconductors (e.g. Ge, CdSe, ZnSe etc.) and ionic crystals (e.g. CsI), whose room-temperature heat capacities approximately follow the simple DP rule of Cm = 3R, the limiting value in Fig. 19.1. For a metal alloy, or a compound such as A x B y C z , that is made up of three components A, B and C with molar fractions x, y and z, where x + y + z = 1, the overall molar heat capacity can be found by adding individual molar heat capacities weighted by the molar fraction of the component, Cm = xCmA + yCmB + xCmA ,
(19.9)
where CmA , CmB and CmA are the individual molar heat capacities. Equation (19.9) is the additive rule of molar heat capacities. The corresponding specific heat capacity is cs = 3R/ M¯ at ,
(19.10)
where M¯ at = xM A + yM B + z MC is the mean atomic mass of the compound, and M A , M B and MC are the atomic masses of A, B and C. For example, for ZnSe, the average mass M¯ at = (1/2)(78.96 + 65.41) = 72.19 g/mol, and the DP rule predicts cs = 3R/ M¯ at = 0.346 J/Kg, which is almost identical to the experimental value at 300 K. The modern Debye theory and the classical DP rule that Cm = 3R are both based on the addition of heat increasing the vibrational energy of the atoms or molecules in the solid. If the molecules are able to rotate, as in certain polymers and liquids, then the molar heat capacity will be more than 3R. For example, the heat capacity of
Thermal Properties and Thermal Analysis
Figure 19.4 shows the heat capacity of an Asx Se1−x glass as the composition is varied. The specific heat capacity changes with composition and shows special features at certain critical compositions that correspond to the appearance of various characteristic molecular units in the glass, or the structure becoming optimally connected (when the mean coordination number r = 2.4). With low concentrations of As, the structure is Serich and has a floppy structure, and the heat capacity
19.2 Thermal Conductivity
per mole is about 3R. As the As concentration is increased, the structure becomes more rigid, and the heat capacity decreases, and eventually at 40 at % As, corresponding to As2 Se3 , the structure has an optimum connectivity (r = 2.4) and the heat capacity is minimum and, in this case, close to 2.51R. There appears to be two minima, which probably correspond to As2 Se10 and As2 Se5 , that is to AsSe5 and AsSe5/2 units within the structure.
Heat conduction in materials is generally described by Fourier’s heat conduction law. Suppose that Jx is the heat flux in the x-direction, defined as the quantity of heat flowing in the x-direction per unit area per unit second: the thermal energy flux. Fourier’s law states that the heat flux at a point in a solid is proportional to the temperature gradient at that point and the proportionality constant depends on the material, Jx = −κ
dT , dx
(19.16)
where κ is a constant that depends on the material, called the thermal conductivity (W/m K or W/m ◦ C), and dT/ dx is the temperature gradient. Equation (19.16) is called Fourier’s law, and effectively defines the thermal conductivity of a medium. Table 19.2 provides an overview of typical values for the thermal conductivity of various classes of materials. The thermal conductivity depends on how the atoms in the solid transfer the energy from the hot region to the cold region. In metals, the energy transfer involves the conduction electrons. In nonmetals, the energy transfer involves lattice vibrations, that is atomic vibrations of the crystal, which are described in terms of phonons. The thermal conductivity, in general, depends on the temperature. Different classes of materials exhibit different κ values and dependence of κ on T , but we can generalize very roughly as follows:
Most metal alloys: κ lower than for pure metals; κ ≈ 10–100 W/mK. κ increases with increasing T . Most ceramics: Large range of κ, typically 10–200 W/m K with diamond and beryllia being exceptions with high κ. At high T , typically above ≈ 100 K, κ decreases with increasing T . Most glasses: Small κ, typically less than ≈ 5 W/mK and increases with increasing T . Typical examples are borosilicate glasses, window glass, soda-lime glasses, fused silica etc. Fused silica is noncrystalline SiO2 with κ ≈ 2 W/mK. Most polymers: κ is very small and typically less than 2 W/mK and increases with increasing T . Good thermal insulators.
19.2.2 Thermal Conductivity of Crystalline Insulators
In nonmetals heat transfer involves lattice vibrations, that is phonons. The heat absorbed in the hot region increases the amplitudes of the lattice vibrations which is the same as generating more phonons. These new phonons travel towards the cold regions and thereby transport the lattice energy from the the hot to cold region. The thermal conductivity κ measures the rate at which heat can be transported through a medium per Most pure metals: κ ≈ 50–400 W/m K. At sufficiently unit area per unit temperature gradient. It is proportional high T , e.g. above ≈ 100 K for to the rate at which a medium can absorb energy, that is, copper, κ ≈ constant. In magnetic κ is proportional to the heat capacity. κ is also propormaterials such as iron and nickel, κ tional to the rate at which phonons are transported which is determined by their mean velocity vph . In addition, of decreases with T .
Part B 19.2
19.2 Thermal Conductivity 19.2.1 Definition and Typical Values
391
Thermal Properties and Thermal Analysis
T = T0 + rt, where T0 is the initial temperature. Consequently, the transformations in DSC are carried out under non-isothermal conditions, and well-known isothermal rate equations cannot be directly applied without some modification.
τ(T, Tf ) = τ0 exp[x∆h ∗ /RT + (1 − x)∆h ∗ /RTf ] , (19.30)
where ∆h ∗ is the activation enthalpy, Tf is the fictive temperature and x is the partition parameter which determines the relative contributions of temperature and structure to the relaxation process. Tf is defined in Fig. 19.16 as the intersection of the glass line passing through the starting enthalpic state G and the extended liquid H–T lines. It depends on the starting enthalpy G so that Tf is used as a convenient temperature parameter to identify the initial state at G . Due to the presence of the structural parameter x, the activation energies obtained by examining the heating and cooling rate dependences of the glass-transition temperature are not the same. If the shift in Tg is examined as a function of the cooling rate q starting from a liquid-like state (above Tg ) then a plot of ln q versus 1/Tg (called a Ritland plot [19.51]) should yield the activation enthalpy ∆h ∗ in (19.30) [19.52–54]. In many material systems, the relaxation time τ in (19.30) is proportional to the viscosity, τ ∝ η [19.55–57] so that ∆h ∗ from cooling scans agrees with the activation energy for the viscosity [19.58–62] over the same temperature range. The viscosity η usually
follows either an Arrhenius temperature dependence, as in oxide glasses, or a Vogel–Tammann–Fulcher behavior, η ∝ exp[A/(T − T0 )], where A and T0 are constants, as in many polymers and some glasses, e.g. chalcogenides. The relaxation kinetics of various structural properties such as the enthalpy, specific volume, elastic modulus, dielectric constant etc. have been extensively studied near and around Tg , and there are various reviews on the topic (e.g. [19.63]). One particular relaxation kinetics that has found widespread use is the stretched exponential in which the rate of relaxation of the measured property is given by ! " t β Rate of relaxation ∝ exp − (19.31) , τ where β (< 1) is a constant that characterizes the departure from the pure exponential relaxation rate. Equation (19.31) is often referred to as the Kohlrausch– Williams–Watts (KWW) [19.64] stretch exponential relaxation function. β depends not only on the material but also on the property that is being studied. In some relaxation processes, the whole relaxation process over a very long time is sometimes described by two stretched exponentials to handle the different fast and slow kinetic processes that take place in the structure [19.65]. The kinetic interpretation of Tg implies that, as the cooling rate is slowed, the transition at Tg from the supercooled liquid to the glass state is observed at lower temperatures. There is however a theoretical thermodynamic boundary to the lowest value of Tg . As the supercooled liquid is cooled, its entropy decreases faster than that of the corresponding crystal because Cliquid > Ccrystal . Eventually at a certain temperature T0 , the relative entropy lost ∆Sliquid-crystal by the supercooled liquid with respect to the crystal will be the same as the entropy decrease (latent entropy of fusion) ∆Sf = ∆Hf /Tm during fusion. This is called Kauzmann’s paradox [19.66], and the temperature at which ∆Sliquid–crystal = ∆Sf is the lowest theoretical boundary for the glass transformation; Tg > T0 . The changes in Tg with practically usable heating or cooling rates are usually of the order of 10 ◦ C or so. There have been various empirical rules that relate Tg to the melting temperature Tm and the glass structure and composition. Since Tg depends on the heating or cooling rate, such rules should be used as an approximation; nonetheless, they are extremely useful in engineering as a guide to the selection and use of materials.
401
Part B 19.4
Glass Transformation There are extensive discussions in the literature on the meaning of the glass-transition region and the corresponding Tg (e.g. [19.45–50]). The most popular interpretation of Tg is based on the fact that this transformation is a kinetic phenomenon. The glasstransformation kinetics have been most widely studied by examining the shift in Tg with the heating or cooling rate in a so-called Tg -shift technique. The relaxation process can be modeled by assuming that the glass structure has a characteristic structural relaxation time that controls the rate at which the enthalpy can change. It is well recognized that the glass-transformation kinetics of glasses are nonlinear. In the simplest description, the relaxation can be conveniently described by using a single phenomenological relaxation time τ (called the Narayanaswamy or Tool–Narayanaswamy–Moynihan relaxation time) that depends not only on the temperature but also on the glass structure through the fictive temperature Tf as
19.4 Enthalpic Thermal Properties
402
Part B
Growth and Characterization
Table 19.4 Some selected examples of Tg dependences on various factors
Part B 19.4
Rule
Notation
Comment
Tg ≈ (2/3)Tm
Tg and Tm in K. Tm = melting temperature of corresponding crystalline phase.
ln(q) ≈ −∆h ∗ /RTg + C
q = cooling rate; ∆h ∗ = activation energy in (19.30); C = constant.
Tg ≈ Tg (∞) + C/Mn
Mn = average molecular weight of polymer; C = constant; Tg (∞) is Tg for very large Mn Z = mean coordination number, C = constant (≈ 2.3)
Kauzmman’s empirical rule [19.66]. Most glass structures including many amorphous polymers [19.67]. Some highly symmetrical polymers with short repeat units follow Tg ≈ (1/2)Tm [19.10] Dependence of Tg on the cooling rate. ∆h ∗ may depend on the range of temperature accessed. Bartenev–Lukianov equation [19.51, 68] Dependence of Tg on the average molecular weight of a polymer [19.69, 70]. Tanaka’s rule
ln(Tg ) ≈ 1.6Z + C Tg (x) = Tg (0) − 626x
Tg in K; x is atomic fraction in a : (Na2 O + MgO)x (Al2 O3 + SiO2 )1−x b : (PbO)x (SiO2 )1−x c : (Na2 O)x (SiO2 )1−x Tg (0) = 1080 K for a; 967 K for b; 895 K for c.
Non-Isothermal Phase Transformations The crystallization process observed during a DSC heating scan is a non-isothermal transformation in which nucleation and growth occur either at the same time as in homogenous nucleation or nucleation occurs before growth as in heterogenous nucleation. In the case of isothermal transformations by nucleation and growth, the key equation is the so-called Johnson–Mehl–Avrami equation,
x = 1 − exp(−Kt n ) ,
(19.32)
where K ∝ exp(−E A /kB T ) is the thermally activated rate constant, and n is a constant called the Avrami index whose value depends on whether the nucleation is heterogeneous or homogenous, and the dimensionality m of growth (m = 1, 2 or 3 for one-, two- or threedimensional growth). For example, for growth from preexisting nuclei (heterogeneous nucleation) n = m, and for continuing nucleation during growth, n = m + 1. A detailed summary of possible n and m values has been given by Donald [19.73] DSC studies however are conventionally nonisothermal. There have been numerous papers and discussions on how to extract the kinetic parameters of the transformation from a DSC non-isothermal experiment [19.74–78]. It is possible to carry out a reasonable examination of the crystallization kinetics by combining a single scan experiment with a set of multiple scans; there are many examples in the literature (e.g. [19.78, 79]). Suppose that we take a single DSC
Network glasses. Dependence of Tg on the mean coordination number. Neglects the heating rate dependence. [19.71] ±5%. Silicate glasses [19.72]; x is network modifier. 0.01 < x < 0.6
scan, as in Fig. 19.18, and calculate the fraction of crystallized material x at a temperature T . The plot of ln[− ln(1 − x)] versus 1/T (Coats–Redfern–Sestak plot [19.80, 81]) then provides an activation energy from a single scan. For heterogenous nucleation, EA is m E , whereas for homogenous nucleation it is EA G E N + m E G , where E G is the activation energy for growth and E N is the activation energy for nucleation. Clearly, we need to know something about the nucleation process and dimensionality of growth to make a sensible . Thus, use of E A ln[− ln(1 − x)] = − C
EA + C , RT
(19.33)
where is a constant. Suppose we then examine how the peak rate temperature Tp shifts with the heating rate r. Then a plot of ln(r/Tp2 ) versus 1/Tp is called a Kissinger . Thus, plot [19.82,83], and gives an activation energy E A E r ln (19.34) = − A + C , 2 RTp Tp where C is a constant. In heterogenous nucleation E A simply represents the activation energy of growth E G , = whereas if the nucleation continues during growth, E A (E N + m E G )/(m + 1). The ratio E A /E A represents the non-isothermal Avrami index n. Table 19.5 provides an overview of various thermal analysis techniques that have been used for characterizing non-isothermal phase transformations.
Thermal Properties and Thermal Analysis
19.5 Temperature-Modulated DSC (TMDSC)
403
and E are E = m E or Table 19.5 Typical examples of studies of transformation kinetics. Usual interpretation of E A G A A = E or (E + m E )/(m + 1); x is the rate of crystallization (E N + m E G ) and E A ˙ G N G
Method and plot
Single scan Single scan
ln[− ln(1 − x)] versus 1/T
Multiple scan Multiple scan Multiple scan Multiple scan
ln(r/Tp2 ) versus 1/Tp ln(r n /Tp2 ) versus 1/Tp ln r versus 1/Tc ln[r/(Tp − Tc )] versus 1/Tp ; Tc = initial temperature [ d∆H/ dt]max versus 1/Tp ; x˙ = [ d∆H/ dt]max ln(r/T12 ) versus 1/T1 ; when x = x1 , T = T1 ; T1 depends on r ln[− ln(1 − x)] versus ln r; x is the crystallized amount at T = T1 ; T1 is constant
Multiple scan Multiple scan
Multiple scan
x˙ (1−x)[− ln(1−x)](n−1)/n
Slope provides EA EA
Method
EA m EA E A EA
Coats-Redfern-Sestak [19.80, 81] If n chosen correctly, this agrees with the Kissinger method [19.77, 79]. Independent of the initial temperature Kissinger [19.82, 83]. Initial temperature effect in [19.77] Modified Kissinger; Matusita, Sakka [19.89, 90] Ozawa method [19.74–76] Augis, Bennett [19.91]
EA
Borchardt–Pilonyan [19.92, 93]
EA
Ozawa–Chen [19.94, 95]
−n
Ozawa method [19.90, 94]
DSC has been widely used to study the kinetics of crystallization and various phase transformations occurring in a wide range of material systems; there are numerous recent examples in the literature [19.84–88]. Equations (19.33) and (19.34) represent a simplified analysis. As emphasized recently [19.73], a modified Kissinger analysis [19.89, 90] involves plotting ln(r n /Tp2 ) versus 1/Tp , the slope of which represents ; however, the latter requires an activation energy, m E A
some knowledge of n or m to render the analysis useful. n can be obtained examining the dependence of ln[− ln(1 − x)] on ln r at one particular temperature, which is called the Ozawa method as listed in Table 19.5 [19.90]. It is possible to combine the modified Kissinger analysis with an isothermal study of crystallization kinetics to infer n and m given the type of nucleation process (heterogeneous or homogenous) that takes place.
19.5 Temperature-Modulated DSC (TMDSC) 19.5.1 TMDSC Principles In the early 1990s, a greatly enhanced version of the DSC method called temperature-modulated differential scanning calorimetry (MDSCTM ) was introduced by the efforts of Reading and coworkers [19.96–98]. The MDSC method incorporates not only the ability of conventional DSC but it also provides significant and distinct advantages over traditional DSC. The benefits of the MDSC technique have been documented in several recent papers, and include the following: separation of complex transitions, e.g. glass transition, into easily interpreted components; measurement of heat flow and heat capacity in a single experiment; ability to determine more accurately the initial crystallinity of the studied material; increased sensitivity
for the detection of weak transitions; increased resolution without the loss of sensitivity; measurement of thermal conductivity [19.99, 100]. One of the most important benefits is the separation of complex transitions such as the glass transition into more easily interpreted components. Recent applications of MDSC to glasses has shown that it can be very useful for the interpretation of thermal properties, such as the heat capacity, in relation to the structure as, for example, in the case of chalcogenide glasses (e.g. [19.15, 101, 102]). The MDSCTM that is currently commercialized by TA Instruments uses a conventional heat-flux DSC cell whose heating block temperature is sinusoidally modulated. In MDSC, the sample temperature is modulated sinusoidally about a constant ramp so that the tempera-
Part B 19.5
Study
404
Part B
Growth and Characterization
ture T at time t is, T = T0 + rt + A sin(ωt) ,
(19.35)
Part B 19.5
where T0 is the initial (or starting) temperature, r is the heating rate (which may also be a cooling ramp q), A is the amplitude of the temperature modulation, ω = 2π/P is the angular frequency of modulation and P is the modulation period. It should be emphasized that (19.35) is a simplified statement of the fact that the cell has reached a steady-state operation and that the initial temperature transients have died out. The resulting instantaneous heating rate, dT/ dt, therefore varies sinusoidally about the average heating rate r, and is given by dT/ dt = r + Aω sin(ωt) .
(19.36)
19.5.2 TMDSC Applications
At any time, the apparatus measures the sample temperature and the amplitude of the instantaneous heat flow (by measuring ∆T ) and then, by carrying out a suitable Fourier deconvolution of the measured quantities, it determines two quantities (which have been termed by TA Instruments): 1. Reversing heat flow (RHF), 2. Nonreversing heat flow (NHF). Fourier transforms are made on one full cycle of temperature variation, which means that the average quantities refer to moving averages. The average heat flow, which corresponds to the average heating rate (r), is called the total heat flow (HF). Total heat flow is the only quantity that is available and hence it is the only quantity that is always measured in conventional DSC experiments. MDSC determines the heat capacity using the magnitudes of heat flow and heating rate obtained by averaging over one full temperature cycle. If triangular brackets are used for averages over one period P, then Q is the average heat flow per temperature cycle. The heat capacity per cycle is then calculated from mCP = Heat flow/Heating rate ,
(19.37)
where m is the mass of the sample. This CP has been called the reversing heat capacity, though Schawe has defined as the complex heat capacity [19.12]. The reversing in this context refers to a heat flow that is reversing over the time scale of the modulation period. Furthermore, it is assumed that CP is constant, that is, it does not change with time or temperature over the modulation period. The reversing heat flow is then obtained by RHF = CP dT/ dt .
The nonreversing heat flow (NHF) is the difference between the total heat flow and the reversing heat flow and represents heat flow due to a kinetically hindered process such as crystallization. There are a few subtle issues in the above condensed qualitative explanation. First is that the method requires several temperature cycles during a phase transition to obtain RHF and NHF components, which sets certain requirements on r, A and ω. The second is that the phase difference between the heat flow and the heating rate oscillations is assumed to be small as it would be the case through a glass-transition region or crystallization; but not through a melting process. There are a number of useful discussions and reviews on the MDSC technique and its applications in the literature [19.103–107].
(19.38)
At present there is considerable scientific interest in applying TMDSC measurements to the study of glass-transformation kinetics in glasses and polymers (e.g. [19.15, 101, 108–112]). The interpretation of TMDSC measurements in the glass-transition region has been recently discussed and reviewed by Hutchinson and Montserrat [19.113–116]. The reversing heat flow (RHF) through the Tg region exhibits a step-like change and represents the change in the heat capacity. The hysteresis effects associated with thermal history seem to be less important in the RHF but present in the NHF. The measurement of Tg from the RHF in TMDSC experiments shows only a weak dependence on glass aging and thermal history [19.117–119], which is a distinct advantage of this technique. The interpretation of the NHF has been more difficult but it is believed that it provides a qualitative indication of the enthalpy loss during the annealing period below Tg [19.103] though more research is needed to clarify its interpretation. Figure 19.19 shows a typical MDSC result through the glass-transition region of Se99.5 As0.5 glass. It is important to emphasize that ideally the underlying heating rate in TMDSC experiments should be as small as possible. In this way we can separate the conventional DSC experiment, which also takes place during TMDSC measurements, from the dynamic, frequency-controlled TMDSC experiment. The oscillation amplitude A in the TMDSC must be properly chosen so that the CP measurements do not depend on A; typically A = ±1.0 ◦ C [19.61]. The oscillation period P should be chosen to ensure that there are at least four full modulations within the half width of the temperature transition, that is, a minimum of eight oscillations over
406
Part B
Growth and Characterization
Part B 19
calibrated at any reasonable heating rate, and the DSC temperature data will be correct within a few tenths of a Celsius degree for data taken at other heating or cooling rates. Inasmuch as advanced Tzero compensates for the effect of pan thermal mass and coupling, it is possible to calibrate using one pan type and then use another pan type without incurring substantial errors. In summary, since the Tzero technology uses more information in the DSC measurement, it is more accurate under a wider range of conditions compared with the ordinary DSC without calibration under those specific conditions. The thermal lag error is proportional to heat flow, heating rate, and to the mass of the sample/pan system.
Hence, this error becomes greatest with fast scanning rates, large sample masses, massive sample pans, or sample specimens with an especially high heat capacity. The thermal lag error is also proportional to the thermal resistance between the sample and sensors so it is made worse by using pans made of poor thermal conductivity or pans making poor thermal contact. However, even in ordinary polymer samples, using optimally coupled aluminum pans, the error produced could be more than two Celsius degrees because of poor thermal conductivity; for other samples it could be several times larger. In summary, the Tzero technology has enabled better DSC experiments to be carried out.
References 19.1
19.2 19.3 19.4 19.5 19.6 19.7 19.8 19.9 19.10 19.11 19.12 19.13 19.14 19.15 19.16 19.17 19.18 19.19
W. Martienssen, H. Warlimont (eds): Springer Handbook of Condensed Matter and Materials Data (Springer, Berlin Heidelberg New York 2005) O. Madelung: Semiconductors: Data Handbook, 3rd edn. (Springer, Berlin Heidelberg New York 2004) S. Adachi: Properties of Group IV, III–V and II–VI Semiconductors (Wiley, Chichester 2005) P. Debye: Ann. Phys. 39, 789 (1912) S. O. Kasap: Principles of Electronic Materials and Devices, 3rd edn. (McGraw–Hill, Boston 2005) S. Elliott: The Physics and Chemistry of Solids (Wiley, Chichester 1998) R. B. Stephens: Phys. Rev. B 8, 2896 (1973) J. De Launay: Solid State Physics Vol. 2, ed. by F. Seitz, D. Turnbull (Academic, New York 1956) K. Ichikawa: J. Phys. C 18, 4631 (1985) D. W. Van Krevelen, P. J. Hoftyzer: Properties of Polymer (Elsevier, Amsterdam 1976) M. Pyda, E. Nowak-Pyda, J. Mays, B. Wunderlich: J. Polymer Sci. B 42, 4401 (2004) B. Wunderlich: Thermochim. Acta 300, 43 (1997) and references therein D. E. Sharp, L. B. Ginther: J. Am. Ceram. Soc. 34, 260 (1951) S. A. Khalimovskaya-Churkina, A. I. Priven: Glass Phys. Chem., 26, 531 (2000) and references therein T. Wagner, S. O. Kasap: Philos. Mag. 74, 667 (1996) S. Inaba, S. Oda: J. Non-Cryst. Solids 325, 258 (2003) Y. P. Joshi, G. S. Verma: Phys. Rev. B 1, 750 (1970) C. J. Glassbrenner, G. Slack: Phys. Rev. 134, A1058 (1964) M. G. Holland: The Proceedings of the 7th Int. Conf. Phys. Semicond., Paris (Dunond, Paris 1964) p. 1161. The data were extracted from 19.2 (Fig. 2.11.11) in which the original data were taken from this reference.
19.20 19.21 19.22 19.23 19.24 19.25 19.26 19.27 19.28 19.29 19.30 19.31 19.32 19.33
19.34 19.35
19.36 19.37 19.38
C. M. Bhandari, C. M. Rowe: Thermal Conduction in Semiconductors (Wiley, New Delhi 1988) M. P. Zaitlin, A. C. Anderson: Phys. Rev. Lett. 33, 1158 (1974) C. Kittel: Phys. Rev. 75, 972 (1949) C. Kittel: Introduction to Solid State Physics, 8th edn. (Wiley, New York 2005) P. B. Allen, J. L. Feldman: Phys. Rev. Lett. 62, 645 (1989) P. B. Allen, J. L. Feldman: Phys. Rev. B 48, 12581 (1993) A. Jagannathan, R. Orbach, O. Entin-Wohlman: Phys. Rev. B 30, 13465 (1989) C. Oligschleger, J. C. Schön: Phys. Rev. B 59, 4125 (1999) R. C. Zeller, R. O. Pohl: Phys. Rev. B 4, 2029 (1971) K. Eiermann: Kolloid Z. 201, 3 (1965) Y. Agari, A. Ueda, Y. Omura, S. Nagai: Polymer 38, 801 (1997) B. Weidenfeller, M. Höfer, F. Schilling: Composites A 33, 1041 (2002) B. Weidenfeller, M. Höfer, F. R. Schilling: Composites A 35, 423 (2004) R. Bube: Electronic Properties of Crystalline Solids: An Introduction to Fundamentals (Academic, New York 1974) A. Jezowski, J. Mucha, G. Pompe: J. Phys. D 20, 1500 (1987) See Chapter 1 Selected Topic entitled "Thermal Expansion" in the CDROM Principle of Electronic Materials and Devices, 3rd Edition, McGraw–Hill, Boston, (2005) Y. Okada, Y. Tokumaru: J. Appl. Phys. 56, 314 (1984) J. M. Hutchinson, P. Kumar: Thermochim. Acta 391, 197 (2002) R. C. Mackenzie: Thermochim. Acta 28, 1 (1979)
Thermal Properties and Thermal Analysis
19.39 19.40 19.41 19.42 19.43 19.44 19.45
19.46
19.49 19.50 19.51 19.52 19.53 19.54 19.55 19.56 19.57 19.58 19.59 19.60 19.61 19.62 19.63
19.64 19.65 19.66 19.67 19.68 19.69 19.70 19.71 19.72 19.73
19.74 19.75 19.76 19.77 19.78 19.79 19.80 19.81 19.82 19.83 19.84
19.85 19.86 19.87
19.88
19.89 19.90 19.91 19.92 19.93 19.94 19.95 19.96 19.97 19.98 19.99 19.100 19.101 19.102
19.103
19.104 19.105
T. Ozawa: J. Therm. Anal. 2, 301 (1970) T. Ozawa: J. Therm. Anal. 7, 601 (1975) T. Ozawa: J. Therm. Anal. 9, 369 (1976) S. O. Kasap, C. Juhasz: J. Chem. Soc. Faraday Trans. II 81, 811 (1985) and references therein T. Kemeny, J. Sestak: Thermochim. Acta 110, 113 (1987) and references therein S. Yannacopoulos, S. O. Kasap, A. Hedayat, A. Verma: Can. Metall. Q. 33, 51 (1994) A. W. Coats, J. P. Redfern: Nature 201, 68 (1964) J. Sestak: Thermochim. Acta 3, 150 (1971) H. E. Kissinger: J. Res. Natl. Bur. Stand. 57, 217 (1956) H. E. Kissinger: Anal. Chem. 29, 1702 (1957) S. de la Parra, L. C. Torres-Gonzalez, L. M. TorresMartínez, E. Sanchez: J. Non-Cryst. Solids 329, 104 (2003) I. W. Donald, B. L. Metcalfe: J. Non-Cryst. Solids 348, 118 (2004) W. Luo, Y. Wang, F. Bao, L. Zhou, X. Wang: J. NonCryst. Solids 347, 31 (2004) J. Vazquez, D. Garcia-G. Barreda, P. L. LopezAlemany, P. Villares, R. Jimenez-Garay: J. NonCryst. Solids 345, 142 (2004) and references therein A. Pratap, K. N. Lad, T. L. S. Rao, P. Majmudar, N. S. Saxena: J. Non-Cryst. Solids 345, 178 (2004) K. Matusita, S. Sakka: Bull. Inst. Chem. Res. 59, 159 (1981) K. Matusita, T. Komatsu, R. Yokota: J. Mater. Sci. 19, 291 (1984) J. A. Augis, J. W. E. Bennett: J. Therm. Anal. 13, 283 (1978) H. J. Borchardt, F. Daniels: J. Am. Ceram. Soc. 78, 41 (1957) G. O. Pilonyan, I. D. Ryabchikov, O. S. Novikova: Nature 212, 1229 (1966) T. Ozawa: Polymer 12, 150 (1971) H. S. Chen: J. Non-Cryst. Solids 27, 257 (1978) M. Reading, D. Elliott, V. L. Hill: J. Therm. Anal. 40, 949 (1993) M. Reading: Trends Polym. Sci. 1, 248 (1993) M. Reading, A. Luget, R. Wilson: Thermochim. Acta 238, 295 (1994) E. Verdonck, K. Schaap, L. C. Thomas: Int. J. Pharm. 192, 3 (1999) C. M. A. Lopes, M. I. Felisberti: Polym. Test. 23, 637 (2004) T. Wagner, M. Frumar, S. O. Kasap: J. Non-Cryst. Solids 256, 160 (1999) P. Boolchand, D. G. Georgiev, M. Micoulaut: J. Optoelectron. Adv. Mater. 4, 823 (2002) and references therein K. J. Jones, I. Kinshott, M. Reading, A. A. Lacey, C. Nikopoulos, H. M. Pollosk: Thermochim. Acta 305, 187 (1997) Z. Jiang, C. T. Imrie, J. M. Hutchinson: Thermochim. Acta 315, 1 (1998) B. Wunderlich: Thermochim. Acta 355, 43 (2000)
407
Part B 19
19.47 19.48
W. W. Wedlandt: Thermal Analysis, 3 edn. (Wiley, New York 1986) p. 3 B. Wunderlich: Thermal Analysis (Academic, New York 1990) E. F. Palermo, J. Chiu: Thermochim. Acta 14, 1 (1976) S. Sarig, J. Fuchs: Thermochim. Acta 148, 325 (1989) W. Y. Lin, K. K. Mishra, E. Mori, K. Rajeshwar: Anal. Chem. 62, 821 (1990) T. Ozawa: Thermochim. Acta 355, 35 (2000) J. Wong, C. A. Angell: Glass, Structure by Spectroscopy (Marcel Dekker, New York 1976) and references therein J. Zaryzycki: Glasses and the Vitreous State (Cambridge University Press, Cambridge 1991) J. Jäckle: Rep. Prog. Phys. 49, 171 (1986) C. A. Angell: J. Res. Natl. Inst. Stand. Technol. 102, 171 (1997) C. A. Angell, B. E. Richards, V. Velikov: J. Phys. Cond. Matter 11, A75 (1999) I. Gutzow, B. Petroff: J. Non-Cryst. Solids 345, 528 (2004) H. N. Ritland: J. Am. Ceram. Soc. 37, 370 (1954) C. T. Moynihan, A. J. Easteal, M. A. DeBolt, J. Tucker: J. Am. Cer. Soc. 59, 12 (1976) M. A. DeBolt, A. J. Easteal, P. B. Macedo, C. T. Moynihan: J. Am. Cer. Soc. 59, 16 (1976) H. Sasabe, C. Moynihan: J. Polym. Sci. 16, 1447 (1978) O. V. Mazurin: J. Non-Cryst. Solids 25, 131 (1977) C. T. Moynihan, A. J. Easteal: J. Am. Ceram. Soc. 54, 491 (1971) H. Sasabe, C. T. Moynihan: J. Polym. Sci. 16, 1447 (1978) I. Avramov, E. Grantscharova, I. Gutzow: J. NonCryst. Solids 91, 386 (1987) and references therein S. Yannacopoulos, S. O. Kasap: J. Mater. Res. 5, 789 (1990) S. O. Kasap, S. Yannacopoulos: Phys. Chem. Glasses 31, 71 (1990) J. Malek: Thermochim. Acta 311, 183 (1998) S. O. Kasap, D. Tonchev: J. Mater. Res. 16, 2399 (2001) Z. Cernosek, J. Holubova, E. Cernoskova, M. Liska: J. Optoelec. Adv. Mater. 4, 489 (2002) and references therein G. Williams, D. C. Watts: Trans. Faraday Soc. 66, 80 (1970) R. Bohmer, C. A. Angell: Phys. Rev. B 48, 5857 (1993) W. Kauzmann: Chem. Rev. 43, 219 (1948) R. F. Boyer: J. Appl. Phys. 25, 825 (1954) G. M. Bartenev, I. A. Lukianov: Zh. Fiz. Khim 29, 1486 (1955) T. G. Fox, P. J. Flory: J. Polym. Sci. 14, 315 (1954) T. G. Fox, S. Loshaek: J. Polym. Sci. 15, 371 (1955) K. Tanaka: Solid State Commun. 54, 867 (1985) I. Avramov, T. Vassilev, I. Penkov: J. Non-Cryst. Solids 351, 472 (2005) I. W. Donald: J. Non-Cryst. Solids 345, 120 (2004)
References
408
Part B
Growth and Characterization
Part B 19
19.106 H. Huth, M. Beiner, S. Weyer, M. Merzlyakov, C. Schick, E. Donth: Thermochim. Acta 377, 113 (2001) 19.107 Z. Jiang, C. T. Imrie, J. M. Hutchinson: Thermochim. Acta 387, 75 (2002) 19.108 T. Wagner, S. O. Kasap, K. Maeda: J. Mater. Res. 12, 1892 (1997) 19.109 I. Okazaki, B. Wunderlich: J. Polym. Sci. 34, 2941 (1996) 19.110 L. Thomas, A. Boller, I. Okazaki, B. Wunderlich: Thermochim. Acta 291, 85 (1997) 19.111 L. Thomas: NATAS Notes (North American Thermal Analysis Society, Sacramento, CA,USA) 26, 48 (1995) 19.112 B. Hassel: NATAS Notes (North American Thermal Analysis Society, Sacramento, CA, USA) 26, 54 (1995) 19.113 J. M. Hutchinson, S. Montserrat: J. Therm. Anal. 47, 103 (1996) 19.114 J. M. Hutchinson, S. Montserrat: Thermochim. Acta 305, 257 (1997) 19.115 J. M. Hutchinson: Thermochim. Acta 324, 165 (1998) 19.116 J. M. Hutchinson, S. Montserrat: J. Therm. Anal. 377, 63 (2001) and references therein 19.117 A. Boller, C. Schick, B. Wunderlich: Thermochim. Acta 266, 97 (1995)
19.118 J. M. Hutchinson, A. B. Tong, Z. Jiang: Thermochim. Acta 335, 27 (1999) 19.119 D. Tonchev, S. O. Kasap: Mater. Sci. Eng. A328, 62 (2002) 19.120 J. E. K. Schawe: Thermochim. Acta 271, 127 (1996) 19.121 P. Kamasa, M. Pyda, A. Buzin, B. Wunderlich: Thermochim. Acta 396, 109 (2003) 19.122 D. Tonchev, S. O. Kasap: Thermal Characterization of Glasses and Polymers by Temperature Modulated Differential Scanning Calorimetry: Glass Transition Temperature. In: High Performance Structures and Materials II, ed. by C. A. Brebbia, W. P. De Wilde (WIT, Southampton, UK 2004) pp. 223–232 19.123 S. Weyer, M. Merzlyakov, C. Schick: Thermochim. Acta 377, 85 (2001) 19.124 L. E. Waguespack, R. L. Blaine: Design of a new DSC cell with Tzero technology. In: Proceedings of the 29th North American Thermal Analysis Society, St. Louis, September 24–26, ed. by K. J. Kociba (NATAS, Sacramento 2001) pp. 722–727 19.125 R. L. Danley: Thermochim. Acta 395, 201 (2003)
409
20. Electrical Characterization of Semiconductor Materials and Devices
Electrical Cha
The continued evolution of semiconductor devices to smaller dimensions in order to improve performance – speed, functionality, integration density and reduced cost – requires layers or films of semiconductors, insulators and metals with increasingly high quality that are well-characterized and that can be deposited and patterned to very high precision. However, it is not always the case that improvements in the quality of ma-
20.1 Resistivity ........................................... 410 20.1.1 Bulk Resistivity ......................... 410 20.1.2 Contact Resistivity ..................... 415 20.2 Hall Effect ........................................... 418 20.2.1 Physical Principles..................... 419 20.2.2 Hall Scattering Factor................. 420 20.3 Capacitance–Voltage Measurements ...... 20.3.1 Average Doping Density by Maximum–Minimum HighFrequency Capacitance Method ... 20.3.2 Doping Profile by High-Frequency and High–Low Frequency Capacitance Methods.. 20.3.3 Density of Interface States .......... 20.4 Current–Voltage Measurements ............ 20.4.1 I–V Measurements on a Simple Diode ..................... 20.4.2 I–V Measurements on a Simple MOSFET ................... 20.4.3 Floating Gate Measurements ......
421
421
422 424 426 426 426 427
20.5 Charge Pumping .................................. 428 20.6 Low-Frequency Noise........................... 20.6.1 Introduction ............................. 20.6.2 Noise from the Interfacial Oxide Layer .. 20.6.3 Impedance Considerations During Noise Measurement.........
430 430 431 432
20.7 Deep-Level Transient Spectroscopy........ 434 References .................................................. 436 low-frequency noise, charge pumping and deep-level transient spectroscopy techniques.
terials have kept pace with the evolution of integrated circuit down-scaling. An important aspect of assessing the material quality and device reliability is the development and use of fast, nondestructive and accurate electrical characterization techniques to determine important parameters such as carrier doping density, type and mobility of carriers, interface quality, oxide trap density, semiconductor bulk defect density, con-
Part B 20
Semiconductor materials and devices continue to occupy a preeminent technological position due to their importance when building integrated electronic systems used in a wide range of applications from computers, cell-phones, personal digital assistants, digital cameras and electronic entertainment systems, to electronic instrumentation for medical diagnositics and environmental monitoring. Key ingredients of this technological dominance have been the rapid advances made in the quality and processing of materials – semiconductors, conductors and dielectrics – which have given metal oxide semiconductor device technology its important characteristics of negligible standby power dissipation, good input–output isolation, surface potential control and reliable operation. However, when assessing material quality and device reliability, it is important to have fast, nondestructive, accurate and easy-to-use electrical characterization techniques available, so that important parameters such as carrier doping density, type and mobility of carriers, interface quality, oxide trap density, semiconductor bulk defect density, contact and other parasitic resistances and oxide electrical integrity can be determined. This chapter describes some of the more widely employed and popular techniques that are used to determine these important parameters. The techniques presented in this chapter range in both complexity and test structure requirements from simple current–voltage measurements to more sophisticated
410
Part B
Growth and Characterization
Part B 20.1
tact and other parasitic resistances and oxide electrical integrity. This chapter will discuss several techniques that are used to determine these important parameters. However, it is not an extensive compilation of the electrical techniques currently used by the research and development community; rather, it presents a discussion of some of the more widely used and popular ones [20.1–4]. An important aspect of electrical characterization is the availability of appropriate test components [20.1–4]. In this chapter, we concentrate on discussing techniques that use standard test devices and structures. In addition, we will use the MOSFET whenever possible because they are widely available on test chips. This is also motivated by the fact that MOSFETs continue to dominate the semiconductor industry for a wide range of applications from memories and microprocessors to signal and imaging processing systems [20.5]. A key reason for this dominance is the excellent quality of the silicon wafers and the silicon–silicon dioxide interface, both of which play critical roles in the performance and reliability of the device. For example, if the interface has many defects or interface states, or it is rough, then the device’s carrier mobility decreases, low-frequency noise increases and its performance and reliability degrades. In particular, it is not only the interface that is important, but also the quality of the oxide; goodquality oxide prevents currents from flowing between the gate and substrate electrodes through the gate oxide. Both interface and oxide quality allows for excellent isolation between the input and output terminals of the MOSFETs, causing it to behave as an almost ideal switch. Therefore, it is important to have good experimental tools to study the interface properties and the quality of the gate dielectric.
Electrical characterization of semiconductors and the semiconductor–dielectric interface is important for a variety of reasons. For example, the defects at and in the interfacial oxide layer in silicon–silicon dioxide (Si–SiO2 ) systems and in the bulk semiconductor play critical roles in their low-frequency noise, independent of whether the device is surface-controlled such as a MOSFET, or a bulk transport device such as a polysilicon emitter bipolar junction transistor (PE BJT). These defects can affect the charge transfer efficiency in charge coupled devices (CCDs), p–n photodiodes or CMOS imagers, and can be the initiation point of catastrophic failure of oxides. Interface and bulk states can act as scattering centers to reduce the mobility in MOSFETs, thus affecting their performance parameters such as switching speed, transconductance and noise. This chapter is devoted to the electrical characterization of semiconductors, insulators and interfaces. In the first part (Sects. 20.1 and 20.2), the basic electrical properties of materials (such as resistivity, concentration and mobility of carriers) are studied. The main measurement techniques used to determine these electrical parameters are presented. Due to its increasing importance in modern ultrasmall geometry devices, electrical contacts are also studied. All of the characterization techniques presented in this first part are associated with specially designed test structures. In the second part (Sects. 20.4 to 20.7), we use active components such as capacitors, diodes and transistors (mainly MOSFETs) in order to determine more specific electrical parameters such as traps, oxide quality and noise level that are associated with material or devices. Of course this involves specific measurement techniques that are often more sophisticated than those discussed in the previous two sections.
20.1 Resistivity Resistivity is one of the most important electrical parameters of semiconductors [20.1–4]. First, we present the basic physical relations concerning the bulk resistivity. The main electrical measurement techniques are then described: the two oldest ones that are still relevant today – the four-point-probe technique and the van der Pauw technique – and then the spreading resistance technique. Second, because it is closely linked with bulk resistivity measurement techniques and it is increasingly important in modern ultrasmall geometry devices, contact resistivity will be presented. Special attention will be given to
Kelvin contact resistance (KCR) measurement and the transmission line measurement (TLM) techniques.
20.1.1 Bulk Resistivity Physical Approach, Background and Basics The bulk resistivity ρ is an intrinsic electrical property related to carrier drift in materials such as metals and semiconductors [20.6]. From a macroscopic point of view, the resistivity ρ can be viewed as the normalization of the bulk resistance (R) by its geometrical dimensions
Electrical Characterization of Semiconductor Materials and Devices
of implementation and ease of use. Since these practical characteristics are satisfied even when specially shaped samples are required, then the Hall effect measurement technique has become a very popular method of characterizing materials. In this section, we will first present the physical principle of the Hall effect. Then we will show how it can be used to determine the carrier density and mobility. Finally, the influence of the Hall scattering factor will be presented, followed by some practical issues about the implementation of the Hall effect method.
20.2.1 Physical Principles
FL = q(v × B) = −qvx Bz ,
(20.33)
where vx is the carrier velocity in the x-direction. Assuming a homogeneous p-type semiconductor vx =
I . qtW p
(20.34)
As a consequence, an excess surface electrical charge appears on one side of the sample, and this gives rise to an electric field in the y-direction E y . When the magnetic force FL is balanced by the electric force FEL , then the Hall voltage VH is established, and from a balance between FL and FEL , we get F = FL + FEL = −qvx Bz + qE y = 0 , (20.35) BI so E y = (20.36) . qtW p
419
Also, the Hall voltage VH is given by VH = Vy = E y W =
BI . qtp
(20.37)
So if the magnetic field B and the current I are known, then the measurement of the Hall voltage gives the hole sheet concentration ps from ps = pt =
BI . qVH
(20.38)
If the conducting layer thickness t is known, then the bulk hole concentration can be determined [see (20.40)] and expressed as a function of the Hall coefficient RH , defined as tVH RH = (20.39) BI 1 and p = (20.40) qRH Using the same approach for an n-type homogeneous semiconductor material leads to tVH RH = − (20.41) , BI 1 and n = − (20.42) qRH Now, if the bulk resistivity ρ is known or can be measured at the same time using a known sample such as a Hall bar or van der Pauw structure geometry in zero magnetic field, then the carrier drift mobility can be obtained from |RH | µ= (20.43) ρ There are two main sample geometries commonly used in Hall effect measurements in order to determine either the carrier sheet density or the carrier concentration if the sample thickness is known, and the mobility. The first one is the van der Pauw structure presented in Sect. 20.1.1. The second one is the Hall bar structure shown in Fig. 20.15b, where the Hall voltage is measured between contacts 2 and 5, and the resistivity is measured using the four-point probes technique presented in Sect. 20.1.1 (contacts 1, 2, 3 and 4). Additional information about the shapes and sizes of Hall structures can be found in [20.3, 4, 20]. Whatever the geometry used for Hall measurements, one of the most important issues is related to the offset voltage induced by the nonsymmetric positions of the contact. This problem, and also those due to spurious voltages, can be controlled by two sets of
Part B 20.2
The Hall effect was discovered by Hall in 1879 [20.19] during an experiment on current transport in a thin metal strip. A small voltage was generated transversely when a magnetic field was applied perpendicularly to the conductor. The basic principle of this Hall phenomenon is the deviation of some carriers from the current line due to the Lorentz force induced by the presence of a transverse magnetic field. As a consequence, a voltage drop VH is induced transversely to the current flow. This is shown in Fig. 20.15a for a p-type bar-shaped semiconductor, where a constant current flow Ix in the x-direction and a magnetic field in the z-direction results in a Lorentz force on the holes. If both holes and electrons are present, they deviate towards the same direction. Thus, the directions of electrical and magnetic fields must be accurately specified. The Lorentz force is given by the vector relation
20.2 Hall Effect
424
Part B
Growth and Characterization
The doping profile obtained in this way is reliable for depths w of between 3λDebye and wmax /2, when the MOS structure is in depletion and weak inversion, but not in accumulation. That is, CLF < 0.7COX as a simple rule. As illustrated in Fig. 20.21, the range of w values between 3λDebye and equilibrium, obtained via quasistatic C–V measurements, cover about half-a-decade. With proper corrections, the lower distance decreases to one Debye length [20.30]. Using nonequilibrium (transient) C–V measurements in deep depletion, the profiling can be extended to higher distances by about an order of magnitude, but further limitations can appear due to the high-frequency response of the interface charge, measurement errors, avalanche breakdown in deep depletion, or charge tunneling in highly doped substrates and thin oxides. More details are presented in [20.29].
20.3.3 Density of Interface States Part B 20.3
Interface traps change their charge state depending on whether they are filled or empty. Because interface trap occupancy varies with the slow gate bias, stretching of the C–V curves occurs, as illustrated in Fig. 20.20. A quantitative treatment of this “stretch-out” can be obtained from Gauss’ law as COX (VG − ψS ) = −Q S − Q IT = −Q T ,
(20.61)
where Q S and Q IT are the surface and interface trap charges (per unit area), which are both dependent on the surface band-bending ψS , Q T = Q S + Q IT is the total charge in the MOS structure, COX is the gate capacitance (per unit area), and VG is the bias applied at the gate of the MOS structure. For simplicity, the (gate metal)-to-(semiconductor bulk) potential ψMS is omitted in (20.61), but in a real structure the constant ψMS must be subtracted from VG . As follows from (20.61), small changes ∂VG in gate bias cause changes ∂ψS in the surface potential bending, and the surface CS and interface trap CIT capacitances (both per unit area) can represent Q S and Q IT , given by COX ∂VG = (COX + CS + CIT ) · ∂ψS .
(20.62)
CS and CIT are in parallel and in series with the COX , respectively. Therefore, the measured low-frequency capacitance CLF (per unit area) of the MOS structure becomes ∂Q T ∂ψS ∂Q T CLF = = · ∂VG ∂ψS ∂VG COX (CS + CIT ) = . (20.63) COX + CS + CIT
Equation (20.63) shows that stretch-out in the C–V curve can arise due to a non-zero value of CIT , which deviates from the ideal case of CIT = 0. According to [20.29] (p. 142), DIT is the density of interface states per unit area (cm2 ) and per unit energy (1 eV) in units of cm−2 eV−1 . Since the occupancy of the interface states has a Fermi–Dirac distribution, then upon integrating over the silicon band-gap, the relation between CIT and DIT is CIT (ψS ) = qDIT (φB + ψS ) ,
(20.64)
where φB = (kB T/q) ln(n/n i ) is the shift of the Fermi level from the intrinsic level φi = (E c − E v )/2q in the silicon bulk of the MOS structure due to the doping concentration n, and n i is the thermally generated carrier concentration in silicon. Since the derivative of the Fermi–Dirac distribution is a sharply peaking function, then CIT (ψS ) at particular ψS probes DIT (φB + ψS ) over a narrow energy range of kB T/q, in which DIT can be assumed to be constant and zero outside this interval. Thus, varying the gate bias VG , and therefore ψS , (20.64) can be used to obtain the density of states DIT at a particular energy shift q(φB + ψS ) from the silicon intrinsic (mid-gap) energy E i . It is evident from (20.63) and (20.64) that the experimental values for DIT can be obtained only when CIT , and ψS are determined from C–V measurements. The simplest way to determine φB is to get the average doping density n using the maximum–minimum high-frequency capacitance method (see (20.52) and Fig. 20.18), or to use the values of n from doping profiles at 0.9wmax - see (20.58) [20.30]. Either the high-frequency or the lowfrequency C − V measurement can be used to obtain CIT , but it is necessary to calculate CS as function of ψS , which makes it difficult to process the experimental data. The most suitable technique for experimentally determining DIT is the combined high–low frequency capacitance method ([20.29], Sect. 8.2.4, p. 332). The interface traps respond to the measurement of low–frequency capacitance CLF , whereas they do not respond to the measurement of the high-frequency measurement CHF . Therefore, CIT can be obtained from measurements by “subtracting” CHF from CLF , given by 1 1 −1 CIT = − CLF COX 1 1 −1 − . (20.65) + CHF COX Denoting ∆C = CLF − CHF , the substitution of (20.65) into (20.64) provides a direct estimate of DIT from C–V
Electrical Characterization of Semiconductor Materials and Devices
20.22 20.23 20.24
20.25
20.26
20.27 20.28 20.29
20.31
20.32 20.33 20.34 20.35 20.36 20.37
20.38 20.39 20.40
20.41 20.42
20.43 20.44
20.45
20.46
20.47 20.48
20.49 20.50 20.51 20.52
20.53 20.54
20.55
20.56 20.57
20.58
20.59 20.60
20.61
20.62
20.63 20.64 20.65 20.66
H. Uchida, K. Fukuda, H. Tanaka, N. Hirashita: International Electron Devices Meeting (1995) pp. 41-44 N. S. Saks, M. G. Ancona: IEEE Trans. Electron Dev. 37(4), 1057–1063 (1990) S. Mahapatra, C. D. Parikh, V. R. Rao, C. R. Viswanathan, J. Vasi: IEEE Trans. Electron Dev. 47(4), 789– 796 (2000) Y.-L. Chu, D.-W. Lin, C.-Y. Wu: IEEE Trans. Electron Dev. 47(2), 348–353 (2000) J. S. Bruglers, P. G. Jespers: IEEE Trans. Electron Dev. 16, 297 (1969) D. Bauza: J. Appl. Phys. 94(5), 3239–3248 (2003) L. M. Head, B. Le, T. M. Chen, L. Swiatkowski: Proceedings 30th Annual International Reliability Physics Symposium (1992) pp. 228-231 S. An, M. J. Deen: IEEE Trans. Electron Dev. 47(3), 537–543 (2000) S. An, M. J. Deen, A. S. Vetter, W. R. Clark, J.-P. Noel, F. R. Shepherd: IEEE J. Quantum Elect. 35(8), 1196– 1202 (1999) M. J. Deen, C. Quon: 7th Biennial European Conference - Insulating Films on Semiconductors (INFOS 91), ed. by W. Eccleston, M. Uren (IOP Publishing Ltd., Liverpool U.K., 1991) pp. 295-298 Z. Celik-Butler: IEE P.-Circ. Dev. Syst. 149(1), 23–32 (2002) J. Chen, A. Lee, P. Fang, R. Solomon, T. Chan, P. Ko, C. Hu: Proceedings IEEE International SOI Conference (1991) pp. 100-101 J. Sikula: Proceedings of the 17th International Conference on Noise in Physical Systems and 1/f Fluctuations (ICNF 2003), (CNRL,Prague, 2003) M. J. Deen, Z. Celik-Butler, M. E. Levinhstein (Eds.): SPIE Proc. Noise Dev. Circ. 5113 (2003) C. R. Doering, L. B. Kish, M. Shlesinger: Proceedings of the First International Conference on Unsolved Problems of Noise, (World Scientific Publishing, Singapore, 1997) D. Abbot, L. B. Kish: Proceedings of the Second International Conference on Unsolved Problems of Noise and Fluctuations, (American Institute of Physics Conference Proceedings: 511, Melville, New York 2000) S. M. Bezrukov: Proceedings of the Third International Conference on Unsolved Problems of Noise and Fluctuations, Washington, DC (American Institute of Physics Conference Proceedings: 665, Melville, New York 2000) M. J. Deen, S. L. Rumyantsev, M. Schroter: J. Appl. Phys. 85(2), 1192–1195 (1999) M. Sanden, O. Marinov, M. Jamal Deen, M. Ostling: IEEE Electron Dev. Lett., 22(5), 242–244 (2001) M. Sanden, O. Marinov, M. Jamal Deen, M. Ostling: IEEE Trans. Electron Dev. 49(3), 514–520 (2002) M. J. Deen, J. I. Ilowski, P. Yang: J. Appl. Phys. 77(12), 6278–6288 (1995)
437
Part B 20
20.30
D. T. Lu, H. Ryssel: Curr. Appl. Phys. 1(3-5), 389–391 (2001) R. L. Petriz: Phys. Rev. 110, 1254–1262 (1958) P. Terziyska, C. Blanc, J. Pernot, H. Peyre, S. Contreras, G. Bastide, J. L. Robert, J. Camassel, E. Morvan, C. Dua, C. C. Brylinski: Phys. Status Solidi A 195(1), 243–247 (2003) G. Rutsch, R. P. Devaty, D. W. Langer, L. B. Rowland, W. J. Choyke: Mat. Sci. Forum 264-268, 517–520 (1998) P. Blood, J. W. Orton: The Electrical Characterization of Semiconductor: Majority Carriers and Electron States, (Techniques of Physics, Vol. 14) (Academic, New York 1992) Q. Lu, M. R. Sardela Jr., T. R. Bramblett, J. E. Greene: J. Appl. Phys. 80, 4458–4466 (1996) S. Wagner, C. Berglund: Rev. Sci. Instrum. 43(12), 1775–1777 (1972) E. H. Nicollian, J. R. Brews: MOS (Metal Oxide Semiconductor) Physics and Technology (Wiley, New York 1982) Model 82-DOS Simultaneous C-V Instruction Manual (Keithley Instruments, Cleveland 1988) W. Beadle, J. Tsai, R. Plummer: Quick Reference Manual for Silicon Integrated Circuit Technology (Wiley, New York 1985) J. Brews: J. Appl. Phys., 44(7), 3228–3231 (1973) M. Kuhn: Solid-State Electron. 13, 873–885 (1970) C. N. Berglund: IEEE Trans. Electron Dev., 13(10), 701–705 (1966) S. Witczak, J. Schuele, M. Gaitan: Solid-State Electron. 35, 345 (1992) M. J. Deen: Electron. Lett. 28(3), 1195–1997 (1992) Z. P. Zuo, M. J. Deen, J. Wang: Proc. Canadian Conference on Electrical and Computer Engineering (IEEE Press, Piscataway, 1989) pp. 1038-1041 A. Raychaudhuri, M. J. Deen, M. I. H. King, W. Kwan: IEEE Trans. Electron Dev. 43(7), 1114–1122 (1996) W. S. Kwan, A. Raychaudhuri, M. J. Deen: Can. J. Phys. 74, S167–S171 (1996) T. Matsuda, R. Takezawa, K. Arakawa, M. Yasuda, T. Ohzone, T. Kameda, E. Kameda: Proc. International Conference on Microelectronic Test Structures (ICMTS 2001) (IEEE Press, Piscataway, 2001) pp. 6570 A. Raychaudhuri, M. J. Deen, M. I. H. King, W. Kwan: IEEE Trans. Electron Dev. 43(1), 110–115 (1996) G. Groeseneneken, H. Maes, N. Beltram, R. DeKeersmaker: IEEE Trans. Electron Dev. 31, 42–53 (1984) X. Li, M. J. Deen: Solid-State Electron. 35(8), 1059– 1063 (1992) X. M. Li, M. J. Deen: IEEE International Electron Devices Meeting (IEDM) (IEEE Press, Piscataway, 1990) pp. 85-87 D. S. Ang, C. H. Ling: IEEE Electron Dev. Lett. 19(1), 23–25 (1998)
References
438
Part B
Growth and Characterization
20.67 20.68
20.69 20.70
20.71
20.72
20.73
Part B 20
20.74
M. J. Deen, E. Simoen: IEE P.-Circ. Dev. Syst. 49(1), 40–50 (2002) M. J. Deen: IEE Proceedings - Circuits, Devices and Systems – Special Issue on Noise in Devices and Circuits 151(2) (2004) M. J. Deen, O. Marinov: IEEE Trans. Electron Dev. 49(3), 409–414 (2002) O. Marinov, M. J. Deen, J. Yu, G. Vamvounis, S. Holdcroft, W. Woods: Instability of the Noise Level in Polymer Field Effect Transistors with Non-Stationary Electrical Characteristics, Third International Conference on Unsolved Problems of Noise and Fluctuations (UPON 02), Washington, DC (AIP Press, Melville, 2002) M. Marin, M. J. Deen, M. de Murcia, P. Llinares, J. C. Vildeuil: IEE P.-Circ. Dev. Syst. 151(2), 95–101 (2004) A. Chandrakasan: Proceedings European SolidState Circuits Conference (ESSCIRC 2002), (AIP Press, Melville, 2002) pp. 47-54 R. Brederlow, W. Weber, D. Schmitt-Landsiedel, R. Thewes: IEDM Technical Digest (1999) pp. 159-162 L. Chaar, A. van Rheenen: IEEE Trans. Instrum. Meas. 43, 658–660 (1994)
20.75 20.76 20.77
20.78
20.79 20.80 20.81 20.82
20.83
C.-Y. Chen, C.-H. Kuan: IEEE Trans. Instrum. Meas. 49, 77–82 (2000) C. Ciofi, F. Crupi, C. Pace, G. Scandurra: IEEE Trans. Instrum. Meas. 52, 1533–1536 (2003) P. Kolev, M. J. Deen: Development and Applications of a New DLTS Method and New Averaging Techniques. In: Adv. Imag. Electr. Phys., ed. by P. Hawkes (Academic, New York 1999) P. McLarty: Deep Level Transient Spectroscopy (DLTS). In: Characterization Methods for Submicron MOSFETs, ed. by H. Haddara (Kluwer, Boston 1996) pp. 109– 126 P. V. Kolev, M. J. Deen: J. Appl. Phys. 83(2), 820–825 (1998) P. Kolev, M. J. Deen, T. Hardy, R. Murowinski: J. Electrochem. Soc. 145(9), 3258–3264 (1998) P. Kolev, M. J. Deen, J. Kierstead, M. Citterio: IEEE Trans. Electron Dev. 46(1), 204–213 (1999) P. Kolev, M. J. Deen: Proceedings of the Fourth Symposium on Low Temperature Electronics and High Temperature Superconductivity, 97-2, ed. by C. Claeys, S. I. Raider, M. J. Deen, W. D. Brown, R. K. Kirschman (The Electrochemical Society Press, New Jersey, 1997) pp. 147-158 P. V. Kolev, M. J. Deen, N. Alberding: Rev. Sci. Instrum. 69(6), 2464–2474 (1998)
439
Part C
Materials Part C Materials for Electronics
21 Single-Crystal Silicon: Electrical and Optical Properties Shlomo Hava, Beer Sheva, Israel Mark Auslender, Beer Sheva, Israel
25 Amorphous Semiconductors: Structure, Optical, and Electrical Properties Kazuo Morigaki, Tokyo, Japan Chisato Ogihara, Ube, Japan
22 Silicon–Germanium: Properties, Growth and Applications Peter Ashburn, Southampton, UK Darren M. Bagnall, Southampton, UK
26 Amorphous and Microcrystalline Silicon Akihisa Matsuda, Chiba, Japan
23 Gallium Arsenide Mike Brozel, Glasgow, UK 24 High-Temperature Electronic Materials: Silicon Carbide and Diamond Magnus Willander, Göteborg, Sweden Milan Friesel, Göteborg, Sweden Qamar-ul Wahab, Linköping, Sweden Boris Straumal, Chernogolovka, Russia
27 Ferroelectric Materials Roger Whatmore, Lee Maltings, Ireland 28 Dielectric Materials for Microelectronics Robert M. Wallace, Richardson, USA 29 Thin Films Robert D. Gould† , Keele, UK 30 Thick Films Neil White, Highfield, UK
441
Single-Crystal 21. Single-Crystal Silicon: Electrical and Optical Properties 21.1
Silicon Basics....................................... 21.1.1 Structure and Energy Bands........ 21.1.2 Impurity Levels and Charge-Carrier Population ... 21.1.3 Carrier Concentration, Electrical and Optical Properties ............... 21.1.4 Theory of Electrical and Optical Properties ...............
441 441
21.2
Electrical Properties ............................. 21.2.1 Ohm’s Law Regime .................... 21.2.2 High-Electric-Field Effects .......... 21.2.3 Review Material ........................
451 451 465 471
21.3
Optical Properties ................................ 21.3.1 Diversity of Silicon as an Optical Material ................ 21.3.2 Measurements of Optical Constants................... 21.3.3 Modeling of Optical Constants..... 21.3.4 Electric-Field and Temperature Effects on Optical Constants ........
472
443 446 447
472 472 474 477
References .................................................. 478 We realize how formidable our task is – publications on electrical and optical properties of silicon amount to a huge number of titles, most dating back to the 1980s and 1990s – so any review of this subject will inevitably be incomplete. Nevertheless, we hope that our work will serve as a useful shortcut into the silicon world for a wide audience of applied physics, electrical and optical engineering students.
21.1 Silicon Basics 21.1.1 Structure and Energy Bands Normally silicon (Si) crystallizes in a diamond structure on a face-centered cubic (f.c.c.) lattice, with a lattice constant of a0 = 5.43 Å. The basis of the diamond structure consists of two atoms with coordinates (0, 0, 0) and a0 /4(1, 1, 1), as seen in Fig. 21.1. Other solids that can
crystallize in the diamond structure are C, Ge and Sn. The important notion for the electronic band structure is the Brillouin zone (BZ). The BZ is a primitive cell in the reciprocal-space lattice, which proves to be a bodycentered cubic (b.c.c.) lattice for an f.c.c. real-space lattice. For this case, the BZ with important reference points and directions within it is shown in Fig. 21.2.
Part C 21
Electrical and optical properties of crystalline semiconductors are important parts of pure physics and material science research. In addition, knowledge of parameters related to these properties, primarily for silicon and III–V semiconductors, has received a high priority in microelectronics and optoelectronics since the establishment of these industries. For control protocols, emphasis has recently been placed on novel optical measurement techniques, which have proved very promising as nondestructive and even non-contact methods. Earlier they required knowledge of the free-carrier-derived optical constants, related to the electrical conductivity at infrared frequencies, but interest in the optical constants of silicon in the visible, ultraviolet (UV) and soft-X-ray ranges has been revived since the critical dimensions in devices have become smaller. This chapter surveys the electrical (Sect. 21.2) and optical (Sect. 21.3) properties of crystalline silicon. Section 21.2 overviews the basic concepts. Though this section is bulky and its material is documented in textbooks, it seems worth including since the consideration here focuses primarily on silicon and is not spread over other semiconductors – this makes the present review self-contained. To avoid repeated citations we, in advance, refer the reader to stable courses on solid-state physics (e.g. [21.1, 2]), semiconductor physics (e.g. [21.3]), semiconductor optics (e.g. [21.4]) and electronic devices (e.g. [21.5]); seminal papers are cited throughout Sect. 21.2.
446
Part C
Materials for Electronics
may lie closer to the valence (conduction) than the conduction (valence) band. The deep impurities are mostly unionized at room temperature due to their large E d(a) , so their direct contribution to n or p is negligible. The unionized deep impurities may, however, trap the carriers available from the shallow impurities or injection, thus decreasing the conductivity or the minority-carrier lifetime. Atoms that behave in Si in this manner, for example Au, Ag and Cu, are added for lifetime control. The properties of these impurities in Si have been studied in detail (see, e.g., [21.32, 33]).
21.1.3 Carrier Concentration, Electrical and Optical Properties
Part C 21.1
Concentration and Electrical Measurements Measurements of carrier concentrations, as well as electrical and optical characteristics are most tractable if either n p (strongly n-type conduction) or p n (strongly p-type conduction). Since the n p product is constant versus doping, the contribution of minority carriers to the conductivity becomes unimportant when Nd(a) increases significantly over n i . A standard route for determining n( p) is Hall-effect measurements. The Hall coefficient RH , measured directly on a long thin slab in a standard crossed electric and magnetic field configuration, is retrieved by
VH d (21.10) , IB where VH is the Hall voltage (Volts), I is the current (Amps), d is the sample thickness (cm) in the z-direction, and B is the magnetic field strength (Gauss) applied in this direction. There are two limiting cases. One, the high-field regime is defined by qBτ/mc 1, where m and τ are the appropriate mass and relaxation-time parameters, respectively. In this case RH = 10−8
1 , qn 1 . RhH (∞) = qp ReH (∞) = −
(21.11)
The other, low-field, regime holds with the opposite inequality; in this regime re ReH (0) = − , qn rh (21.12) , RhH (0) = qp where the constant of proportionality re(h) , called the electron (hole) Hall factor, depends on the details of the
scattering process and band structure. Thus the majorityH carrier concentration is determined directly from Re(h) using a high-field Hall measurement. For typical laboratory magnetic fields, this regime is attainable only with extremely high mobility and low effective mass, which excludes moderately and heavily doped Si, for which very high magnetic fields are required. In some cases the Hall factor is quite close to unity, e.g. re = 3π/8 for the phonon scattering in the isotropic and parabolic (standard) band. The electrical properties are fully described by the drift-diffusion relation for the electron (hole) current density je(h) je = −qnvde + qDe ∇n , jh = q pvdh − qDh ∇ p ,
(21.13)
where vde = −µe E (vdh = µh E) is the drift velocity, µe(h) is the drift mobility, E is the electric field strength, and De(h) is the diffusion coefficient; in general, µe(h) and De(h) depend on E. In the homogeneous case (21.13) converts into the material equation je(h) = σe(h) E, where σe(h) = qnµe(h) is the electron (hole) conductivity; the total conductivity equals σ = σe + σh . In the weak-field DC (Ohm) and alternating current (AC: microwave or light, except for intense laser, irradiation) regimes, De(h) is proportional to µe(h) being both constant versus E, depending on the radiation frequency ω. Combining the high-induction Hall and Ohm resistivity (ρ = σ −1 ) measurements one obtains the drift mobility H µe(h) = Re(h) (∞)σ .
(21.14)
Replacing ReH (∞) by ReH (0) in the right-hand side of (21.14), one arrives at the so-called Hall mobility H µH e(h) = Re(h) (0)σ = re(h) µe(h) ,
(21.15)
which never equals the drift mobility, although it may be fairly close to it in the cases mentioned above. In general, to extract n( p) from ReH (0), the calculation of the re(h) factor is completed. Magnetoresistance (MR), i.e. ρ versus B measurement, is an important experimental tool as well. Another established method is the Haynes–Shockley experiment, which allows one to measure the minority-carrier drift mobility. In high-electric-field conditions, a noise-measurement technique is used. A relatively novel, time-of-flight technique was used in the latest (to our knowledge) mobility and diffusion-coefficient measurements on lightly doped crystalline Si samples, both in the low- and high-field regimes [21.14].
Single-Crystal Silicon: Electrical and Optical Properties
Basic Optical Parameters The electromagnetic response of homogeneous nonmagnetic material is governed by the dielectric constant tensor ε, which connects the electric displacement vector D inside the material to E through the material equation D = εE. For cubic crystals, such as Si, ε is a scalar. An effective-medium homogeneous dielectric constant may be attributed to inhomogeneous and composite materials if the nonhomogeneity feature size is smaller than the radiation wavelength λ = 2πc/ω. Actually, ε characterizes the material’s bulk and therefore loses its sense in nanoscale structures (superlattices, quantum wells etc). The dependence ε(ω) expresses the optical dispersion in the material. The dielectric constant is usually represented via its real and imaginary parts: ε = ε1 + iε2 (ε2 ≥ 0), connected to each other by the Kramers–Kronig relation (KKR)
2 ε1 (ω) = 1 + π
∞ 0
Ω
ε2 (Ω)dΩ Ω 2 − ω2
.
(21.16)
ε1 = n 2 − k2 , ε2 = 2nk ,
2 1/2 ε1 + ε22 + ε1 n= , 2
2 1/2 − ε1 ε1 + ε22 k= . 2
(21.17)
21.1.4 Theory of Electrical and Optical Properties Boltzmann-Equation Approach The response of carriers in a band to perturbations away from the thermal–equilibrium state, such as applied electric and magnetic fields or impinging electromagnetic radiation, is described by the deviation of the carrier distribution function f s (k, r, t) from the equilibrium Fermi–Dirac distribution f 0 [E s (k)]. The current dens s (k) ity equals js =q vs (k) f s (k, r, t)dk, where vs (k) = ∂E∂k is the microscopic carrier velocity and the integration is performed over the BZ. The process that balances the external perturbations is scattering of carriers by lattice vibrations (phonons), impurities and other carriers. Impurity scattering dominates transport at low temperatures and remains important at room temperature for moderate and high doping levels, although carrier–carrier scattering also becomes appreciable. Under appropriate conditions, one being that ω E¯ (where E¯ is the average carrier kinetic energy), f s (k, r, t) satisfies the quasi-classical Boltzmann kinetic equation. In the opposite, quantum, range, radiation influences the scattering process. Generalized kinetic equations, which interpolate between the quasi-classical and quantum regimes, have also been derived [21.34]. There exist various methods of solving the quasiclassical Boltzmann equation. The relaxation-time method, variational method [21.35–37] for low electric fields, and displaced–Maxwell–distribution approximation [21.38] for high electric fields, were used in early studies. In the last three decades the Monte Carlo technique [21.39], which overcomes limitations inherent to these theories and allows one to calculate subtle details of the carrier distribution, has been applied to various semiconductors, including crystalline Si [21.14]. ¯ the kinetic and optical characteristics are If ω E, calculated well using transition probabilities between carrier states, with the radiation quantum absorbed or emitted [21.40]. The most problematic is the interme-
Table 21.4 Parameters of the phonon modes in crystalline Si Mode LO TO LA TA q a
[21.19]
Energy (K) 760 760 0 0 Γ
Sound velocity 700–735a – 240–260 140–160 ∆
447
560 630–690a 500–510a 210–260 S
580 680 580 220 X
8.99 × 105 cm/s 5.39 × 105 cm/s
Part C 21.1
At low frequency (radio, microwave), in the absence of magnetic fields, ε1 ≈ ε(0) and ε2 , which is responsible for dielectric loss, is small. At optical wavelengths, from far-IR to soft X-rays, √ the basic quantity is the complex refractive index ε = n + ik. The real refractive index n, which is responsible for wave propagation properties, and the extinction index k, responsible for the field attenuation, are referred to as optical constants. They are related to the dielectric constant via:
21.1 Silicon Basics
448
Part C
Materials for Electronics
Part C 21.1
diate range – generalized kinetic equations have been shown to recover the extreme ranges, but no working ¯ methods have been developed for solutions at ω ≈ E, to the best of our knowledge. The relaxation-time approximation has proved to work well in many cases of scattering in Si. In this framework, the basic quantity is the relaxation-time tensor τs (E), where s is the index indicating the conduction or valence band, and E is the carrier kinetic energy. For electron valleys, τc (E) has the same symmetry as the respective effective-mass tensor – it is diagonal with principal values of, e.g., τl , τt , τt for 100 etc. For scalar holes τ1,2 (E) can only be introduced in the isotropic-bands approximation. The mobility and the Hall factor for weak electric fields in the relaxation-time approximation are given by 5 4 q τl /m l 2τt /m t µe (ω) = + , 3 1 − iωτl 1 − iωτt
3 2τl τt /m l m t + (τt /m t )2 ; (21.18) re = τl /m l + 2τt /m t 2 5 4 q βτ2 /m 2 τ1 /m 1 µh (ω) = + , 1 + β 1 − iωτ1 1 − iωτ2
(1 + β) (τ1 /m 1 )2 + β (τ2 /m 2 )2 , (21.19) rh = τ1 /m 1 + βτ2 /m 2 2 where the angular brackets indicate averaging with the weight −E 3/2 f 0 (E), β = (m d2 /m d1 )3/2 is the density ratio of light holes to heavy holes, and the option for a nonparabolic band is retained. Lattice Scattering Deformational phonons – longitudinal, transverse acoustical (LA, TA) and optical (LO, TO) – mediate carrier–lattice scattering in Si. The phonon modes are presented in Table 21.4, where q is a point in the phonon BZ. The points ∆ and S correspond to the scattering process, where the electron transits between the bottoms of two perpendicularly ( f ) and parallel (g) oriented valleys, respectively. The phonon energies are precise at the points Γ and X, as determined by neutron-scattering techniques [21.42], but uncertain at ∆ and S, since in this case only estimation and fitting methods were available. The rigid- and deformable-ion lattice models have been used to obtain the carrier–phonon interaction for
electrons [21.43] and holes [21.44]. A deformationpotential theory of the interaction with long-wavelength phonons, which takes the crystal symmetry and band structure fully into account in a phenomenological manner, has been developed. This theory deduces two constants, Ξu , Ξd , for the conduction band [21.45] and four, a, b, d, dopt , for the valence band [21.46,47], which are presented in Table 21.5. The deformation-potential theory has been used to calculate the acoustic scatteringlimited mobility in n-Si [21.48] and p-Si [21.49]. Later, optical-phonon scattering, along with an approximate valence-band spectrum instead of (21.5), were taken into account [21.13, 14]. The matrix elements of electron–phonon interaction between wave functions of different valleys are not taken into account by the deformation-potential theory. For inter-valley transitions, other than the three marked in Table 21.4 by the superscript ‘a’, the matrix elements calculated at the valley-bottom wave vectors are zero [21.43, 50]. The actual scattering probabilities are never zero; for those forbidden by selection rules [21.43, 50] one should take into account the wave-vector offset at the final scattering state, which gives nominally small, but unknown values. Several inter-valley scattering models have been tried to fit the theoretical formulas to the mobility data in lightly doped n-Si: with one allowed TO and one forbidden TA phonon [21.51], one allowed TO phonon [21.52] and more involved combinations of the transitions [21.53, 54]. The scattering of electrons by long-wavelength optical phonons, regarded as a cause of drift-velocity saturation at high electric fields [21.38], is forbidden in Si [21.43]. In n-Si, by all accounts, the cause may be the allowed g-phonon (Table 21.4) scattering [21.54]. Impurity Scattering There are two types of impurity scattering – by ionized and neutral impurities. The latter is the dominant impurity scattering for uncompensated, light or moderate shallow-impurity doping, at low T . In samples doped with deep impurities, neutral-impurity scattering may also show up. At elevated T , when shallow impurities are increasingly ionized, ionized-impurity scattering is the dominant impurity scattering and may compete with
Table 21.5 Deformation-potential parameters [21.41] T(K)
Ξu (eV)
Ξd + 1/3Ξu − a(eV)
|b|(eV)
|d|(eV)
80 295
8.6 ± 0.2 9.2 ± 0.3
3.8 ± 0.5 3.1 ± 0.5
2.4 ± 0.2 2.2 ± 0.3
5.3 ± 0.4 –
Single-Crystal Silicon: Electrical and Optical Properties
Carrier–Carrier Scattering Carrier–carrier scattering becomes important as n or p increases, along with increasing Nd+ or Na− . The relaxation-time concept does not apply for
449
this mechanism. Carrier–carrier collisions redistribute the carrier’s energy in a chaotic manner that was presumed to cause a decrease in the net mobility due to other mechanisms [21.71]. For the standard band, the effects of electron–electron scattering were modeled using the variational method, which predicted a ≈ 30% reduction in the ionizedimpurity scattering-limited mobility [21.72]; close results were obtained using another, quite different, method [21.73]. Hole–hole scattering and electron– hole scattering were also considered [21.74] in the standard band. Due to ignorance of the specific band-structure features, the results of these papers had limited relevance to Si. The effect of electron– electron scattering has been recast [21.75] for the multi-valley band structure using the generalized Drude approximation (GDA). At DC, the GDA corresponds to the zeroth-order approximation of the variational method, which highly overestimates [21.72] the effect considered. Dielectric Constant In Si the current carriers are well decoupled from the host electrons, so the Maxwell equations result in a unique decomposition of the dielectric constant
ε(ω) = εL (ω) + εC (ω) , εC (ω) = i
4πσ(ω) . (21.20) ω
Here εL (ω) is the host contribution, which is indirectly influenced by the carriers. The direct effect of the carriers on the dielectric constant is the conductivity contribution εC (ω). As seen from (21.20), doped Si behaves at DC as a metal. The asymptote at high frequencies (IR for Si) is εC,s (ω) ≈ −(Ωpl,s /ω)2 , where s = e or h, and Ωpl,s are the bare plasma frequencies given by 5 4 4πq 2 n 1 1 1 2 2 = , = + Ωpl,e , m ce m ce 3 ml mt 5 4 4πq 2 p 1 1 β 1 2 , = + , Ωpl,h = m ch m ch 1 + β m1 m2 (21.21)
resulting from (21.18, 19, 20), irrespective of the scattering model. Due to this asymptote and (21.20) ε1 (ω) should become zero at some frequency ωpl,s and doped Si should behave optically as a dielectric at ω < ωpl,s and as a metal at ω > ωpl,s . True plasma frequencies are estimated roughly as ωpl,s ≈ Ωpl,s /n L , where n L = valrange3.423.44 is the Si host refractive index in the IR. For the parabolic bands, m ce has already been presented in Table 21.2, and m ch = (0.33–0.39)m 0 us-
Part C 21.1
phonon scattering, depending on Nd(a) . Lastly, in heavily doped samples, where the impurities are ionized for all T , ionized-impurity scattering is dominant up to 300 K. Early theories of impurity scattering were developed for carriers in parabolic bands, scattered by hydrogenlike centers. For neutral impurities the s-scattering cross section [21.55] and a cross section that takes allowance of the scattered carrier’s bound state [21.56] were adopted. For ionized centers, use was made of the Coulomb scattering cross section, cut off at a small angle depending on Nd(a) [21.57], and the screened Coulomb potential cross section, calculated in the Born approximation [21.58–60]. These theories consider scattering by the donors and acceptors on an equal footing. The use of the Conwell–Weisskopf formula for τs (ε) declined towards the end of the 1950s, while the corresponding Brooks–Herring (BH) formula became widespread, mostly due the consistency of its derivation, even though none of the assumptions for its validity are completely satisfied. This formula was corrected [21.61], on account of the band carrier’s degeneracy, compensation and screening by carriers on impurity centers. The discrepancy between experiment and the BH formula, revealed during three decades of studies, have been thoroughly analyzed [21.62]. Modifications and developments made to overcome the drawbacks of the BH formula are worth mentioning. Taking the multi-valley band structure into account did not invalidate the relaxation-time method as such, but resulted in essentially different τl (E) and τt (E) [21.63, 64]. These formulas have also been discussed [21.65] in light of the scattering anisotropies measured in n-Si [21.66]. To overcome limitations of the Born approximation exact, although limited only to the standard band, phase-shift analysis was employed [21.67]. Including a non-Coulomb part of the impurity potential [21.68] made it possible to explain in part the difference in mobility of n-Si samples doped with different donors [21.25]. Lastly, Monte Carlo simulations of the impurity scattering, improving the agreement between theory and experiment for n-Si, have recently been reported [21.69]. The ionized-impurity scattering in p-Si was considered in the approximation of isotropic hole bands [21.70]. We are not aware of any theoretical papers on the subject, which used anisotropic energy spectra given by (21.26) in the case of p-Si.
21.1 Silicon Basics
450
Part C
Materials for Electronics
ing the data of Table 21.2, with the assumption that m 1,2 = m c1,2 . General formulas for εC (ω) are rather involved because of the averaging over E they contain, and so are rarely used. The Drude formula 2 i Ωpl,s εC,s (ω)Drude = · , ω γs − iω
(21.22)
where γs are adjustable phenomenological damping parameters, is often employed instead [21.76]. To match the behavior of εC (ω) at ω → 0 one should put γs = 1/τ0,s in (21.22), where 5 4 m ce τl 2τt τ0,e = + ; 3 ml mt 5 4 m ch τ1 βτ2 τ0,h = + (21.23) 1 + β m1 m2
Part C 21.1
are the DC mobility relaxation times. Such an adjustment was shown to work poorly in n-Si [21.77]. On the other hand, putting γs = γ∞,s in (21.22), where 5 4 m ce 2 1 γ∞,e = + ; 3 m l τl m t τt 5 4 m ch β 1 γ∞,h = + (21.24) , 1 + β m 1 τ1 m 2 τ2 allows one using the Drude formula to match two leading at ω → ∞ terms in the power series expansion of εC (ω) with respect to ω−1 .Thus (21.22) with the above adjustments may serve as an overall interpolation if the high-frequency relaxation time, τ∞,s = 1/γ∞,s turns out to be close to τ0,s . A Drude formula, empirically adjusted in IR has been devised for n-Si [21.78]. Using τ∞,s instead of τ0,s in the mobility is a prerequisite for GDA at DC. As discussed above, the Boltzmann-equation-based formulas are valid in ¯ For nondegenerate carrithe range λ λq = hc/ E. ers λq (cm) ≈ 1.4388/T , while in Si for n or p up to ≈ 1020 cm−3 the carrier degeneracy (if present) stops much below 300 K, so the validity of the εC (ω) formulas considered is restricted to the far-IR and longer wavelengths. To properly describe εC (ω) in the nearand mid-IR range, ω-dependent GDA has been suggested [21.79]. In this approximation one replaces γs in (21.22) by an ω-dependent damping γs (ω), which is then determined by comparison of the first imaginary term in the expansion of the thus-generalized Drude formula, i.e. (Ωpl,s /ω)2 γs (ω)/ω, with ε2 (ω) calculated using the methods of transition probabilities or perturbations for correlation functions.
In Si, unlike semiconductors with ionic bonds (e.g. AIII BV ), the elementary cell has no dipole moment and hence no quasi-classical optical-phonon contribution in εL (ω) is present. However, several weak IR absorption bands, attributed to two-phonon interaction of light with the Si lattice, are observed. Of these bands the most prominent is that peaked at 16.39 µm, which undergoes about a twofold increase in absorption upon increasing the temperature from 77 to 290 K. Comparable to that, the 9.03 − µm absorption band, observed in pulled Si crystals, was attributed to Si−O bond stretching vibrations [21.80]. At λ < 1.2 µm, where εL (ω) dominates the dielectric constant irrespective of the doping, ε2L (ω) is accounted for by inter-band electronic transitions. The spectral bands of ε2L (ω) correspond to the absorption of photons with energies close to the band gaps. Bare indirect-band-gap transitions, that necessitates lowest energy, is forbidden, but perturbation correction in the electron–phonon interaction to ε2L (ω) suffices to describe the observed indirect absorption band. Due to the phonons the lowest fundamental absorption, although smaller than in direct bands, increases with increasing T . In principle, ε2L (ω) may be calculated by the band-structure simulation route (e.g. Kleinman and Phillips [21.6, 8, 21]), especially with the present state-of-the-art theory – ε1L (ω) is then calculated using the KKR (21.16). However, applications need fast modeling, and such formulas have been developed for Si [21.81–83]. There are a few distinct doping effects on εL (ω): 1. Effects on the lowest band edges, both direct and indirect: the Burstein–Moss shift with increasing n( p) in heavily doped samples at the degeneracy due to filling of the conduction (valence) band below (above) E F ; band-gap shrinkage due to carrier– carrier and carrier–impurity interactions [21.84, 85] that work against the Burstein–Moss shift; and the formation of band tails because of the random potential of impurities (e.g. [21.31]). 2. Effects on higher edges, such as: E 1 (3.4 eV) – due to transitions between the highest valence band and the lowest conduction band along the Λ line in a region from π/4a0 (1, 1, 1) to the L point on the BZ edge; and E 2 (4.25 eV) – due to transitions between the valence band at the X point and the conduction band at 2π/a0 (0.9, 0.1, 0.1) [21.8]. In this case [21.86], the electron–electron interaction plays a small role because carriers are located in a small region of the BZ, different from that where the transitions take place,
Single-Crystal Silicon: Electrical and Optical Properties
and the effect of the electron–impurity interaction is calculated using standard perturbation theory. 3. Absorption due to direct inter-conduction-band (inter-valence-band) transitions specific to the type of doping. In n-Si this is a transition from the lowest conduction band to the band that lies higher at the ∆ point but crosses the former at the X point, and which gives rise to a broad absorption band peaked around 0.54 eV [21.87] and tailing of the indirect gap at heavy doping [21.88]; the theory of this contribution to ε2L (ω) has been developed [21.85]. In p-Si these transitions are those between the three highest valence bands [21.7]. Absorption due to the 1→2 tran-
21.2 Electrical Properties
451
sition has no energy threshold, and so resembles the usual free-carrier absorption; that due to the 1→3 and 2→3 transitions appears at ω = ∆so . A high-energy threshold, above which the intervalence-band absorption becomes negligible, exists due to the near congruency of all three valence sub-bands [21.7] at large well k (this is not accounted for by (21.5, 6), which are valid at small k). In contrast, with p-Ge, the manifestation of the inter-valence-band transitions in the reflection, was proposed for p-Si [21.89], but fully reconciled later [21.85, 90]. Kane’s theory of the inter-valence-band absorption [21.7] has also been revisited [21.90].
21.2 Electrical Properties
21.2.1 Ohm’s Law Regime Drift Measurements 1. The minority-carrier mobility as a function of Nd , Na , T and ρ in n- and p-type samples is in the range 0.3–30 Ωcm [21.92]. This cited paper revealed for the first time the inapplicability, at least for holes, of
the simple T −1.5 lattice mobility law, and presented curves of ρ versus exhaustion concentration N = |Nd − Na | in the range 1014 cm−3 ≤ N ≤ 1017 cm−3 . 2. Measurements of µe in p-type and µh in n-type Si on 11 single crystals ranging in ρ from 19 to 180 Ωcm [21.93]. In the purest crystals, in the range 160–400 K, µe and µh obeyed the dependencies T −2.5±0.1 and T −2.7±0.1 , respectively. The conductivity of some of these crystals, measured from 78 to 400 K, provided independent evidence for the temperature dependencies of the mobility quoted above. 3. Room-temperature drift and conductivity plus Halleffect measurements [21.94] of µe and µh versus resistivity on an unprecedentedly large number of samples cut from CZ crystals. The largest ρ was above 200 Ωcm. The values of µe and µh obtained from both experiments in the purest crystals were reported and compared with those obtained by other authors. Resistivity and Galvanomagnetic Measurements 1. Room-temperature µH e(h) as a function of ρe(h) [21.95]. The crystals used were grown from Dupont hyperpure material, with ρ of 0.01–94 Ωcm for n-type and 0.025–110 Ωcm for p-type samples. Curves of µH e(h) versus ρe(h) were calculated using the BH and combined-mobility [21.71] formulas for m e(h) = m 0 and compared with experimental curves. 2. The first extensive experimental study of electrical conductivity and the Hall effect in TL silicon [21.96]. The properties were measured at
Part C 21.2
An extensive investigation of basic electrical properties was started 55 years ago, when polycrystalline Si containing B and P, was reported [21.91]. This seminal work was necessarily limited because neither single crystals nor the means for measuring below 77 K were then available. The first papers on single crystals were published five years afterwards. Since then, as techniques for fabricating quality single-crystalline silicon, such as the pulling, e.g. Czochralski (CZ), Teal–Little (TL), and floating-zone (FZ) techniques, became highly developed, many experiments on electrical properties have been published. A number of papers are considered below in historical retrospect. In the accompanying graphs additional, less cited, papers are referenced. Though the physical mechanisms behind the electrical properties of crystalline Si have been studied and partially understood for a long time, the resulting formulas and procedures are too complicated and timeconsuming to be used in electronics device modeling. In this connection, several useful, simplified but accurate, procedures for modeling mobility versus temperature, doping, injection level and electric field strength have been developed. For this issue we refer to points 2–4 in Sect. 21.2.3.
452
Part C
Materials for Electronics
Part C 21.2
temperatures of 10–1100 K on six arsenic-doped n-type samples, and one undoped, plus five borondoped, p-type samples, covering the range from light (N = 1.75 × 1014 and 3.1 × 1014 cm−3 ) to heavy (N = 2.7 × 1019 and 1.5 × 1019 cm−3 ) doping. Compensation by unknown acceptors (donors) occurred in four lightly and moderately doped n(p)-type samples. A deviation of the lattice mobility from the T −1.5 dependence was reported for both electrons and holes. Curves of µH e(h) against ρe(h) at 300 K were computed in the same way as by Debye and Kohane, but incomplete ionization of impurity centers was additionally taken into account. 3. First systematic study of µe and µh versus Nd and Na , respectively, at T = 300 K [21.97]. Measurements were taken with several group V and group III impurities up to 6 × 1019 and 6 × 1018 cm−3 for n- and p-Si, respectively. Impurity concentrations were obtained by radioactive tracers or from thermal neutron activation analysis; µe and µe were calculated from these data by considering the Nd+ and Na− percentages. The combination with measured µH e(h) resulted in re(h) values in agreement with theory. A comparison with a BH-formula-based theory yielded semiquantitative agreement for µH e , while measured values of µH h proved to be much smaller than the theoretical values. 4. Galvanomagnetic effects in p-Si: ρ and RH versus T and B [21.98] and MR [21.99]. Boron-doped samples cut from CZ crystals were used. In the first paper four samples, two with ρ(300 K) = 35 Ωcm and two with ρ(300 K) = 85 Ωcm, were measured in the range 77–320 K. The dependence µh ∝ T −2.7±0.1 at B = 0, as observed by Ludwig and Watters, was typical of the results obtained on all the samples; rh was observed to exhibit a weak linear decrease with T in the range 200–320 K, and to be almost entirely independent of B up to B = 1.3 T in the temperature interval studied. The dependence of MR on the relative directions of current, fields and crystallographic axes was studied at 77 K and 300 K as a function of B. Large values of longitudinal MR, as large as the transverse effects in some cases, were observed, contradicting the only calculations available at that time [21.9]. To obtain data sufficient for constructing a more satisfactory model, the above study was continued in the second paper on 10 more samples, with ρ of 0.15–115 Ωcm. Measurements of three MR coefficients were carried out at a number of temperatures in the range 77–350 K. The results showed a marked dependence of the band structure
and scattering anisotropies on the temperature, yet no definite model of these effects was arrived at. 5. A comparative study of mobility in pulled and FZ crystals [21.100]. The question of the dependence of the intrinsic mobility on temperature was recast. The authors found that in FZ, contrary to pulled crystals, −1.5 law in the range 20–100 K, µH e followed the T H although µh still displayed a different, viz. T −2 , variation with temperature. It was argued that such a disagreement with the work of Morin and Maita was due to the large content, up to 1018 cm−3 , of oxygen impurities in the pulled crystals they used, which resulted in scattering that obscured the phonon scattering. 6. Solid analysis of electrical properties of n-Si with respect to: the ionized-impurity scattering in isotropic approximation [21.101], scattering anisotropies [21.66] and lattice scattering [21.51]. The measurements were made from 30 to 100–350 K using a set of P-doped, B-compensated, n-type samples of rather wide impurity content, yet in the range from light to moderate doping (Nd = 4.5 × 1015 cm−3 at most). The purest samples were cut from FZ crystals while others were from CZ crystals. These authors developed a sophisticated, but robust, method of determining Nd and Na by analysis of the RH versus T data. With this method, in the first paper they obtained curves of µe versus T in the range 30–100 K, which were used to test the BH formula. In comparing the formula with the data, correction to the observed µe because of the phonon-scattering contribution was necessary. The BH formula was shown to provide a good quantitative description of the data when m e = 0.3m 0 was used, provided that ion scattering was not too strong. When ion scattering was dominant, viz. in moderately doped samples at low T , they observed a discrepancy between the theory and data, which was attributed to electron–electron interaction. For the purpose of detecting scattering anisotropy, the MR coefficients were measured in the second paper on several relatively pure (Nd = 8.0 × 1014 cm−3 at most) samples. The results indicated that τl /τt ≈ 0.67 and τl /τt > 1 for acoustic-phonon and ionized-impurity scattering, respectively. The inter-valley phonon scattering, important at higher T , proved to be isotropic. In the third paper lattice scattering was treated. A model assuming inter-valley scattering by two-phonon modes, in addition to the intra-valley acoustic-phonon scattering, was applied to the re-
458
Part C
Materials for Electronics
Table 21.7 Best fit parameters for (21.26, 31, 32) Parameters µ0 Nref S E1 E2 F vm Ec β
Electrons 1400 3 × 1016 350 3.5 × 103 7.4 × 103 8.8 1.53 × 109 × T −0.87 1.01 × T 1.55 2.57 × 10−2 × T 0.66
Holes 480 4 × 1016 81 6.1 × 103 2.5 × 103 1.6 1.62 × 108 × T −0.87 1.01 × T 1.68 0.46 × T 0.17
Units cm2 /Vs cm−3 ... V/cm V/cm ... cm/s V/cm ...
Table 21.8 Intrinsic mobility in crystalline Si at room temperature Carriers Electrons Holes a
Hall mobility (cm2 V−1 s−1 ) 1610a 1450b 365 298
c
1560 345
Drift mobility (cm2 V−1 s−1 ) 1500d 1610a 500 360
1350e 480
1360c 510
[21.95], b [21.96], c [21.94], d [21.92], e [21.93]
Table 21.9 Room-temperature mobility of Si at n( p) = 2 × 1018 cm−3 [21.112] Impurity
Donor Sb
As
Acceptor B
Ga
Ionization energy (eV) Mobility (cm2 V−1 s−1 )
0.039 235
0.049 220
0.045 110
0.065 100
Part C 21.2
Table 21.10 Si samples [21.96] Sample number n-type 131 130 129 139 126 140 p-type 159 127 117 119 141 125
Impurity
Ed or Ea (eV)
N(cm−3 )
Na or Nd (cm−3 )
m∗ /m0
As As As As As As
0.056 0.049 0.048 0.046 ? Degenerate
1.75 × 1014 2.10 × 1015 1.75 × 1016 1.30 × 1017 2.00 × 1018 2.70 × 1019
1.00 × 1014 5.25 × 1014 1.48 × 1015 2.20 × 1015 ... ...
0.5 1.0 1.2 1.0 ... ...
B B B B B B
0.045 0.045 0.043 0.043 ? Degenerate
3.10 × 1014 7.00 × 1014 2.40 × 1016 2.00 × 1017 1.00 × 1018 1.50 × 1019
4.10 × 1014 2.20 × 1014 2.30 × 1015 4.90 × 1015 ... ...
0.4 0.4 0.6 0.7 ... ...
Table 21.11 Si samples [21.100] Sample A (FZ) B (FZ) C (CZ) D (CZ) E (CZ) F (FZ)
Impurity P P P P P B
Nd (cm−3 ) 1.14 × 1014 9.00 × 1013 2.50 × 1014 4.90 × 1014 3.30 × 1014 2.00 × 1012
Na (cm−3 ) 4.00 × 1012 2.00 × 1013 5.50 × 1013 2.10 × 1014 2.30 × 1014 3.40 × 1014
NOxygen (cm−3 ) ≈ 1016 ≈ 1016 ≈ 1018 5.0 × 1017 7.7 × 1017 ≈ 1016
Single-Crystal Silicon: Electrical and Optical Properties
Impact Ionization Impact ionization is an important charge-generation mechanism. It occurs in many silicon-based devices, either determining the useful characteristic of the device or causing an unwanted parasitic effect. The breakdown of a silicon p–n diode is caused by impact ionization if its breakdown voltage is larger than about 8 V. The operation of such devices as thyristors, impact avalanche transit time (IMPATT) diodes and trapped plasma avalanche-triggered transit (TRAPATT) diodes is based on avalanche generation, the phenomenon that results from impact ionization. The avalanche generation also plays an increasing role in degradation due to hot-carrier effects and bipolar parasitic breakdown of metal–oxide–semiconductor (MOS) devices, the geometrical dimensions of which have been scaled down recently. The ionization rate is defined as the number of electron–hole pairs generated by a carrier per unit distance traveled in a high electric field, and is different for
471
electrons and for holes. Impact ionization can only occur when the particle gains at least the threshold energy for ionization from the electrical field. This can be derived from the application of the energy and momentum conservation laws to the amount E i ≈ 1.5E g (assuming that the effective masses of electron and hole are equal). A large spread of experimental values for Ei exists, with a breakdown field of order of 3 × 105 V/cm. For more detailed consideration we refer the reader to the review article [21.147] noted in Sect. 21.2.3.
21.2.3 Review Material The following materials may be recommended for further reading. 1. Electrical properties of Si [21.24]. Summary of papers on the subject that were published over a decade until 1965 are overviewed. Miscellaneous properties, such as piezoresistance and high-electric-field mobility, were also presented. 2. Electron mobility and resistivity in n-Si versus dopant density and temperature [21.148]. An improved model for computing µe as a function of Nd and T in uncompensated n-Si was formulated. The effects of electron–electron interaction on conventional scattering processes, as well as their anisotropies were incorporated empirically. The model was verified to ±5% of the mobility measured on wafers doped by phosphorous in the range 1013 –1019 cm−3 . 3. Bulk charge-transport properties of Si [21.14]. Review of knowledge on the subject with special emphasis on application to solid-state devices. Most attention was devoted to experimental findings at room temperatures and to high-field properties. The techniques for drift-velocity measurements and the principles of Monte Carlo simulation were overviewed. Empirical expressions were given, when possible, for the most important transport quantities as functions of T , Nd(a) and E. 4. Semi-empirical relations for the carrier mobilities [21.149]. From a review of different publications on µe,h in Si, the authors proposed an approximated calculation procedure, analogous to that of Li and Thurber, which permits a quick and accurate evaluation of µe(h) over a wide range of T , Nd(a) and n( p). The proposed relations are well adapted to device simulation since they allow short computation times. 5. Minority-carrier recombination in heavily doped silicon [21.150]. A review of understanding of
Part C 21.2
sample and is simply related to D (e.g. [21.146]). Analogously, D⊥ can be obtained by observing the spread of the current perpendicular to the direction of the field. The current is originated by a point excitation on one surface of a Si wafer and is collected on the opposite surface by several electrodes of appropriate geometry [21.144]; this technique is sometimes called geometrical [21.14]. Finally, both D and D⊥ have been related to noise measurements, parallel and perpendicular respectively to the current direction [21.143]. Figure 21.18 shows some experimental results on the field dependence of D and D⊥ for electrons in Si at room temperature with E111. The data obtained by the noise measurements are in a reasonable agreement with the time-of-flight results, although the former cover a narrower range of E, just outside Ohm’s region. As E increases D decreases to about one third of its low-field value (≈ 36 cm2 /s), which is in substantial agreement with theoretical Monte Carlo computations for the nonparabolic band [21.113]. The results for transverse diffusion showed that, as E increases, D⊥ also decreases, but to a lesser extent than D . There exists a hypothesis of validity to extrapolate the Einstein rela¯ tion outside the linear region by DE = 23qE µ(E). As seen from Fig. 21.18, this yields a qualitative interpretation of D⊥ for not too high fields. As far as D is concerned, the diffusion process seems much more complex than pictured by the Einstein relation. For holes, the dependence of D on E was found to be similar to that for electrons [21.14].
21.2 Electrical Properties
472
Part C
Materials for Electronics
the recombination of minority carriers in heavily doped Si. A short phenomenological description of the carrier recombination process and lifetime was provided and the main theories of these were briefly reviewed with indications for their expected contributions in heavily doped Si. The various methods used for measuring the minority-carrier lifetime in heavily doped Si were described and critically examined. The insufficiency of existing theories to explain the patterns of lifetime versus doping was clearly demonstrated. 6. Minority-carrier transport modeling in heavily doped silicon emitters [21.111]. The experimental and theoretical efforts that addressed such important issues as: (i) the incomplete understanding of the minority-carrier physics in heavily doped Si, (ii) the lack of precise measurements for the minoritycarrier parameters, (iii) the difficulties encountered with the modeling of transport and recombination in nonhomogeneously doped regions, and (iv) prob-
lems with the characterization of real emitters in bipolar devices, were reviewed with the goal of being able to achieve accurate modeling of the current injected into an arbitrarily heavily doped region in a silicon device. 7. Impact ionization in silicon: a review and update [21.147]. The multiplication factor and the ionization rate were revisited. The interrelationship between these parameters together with the multiplication and breakdown models for diodes and MOS transistors were discussed. Different models were compared and test structures were discussed to measure the multiplication factor accurately enough for reliable extraction of the ionization rates. Multiplication measurements at different T were performed on a BJT, and yielded new electron ionization rates at relatively low electric fields. An explanation for the spread of existed experimental data on ionization rate was given. A new implementation method for a local avalanche model into a device simulator was presented.
21.3 Optical Properties Part C 21.3
21.3.1 Diversity of Silicon as an Optical Material In dielectric-like material n >k (ε1 >0), where for transparency and opacity it is necessary that n k (ε1 ε2 ) and n ≈ k (ε1 ε2 ), respectively (21.16). In metalliclike material n < k (ε1 < 0), where for good reflectivity and bad reflectivity it is necessary that n k(|ε1 | ε2 ) and n ≈ k(|ε1 | ε2 ), respectively. Since in Si ε depends on the wavelength and carrier concentration, it may exhibit all these types of optical behavior ranging from dielectric-like to metallic-like. For example, 111 undoped Si (n = 2.3 × 1014 cm−3 ) at λ = 0.62 µm behaves as a transparent dielectric, as ε1 = 15.254 and ε2 = 0.172 at that wavelength, while it is an opaque dielectric at λ = 0.295 µm, where ε1 = 2.371 and ε2 = 45.348. For heavily doped Si (n = 1020 cm−3 ), n = 1.911 and k = 8.63 at λ = 16.67 µm, so it behaves as a good metallic reflector while at λ = 2 µm, where n = 3.47 and k = 6.131 × 10−3 it is a transparent dielectric.
21.3.2 Measurements of Optical Constants Various methods are used to measure the dielectric constant of single-crystalline Si including transmission,
reflection, and ellipsometric methods. For a smooth opaque sample, the quantity of interest is the complex reflection amplitude ρr (at normal incidence) defined by √ ε−1 , ρr = − √ ε+1 (n − 1)2 + k2 |ρr | 2 = = R0 , (n + 1)2 + k2 2k = arg(ρr ) , φ = arctan 2 n + k2 − 1 mod (π) . (21.34) The Fresnel reflectance spectrum R0 (ω) is measured using reflectometry. The KKR analysis also applies to the causal function ln(ρr ) = 12 ln R0 + iφ that gives 1 φ(ω)= 2π
∞ ω+Ω d ln ln R0 (Ω)dΩ . (21.35) ω − Ω dΩ 0
With the ψ(ω) retrieved in this way, the last two relations in (21.34) are simultaneously solved to yield the n and k spectra. The KKR method requires, in principle, data over an infinite ω range, which are supplied by measurements over a confined range and an appeal to simple models for high and low frequencies. This limi-
474
Part C
Materials for Electronics
Table 21.15 The refractive index n and the extinction coefficient k of n-Si with electron concentration N = 1016 cm−3 at
various wavelength
Part C 21.3
Energy (eV) 0.6199 0.5579 0.4959 0.4339 0.3720 0.3100 0.2480 0.1860 0.1240 0.1116 0.09919 0.08679 0.07439 0.06199 0.04959 0.03720 0.03472 0.03224 0.02976 0.02728 0.02480 0.02232 0.01984 0.01736 0.01488 0.01240 a
Wavenumber (cm−1 ) 5000 4500 4000 3500 3000 2500 2000 1500 1000 900 800 700 600 500 400 300 280 260 240 220 200 180 160 140 120 100
Wavelength (µm) 2.000 2.222 2.500 2.857 3.333 4.000 5.000 6.667 10.00 11.11 12.50 14.29 16.67 20.00 25.00 33.33 35.71 38.46 41.67 45.45 50.00 55.56 62.50 71.43 83.33 100.0
n HWa 3.453 3.447 3.441 3.435 3.431 3.427 3.424 3.421 3.419 3.419 3.419 3.418 3.418 3.417 3.416 3.413 3.412 3.411 3.410 3.408 3.406 3.399 3.403 3.394 3.385 3.372
GDAb 3.453 3.447 3.441 3.435 3.431 3.427 3.424 3.421 3.419 3.419 3.419 3.418 3.418 3.417 3.416 3.413 3.412 3.411 3.410 3.408 3.406 3.399 3.403 3.394 3.385 3.372
k HWa 1.160 × 10−7 1.594 × 10−7 2.273 × 10−7 3.398 × 10−7 5.403 × 10−7 9.347 × 10−7 1.827 × 10−6 4.334 × 10−6 1.463 × 10−5 2.006 × 10−5 2.856 × 10−5 4.262 × 10−5 6.765 × 10−5 1.168 × 10−4 2.278 × 10−4 5.382 × 10−4 6.612 × 10−4 8.247 × 10−4 1.047 × 10−3 1.356 × 10−3 1.799 × 10−3 3.481 × 10−3 2.458 × 10−3 5.154 × 10−3 8.085 × 10−3 1.370 × 10−2
GDAb 1.514 × 10−7 2.006 × 10−7 2.751 × 10−7 3.945 × 10−7 6.001 × 10−7 9.912 × 10−7 1.850 × 10−6 4.210 × 10−6 1.392 × 10−5 1.910 × 10−5 2.727 × 10−5 4.092 × 10−5 6.549 × 10−5 1.143 × 10−4 2.259 × 10−4 5.413 × 10−4 6.669 × 10−4 8.341 × 10−4 1.061 × 10−3 1.379 × 10−3 1.834 × 10−3 3.563 × 10−3 2.511 × 10−3 5.284 × 10−3 8.297 × 10−3 1.405 × 10−2
Values calculated using an empiricial fit [21.78], b values calculated using GDA [21.79]
Equation (21.38) at k n is extensively used in siliconwafer thermometry [21.152, 153]. Given R, T and d, (21.37) builds up a system of two equations for the two unknowns n and k. Thus, measurement of the reflection and transmission on the same slab of known thickness allows one to retrieve the optical constants. This R–T measurement method [21.154] is greatly simplified at 2k n 2 + k2 − 1. Under this low-loss condition the above system can be solved analytically for T0 and R0 . The calculated T0 directly yields k, and n is then found using the calculated R0 . The R–T technique is the best method at αd ≤ 1, while at αd 1, where solving the aforementioned system becomes an ill-conditioned problem, the KKR analysis is more reliable. In two last decades, spectroscopic ellipsometry has gained wide recognition for being more precise than photometric methods. In ellipsometry, the ratio of reflectance for s- and ppolarized radiation, and the relative phase shift between
the two, are both measured at large angles of incidence [21.155]. The measured results are affected by the structural atomic-scale properties of the samples. These properties are defined by polishing processes – mechanical or chemical – that affect the surface damage and roughness, the properties of the surface native oxide, the growth mechanism of the measured layer, grain boundaries, and the quality of the cleaved surface. Since Si samples may be optically inhomogeneous, retrieving the optical constants from measurements may become a complicated inverse electromagnetic problem [21.156, 157], which is why some of the reported data for ε disagree by up to 30%. A detailed list of publications on the subject can be found in [21.158, 159]. Emphasis on these effects should be especially considered when transmission measurement is done for a wavelength range in which the absorption coefficient is large and thin samples are therefore required.
Single-Crystal Silicon: Electrical and Optical Properties
21.3 Optical Properties
475
Table 21.16 The refractive index n and the extinction coefficient k of n-Si with electron concentration N = 1020 cm−3 at
various wavelength Energy (eV)
Wavenumber (cm−1 )
Wavelength (µm)
0.6199
5000
2.000
0.5579
4500
2.222
0.4959
4000
2.500
0.4339 0.3720
3500 3000
2.857 3.333
2500
4.000
0.2480
2000
5.000
0.2356
1900
5.263
0.2232
1800
5.556
0.2108
1700
5.882
0.1984
1600
6.250
0.1860
1500
6.667
0.1736 0.1612
1400 1300
7.143 7.692
0.1488
1200
8.333
0.1364
1100
9.091
0.1240
1000
10.00
a
GDAb
GDAb
1.834 × 10−2
P
3.270 3.257 As 3.219 3.203 3.151 3.130 3.053 3.027 2.902 2.867 2.644 2.597 2.138 2.087 1.981 1.939 1.800 1.780 1.604 1.626 1.423 1.503 1.295 1.429 1.237 1.411 1.239 1.442 1.291 1.518 1.390 1.642 1.540 1.817
k HWa
3.247 3.190 3.112 3.000 2.828 2.577 1.990
0.971 0.893 0.873 0.872 0.913 0.985
2.403 × 10−2 2.549 × 10−2 3.341 × 10−2 3.698 × 10−2 4.845 × 10−2 5.671 × 10−2 7.432 × 10−2 9.410 × 10−2 1.233 × 10−1 1.765 × 10−1 2.314 × 10−1 4.176 × 10−1 5.461 × 10−1 5.226 × 10−1 6.796 × 10−1 6.717 × 10−1 8.617 × 10−1 8.873 × 10−1 1.107 × 100 1.188 × 100 1.417 × 100 1.566 × 100 1.777 × 100 1.989 × 100 2.172 × 100 2.437 × 100 2.591 × 100 2.911 × 100 3.037 × 100 3.418 × 100 3.514 × 100 3.971 × 100 4.034 × 100
6.131 × 10−3 9.701 × 10−3 1.664 × 10−2 3.232 × 10−2 8.053 × 10−2 2.549 × 10−1 5.336 × 10−1
1.902 × 100 2.369 × 100 2.859 × 100 3.387 × 100 3.960 × 100 4.603 × 100
Values calculated using an empiricial fit [21.78], b values calculated using GDA [21.79]
21.3.3 Modeling of Optical Constants A method for calculating ε1 and ε2 and then n, k and α for photon energies of 0–6 eV (λ > 0.2 µm) has been reported [21.82]. The calculated data are in excellent agreement with experimental data for the wavelength range 0.2–4 µm [21.156, 160]. The model is based on the KKR (Sect. 21.1.3) and takes into
account the dependence of ε on the energy-band structure. It considers the effect of indirect-band-gap and inter-band transitions as well as the electron (conduction bands) and hole (valence bands) density of states. The fundamental absorption (generation of electron– hole pair) edge energy of 1.12 eV corresponds to the indirect transition from the highest valence band to the lowest conduction band. Sharp changes in the
Part C 21.3
0.3100
n HWa
476
Part C
Materials for Electronics
Table 21.17 Table 21.16 cont. Energy (eV) 0.1116 0.09919 0.08679
Wavenumber (cm−1 ) 900 800 700
Wavelength (µm) 11.11 12.50 14.29
Part C 21.3
0.08431
680
14.71
0.08183
660
15.15
0.07935
640
15.63
0.07690
620
16.13
0.07439
600
16.67
0.07180
580
17.24
0.06933
560
17.86
0.06685
540
18.52
0.06438
520
19.23
0.06199
500
20.00
0.05951
480
20.83
0.05703
460
21.74
0.05455
440
22.73
0.05207
420
23.81
0.04959
400
25.00
a
n HWa
GDAb P
1.751 2.057 As 2.042 2.378 2.444 2.810 2.542 2.913 2.646 3.022 2.758 3.139 2.877 3.262 3.006 3.395 3.143 3.536 3.291 3.686 3.451 3.846 3.622 4.018 3.807 4.201 4.006 4.398 4.222 4.608 4.455 4.835 4.707 5.078 4.982 5.340
k HWa
GDAb
4.584 × 100 1.105 1.278 1.530
1.911
2.517
3.551
4.608 × 100 5.278 × 100 5.251 × 100 6.079 × 100 5.982 × 100 6.255 × 100 6.141 × 100 6.437 × 100 6.305 × 100 6.625 × 100 6.474 × 100 6.820 × 100 6.649 × 100 7.022 × 100 6.829 × 100 7.231 × 100 7.015 × 100 7.448 × 100 7.208 × 100 7.674 × 100 7.407 × 100 7.909 × 100 7.614 × 100 8.154 × 100 7.829 × 100 8.409 × 100 8.052 × 100 8.675 × 100 8.285 × 100 8.954 × 100 8.528 × 100 9.245 × 100 8.782 × 100 9.551 × 100 9.048 × 100
5.341 × 100 6.216 × 100 7.282 × 100
8.630 × 100
1.040 × 101
1.285 × 101
Values calculated using an empiricial fit [21.78], b values calculated using GDA [21.79]
optical constants are obtained at wavelengths around 0.367, 0.29 and 0.233 µm, which correspond to the energy-band critical points of 3.38, 4.27 and 5.317 eV, respectively. An additional analytical model for calculating the n and k values for the wavelength range 0.4–1.127 µm has been developed [21.158]. The model
is based on measured k and n data [21.161–163], where the calculated values are within ±10% of the measured values. Using Adachi and Geist models we have calculated and plotted n, k, ε1 and ε2 for the wavelength range 0.2–1.127 µm, as seen in Figs. 21.19 and 21.20.
478
Part C
Materials for Electronics
Keldysh effect, which alters the α spectrum of crystalline Si, is field-induced tunneling between valence- and conduction-band states. In recent years, the generic term electroabsorption has been adopted for ∆α versus E effects. The effect of electric field on the refractive index is shown in Fig. 21.22 [21.164]. Sharp changes occur around the wavelengths correspond to the band-gap transition.
The temperature dependence of the refractive index of high-purity damage-free Si, for photon energies less than 3 eV in the temperature range 300–500 K is given by [21.162] ∆n (21.41) ≈ 1.3 × 10−4 n (K−1 ) . ∆T
References 21.1 21.2 21.3 21.4 21.5 21.6 21.7 21.8
Part C 21
21.9 21.10 21.11 21.12 21.13 21.14 21.15 21.16 21.17 21.18
21.19 21.20 21.21 21.22 21.23 21.24 21.25 21.26 21.27
C. Kittel: Introduction to Solid State Physics, 6th edn. (Wiley, New York 1986) C. Kittel: Quantum Theory of Solids, 2nd edn. (Wiley, New York 1987) K. Seeger: Semiconductor Physics (Springer, New York 1982) T. S. Moss: Optical Properties of Semiconductors (Butterworths, London 1959) S. M. Sze: Physics of Semiconductor Devices (Wiley, New York 1981) H. M. van Driel: Appl. Phys. Lett. 44, 617 (1984) E. O. Kane: J. Phys. Chem. Solids 1, 82 (1956) J. R. Chelikowsky, M. L. Cohen: Phys. Rev. B 14, 556 (1976) B. Lax, J. G. Mavroides: Phys. Rev. 100, 1650 (1955) J. C. Hensel, G. Feher: Phys. Rev. 129, 1041 (1963) I. Balslev, P. Lawaetz: Phys. Lett. 19, 3460 (1965) P. Lawaetz: Phys. Rev. B 4, 3460 (1971) G. Ottaviani, L. Reggiani, C. Canali, F. Nava, A. AQuranta: Phys. Rev. B 12, 3318 (1975) C. Jacoboni, C. Canali, G. Ottaviani, A. A-Quranta: Solid State Electron. 20, 77 (1977) H. D. Barber: Solid State Electron. 10, 1039 (1967) M. A. Green: J. Appl. Phys. 67, 2944 (1990) A. B. Sproul, M. A. Green: J. Appl. Phys. 70, 846 (1991) R. F. Pierret: Advanced Semiconductor Fundamentals, Modular Series on Solid State Devices, ed. by G. W. Neudeck, R. F. Pierret (Pearson Education, New York 2003) G. Dresselhaus, A. F. Kip, C. Kittel: Phys. Rev. 98, 368 (1955) J. C. Hensel, H. Hasegawa, M. Nakayama: Phys. Rev. 138, 225 (1965) L. Kleinmann, J. C. Phillips: Phys. Rev. 118, 1153 (1960) M. Cardona, F. H. Pollak: Phys. Rev. 142, 530 (1966) S. Zwerdling, K. J. Button, B. Lax, L. M. Roth: Phys. Rev. Lett. 4, 173 (1960) W. R. Runyan: Silicon Semiconductor Technology (McGraw–Hill, New York 1965) Chap. 8 V. I. Fistul: Heavily Doped Semiconductors (Plenum, New York 1969) W. Kohn, J. M. Luttinger: Phys. Rev. 97, 1721 (1955) W. Kohn, J. M. Luttinger: Phys. Rev. 98, 915 (1955)
21.28 21.29 21.30 21.31 21.32 21.33 21.34 21.35 21.36 21.37 21.38 21.39 21.40 21.41 21.42 21.43 21.44 21.45 21.46 21.47 21.48 21.49 21.50 21.51 21.52 21.53 21.54 21.55 21.56 21.57 21.58 21.59 21.60
W. Kohn, D. Schechter: Phys. Rev. 99, 1903 (1955) E. Burstein, G. Picus, B. Henvis, R. Wallis: J. Phys. Chem. Solids 1, 65 (1956) G. Picus, E. Burstein, B. Henvis: J. Phys. Chem. Solids 1, 75 (1956) N. F. Mott: Metal–Insulator Transitions, 2nd edn. (Taylor & Francais, London 1990) p. 2 R. H. Hall, J. H. Racette: J. Appl. Phys. 35, 379 (1964) W. M. Bullis: Solid State Electron. 9, 143 (1966) B. Jensen: Handbook of Optical Constants of Solids, Vol. 2 (Academic, Orlando 1985) p. 169 M. Kohler: Z. Physik 124, 777 (1948) M. Kohler: Z. Physik 125, 679 (1949) B. R. Nag: Theory of Electrical Transport in Semiconductors (Pergamon, Oxford 1972) E. M. Conwell: High Field Transport in Semiconductors (Academic, New York 1967) W. Fawcett, A. D. Boardman, S. Swain: J. Phys. Chem. Solids 31, 1963 (1970) W. Dumke: Phys. Rev. 124, 1813 (1961) I. Balslev: Phys. Rev. 143, 636 (1966) B. N. Brockhouse: Phys. Rev. Lett. 2, 256 (1959) W. A. Harrison: Phys. Rev. 104, 1281 (1956) H. Ehrenreich, A. W. Overhauser: Phys. Rev. 104, 331 (1956) J. Bardeen, W. Shockley: Phys. Rev. 80, 72 (1950) G. L. Bir, G. E. Pikus: Fiz. Tverd. Tela 22, 2039 (1960) Soviet Phys. – Solid State 2 (1961) 2039 M. Tiersten: IBM J. Res. Devel. 5, 122 (1961) C. Herring, E. Vogt: Phys. Rev. 101, 944 (1956) M. Tiersten: J. Phys. Chem. Solids 25, 1151 (1964) H. W. Streitwolf: Phys. Stat. Sol. 37, K47 (1970) D. Long: Phys. Rev. 120, 2024 (1960) D. L. Rode: Phys. Stat. Sol. (b) 53, 245 (1972) P. Norton, T. Braggins, H. Levinstein: Phys. Rev. B 8, 5632 (1973) C. Canali, C. Jacobini, F. Nava, G. Ottaviani, A. Alberigi: Phys. Rev. B 12, 2265 (1975) C. Erginsoy: Phys. Rev. 79, 1013 (1950) N. Sclar: Phys. Rev. 104, 1559 (1956) E. M. Conwell, V. F. Weisskopf: Phys. Rev. 77, 338 (1950) H. Brooks: Phys. Rev. 83, 388 (1951) C. Herring: Bell Syst. Tech. J. 36, 237 (1955) R. Dingle: Phil. Mag. 46, 831 (1955)
Single-Crystal Silicon: Electrical and Optical Properties
21.61
21.101 D. Long, J. Myers: Phys. Rev. 115, 1107 (1959) 21.102 L. J. Neuringer, D. Long: Phys. Rev. 135, A788 (1964) 21.103 A. G. Samoilovich, I. Ya. Korenblit, I. V. Dakhovskii, V. D. Iskra: Fiz. Tverd. Tela 3, 2939 (1961) Soviet Phys. – Solid State 3 (1962) 2148 21.104 J. C. Irvin: Bell Syst. Tech. J. 41, 387 (1962) 21.105 F. Mousty, P. Ostoja, L. Passari: J. Appl. Phys. 45, 4576 (1974) 21.106 I. G. Kirnas, P. M. Kurilo, P. G. Litovchenko, V. S. Lutsyak, V. M. Nitsovich: Phys. Stat. Sol. (a) 23, K123 (1974) 21.107 S. M. Sze, J. C. Irvin: Solid State Electron. 11, 559 (1968) 21.108 D. M. Caughey, R. F. Thomas: Proc. IEEE 55, 2192 (1967) 21.109 G. Baccarani, P. Ostoja: Solid State Electron. 18, 1039 (1975) 21.110 C. Hilsum: Electron. Lett. 10, 259 (1074) 21.111 J. A del Alamo, R. M. Swanson: Solid State Electron. 30, 1127 (1987) 21.112 Y. Furukawa: J. Phys. Soc. Japan 16, 577 (1961) 21.113 C. Canali, C. Jacoboni., G. Ottaviani, A. Alberigi Quaranta: Appl. Phys. Lett. 27, 278 (1975) 21.114 C. Canali, C. Jacoboni, F. Nava, G. Ottaviani, A. Alberigi Quaranta: Phys. Rev. B 12, 2265 (1975) 21.115 E. H. Putley, W. H. Mitchell: Proc. Phys. Soc. (London) A 72, 193 (1958) 21.116 C. Canali, M. Costato, G. Ottaviani, L. Reggiani: Phys. Rev. Lett. 31, 536 (1973) 21.117 E. A. Davies, D. S. Gosling: J. Phys. Chem. Solids 23, 413 (1962) 21.118 C. Canali, G. Ottaviani, A. Alberigi Quaranta: J. Phys. Chem. Solids 32, 1707 (1971) 21.119 C. B. Norris, J. F. Gibbons: IEEE Trans. Electron. Dev. 14, 30 (1967) 21.120 T. W. Sigmon, J. F. Gibbons: Appl. Phys. Lett. 15, 320 (1969) 21.121 V. Rodriguez, H. Ruegg, M.-A. Nicolet: IEEE Trans. Electron. Dev. 14, 44 (1967) 21.122 T. E. Seidel, D. L. Scharfetter: J. Phys. Chem. Solids 28, 2563 (1967) 21.123 V. Rodriguez, M.-A. Nicolet: J. Appl. Phys. 40, 496 (1969) 21.124 B. L. Boichenko, V. M. Vasetskii: Soviet Phys. Solid State 7, 1631 (1966) 21.125 A. C. Prior: J. Phys. Chem. Solids 12, 175 (1959) 21.126 C. Y. Duh, J. L. Moll: IEEE Trans. Electron. Dev. 14, 46 (1967) 21.127 C. Y. Duh, J. L. Moll: Solid State Electron. 11, 917 (1968) 21.128 M. H. Jorgensen, N. O. Gram, N. I. Meyer: SolidState Comm. 10, 337 (1972) 21.129 M. Asche, B. L. Boichenko, O. G. Sarbej: Phys. Stat. Sol. 9, 323 (1965) 21.130 J. G. Nash, J. W. Holm-Kennedy: Appl. Phys. Lett. 24, 139 (1974) 21.131 J. G. Nash, J. W. Holm-Kennedy: Appl. Phys. Lett. 25, 507 (1974)
479
Part C 21
H. Brooks: Advances in Electronics and Electron Physics, Vol. 7 (Academic, New York 1955) p. 85 21.62 D. Chattopadhyay, H. J. Queisser: Rev. Mod. Phys. 53, 745 (1981) 21.63 A. G. Samoilovich, I. Ya. Korenblit, I. V. Dakhovskii, V. D. Iskra: Fiz. Tverd. Tela 3, 3285 (1961) Soviet Phys. – Solid State (1962) 2385 21.64 P. M. Eagles, D. M. Edwards: Phys. Rev. 138, A1706 (1965) 21.65 I. V. Dakhovskii: Fiz. Tverd. Tela 55, 2332 (1963) Soviet Phys. – Solid State 5 (1964) 1695 21.66 D. Long, J. Myers: Phys. Rev. 120, 39 (1960) 21.67 J. R. Meyer, F. J. Bartoli: Phys. Rev. B 23, 5413 (1981) 21.68 H. I. Ralph, G. Simpson, R. J. Elliot: Phys. Rev. B 11, 2948 (1975) 21.69 H. K. Jung, H. Ohtsuka, K. Taniguchi, C. Hamaguchi: J. Appl. Phys. 79, 2559 (1996) 21.70 G. L. Bir, E. Normantas, G. E. Pikus: Fiz. Tverd. Tela 4, 1180 (1962) 21.71 P. P. Debye, E. M. Conwell: Phys. Rev. 93, 693 (1954) 21.72 J. Appel: Phys. Rev. 122, 1760 (1961) 21.73 M. Luong, A. W. Shaw: Phys. Rev. B 4, 30 (1971) 21.74 J. Appel: Phys. Rev. 125, 1815 (1962) 21.75 B. E. Sernelius: Phys. Rev. B 41, 2436 (1990) 21.76 P. A. Shumann, R. P. Phillips: Solid State Electron. 10, 943 (1967) 21.77 M. A. Saifi, R. H. Stolen: J. Appl. Phys. 43, 1171 (1972) 21.78 J. Humlicek, K. Wojtechovsky: Czech. J. Phys. B 38, 1033 (1988) 21.79 M. Auslender, S. Hava: Handbook of Optical Constants of Solids, Vol. 3, ed. by D. Palik E. (Academic, New York 1998) p. 155 21.80 W. Kaiser, P. H. Keck, C. F. Lange: Phys. Rev. 101, 1264 (1956) 21.81 S. Adachi: Phys. Rev. B 38, 12966 (1988) 21.82 S. Adachi: J. Appl. Phys. 66, 3224 (1989) 21.83 T. Aoki, S. Adachi: J. Appl. Phys. 69, 1574 (1991) 21.84 K.-F. Berggren, B. E. Sernelius: Phys. Rev. B 24, 1971 (1981) 21.85 P. E. Schmid: Phys. Rev. B 23, 5531 (1981) ˜ a, M. Cardona: Phys. Rev. B 29, 6739 (1984) 21.86 L. Vin 21.87 W. G. Spitzer, H. Y. Fan: Phys. Rev. 106, 882 (1957) 21.88 M. Balkanski, A. Aziza, E. Amzallag: Phys. Stat. Sol. 31, 323 (1969) 21.89 M. Cardona, W. Paul, H. Brooks: Zeitschr. Naturforsch 101, 329 (1960) 21.90 L. M. Lambert: Phys. Stat. Sol. 11, 461 (1972) 21.91 L. Pearson, J. Bardeen: Phys. Rev. 75, 865 (1961) 21.92 M. B. Prince: Phys. Rev. 93, 1204 (1954) 21.93 G. W. Ludwig, R. L. Watters: Phys. Rev. 101, 1699 (1956) 21.94 D. C. Cronemeyer: Phys. Rev. 105, 522 (1957) 21.95 P. P. Debye, T. Kohane: Phys. Rev. 94, 724 (1954) 21.96 F. J. Morin, J. P. Maita: Phys. Rev. 96, 28 (1954) 21.97 G. Backenstoss: Phys. Rev. 108, 579 (1957) 21.98 D. Long: Phys. Rev. 107, 672 (1957) 21.99 D. Long, J. Myers: Phys. Rev. 109, 1098 (1958) 21.100 R. A. Logan, A. J. Peters: J. Appl. Phys. 31, 122 (1960)
References
480
Part C
Materials for Electronics
21.132 M. Asche, O. G. Sarbej: Phys. Stat. Sol. (a) 38, K61 (1971) 21.133 N. O. Gram: Phys. Lett. A 38, 235 (1972) 21.134 C. Canali, A. Loria, F. Nava, G. Ottaviani: Solid-State Comm. 12, 1017 (1973) 21.135 M. Asche, O. G. Sarbej: Phys. Stat. Sol. (a) 46, K121 (1971) 21.136 J. P. Nougier, M. Rolland, O. Gasquet: Phys. Rev. B 11, 1497 (1975) 21.137 E. J. Ryder, W. Shockley: Phys. Rev. 81, 139 (1951) 21.138 W. Shockley: Bell. Syst. Tech. J. 30, 990 (1951) 21.139 E. J. Ryder: Phys. Rev. 90, 766 (1953) 21.140 M. Costato, L. Reggiani: Lett. Nuovo Cimento 3, 728 (1970) 21.141 C. Jacoboni, R. Minder, G. Majni: J. Phys. Chem. Solids 36, 1129 (1975) 21.142 C. Canali, G. Ottaviani: Phys. Lett. A 32, 147 (1970) 21.143 J. P. Nougier, M. Rolland: Phys. Rev. B 8, 5728 (1973) 21.144 G. Persky, D. J. Bartelink: J. Appl. Phys. 42, 4414 (1971) 21.145 D. L. Scharfetter, H. K. Gummel: IEEE Trans. Electron. Dev. ED-16, 64 (1969) 21.146 J. G. Ruch, G. S. Kino: Phys. Rev. 174, 921 (1968) 21.147 W. Maes, K. de Meyer, R. van Overstraeten: Solid State Electron. 33, 705 (1990) 21.148 S. S. Li, W. R. Thurber: Solid State Electron. 20, 609 (1977) 21.149 J. M. Dorkel, P. Leturcq: Solid-State Electron. 24, 821 (1981)
21.150 M. S. Tyagi, R. van Overstraeten: Solid State Electron. 10, 1039 (1983) 21.151 H. O. McMachon: J. Opt. Soc. Am. 40, 376 (1950) 21.152 J. C. Sturm, C. M. Reaves: IEEE Trans. Electron. Dev. 39, 81 (1992) 21.153 P. J. Timans: J. Appl. Phys. 74, 6353 (1993) 21.154 P. A. Shumann Jr., W. A. Keenan, A. H. Tong, H. H. Gegenwarth, C. P. Schneider: J. Electrochem. Soc. 118, 145 (1971) 21.155 R. M. A. Azzam, N. M. Bashara: Ellipsometry and Polarized Light, 2nd edn. (Elsevier, Amsterdam 1987) 21.156 D. E. Aspnes, A. A. Studna: Phys. Rev. B 27, 985 (1983) 21.157 E. Barta, G. Lux: J. Phys. D: Appl. Phys. 16, 1543 (1983) 21.158 J. Geist: Handbook of Optical Constants of Solids, Vol. 3, ed. by D. Palik E. (Academic, New York 1998) p. 519 21.159 D. E. Aspnes, A. A. Studna, E. Kinsbron: Phys. Rev. B 29, 768 (1984) 21.160 H. R. Philipp, E. A. Taft: Phys. Rev. B 120, 37 (1960) 21.161 G. E. Jellison Jr.: Opt. Mater. 1, 41 (1992) 21.162 H. A. Weaklien, D. Redfield: J. Appl. Phys. 50, 1491 (1979) 21.163 D. F. Edward: Handbook of Optical Constants of Solids, Vol. 2 Orlando 1985) p. 547 21.164 R. A. Soref, B. R. Bennett: IEEE J. Quantum Electron. 23, 123 (1987)
Part C 21
481
Silicon–Germ 22. Silicon–Germanium: Properties, Growth and Applications
Silicon–germanium is an important material that is used for the fabrication of SiGe heterojunction bipolar transistors and strained Si metal–oxide– semiconductor (MOS) transistors for advanced complementary metal—oxide–semiconductor (CMOS) and BiCMOS (bipolar CMOS) technologies. It also has interesting optical properties that are increasingly being applied in silicon-based photonic devices. The key benefit of silicon–germanium is its use in combination with silicon to produce a heterojunction. Strain is incorporated into the silicon–germanium or the silicon during growth, which also gives improved physical properties such as higher values of mobility. This chapter reviews the properties of silicon–germanium, beginning with the electronic properties and then progressing to the optical properties. The growth of silicon–germanium is considered, with particular emphasis on the chemical vapour deposition technique and selective epitaxy. Finally, the properties of polycrystalline silicon–germanium are discussed in the context of its use as a gate material for MOS transistors.
22.1
22.1.3 22.1.4 22.1.5
Dielectric Constant .................... Density of States ....................... Majority-Carrier Mobility in Strained Si1−x Gex .................. 22.1.6 Majority-Carrier Mobility in Tensile-Strained Si on Relaxed Si1−x Gex .................. 22.1.7 Minority-Carrier Mobility in Strained Si1−x Gex .................. 22.1.8 Apparent Band-Gap Narrowing in Si1−x Gex HBTs........................
484 484
22.2 Optical Properties of SiGe ..................... 22.2.1 Dielectric Functions and Interband Transitions .......... 22.2.2 Photoluminescence ................... 22.2.3 SiGe Quantum Wells ..................
488 488 489 490
22.3 Growth of Silicon–Germanium .............. 22.3.1 In-Situ Hydrogen Bake .............. 22.3.2 Hydrogen Passivation ................ 22.3.3 Ultra-Clean Epitaxy Systems ....... 22.3.4 Si1−x Gex Epitaxy ........................ 22.3.5 Selective Si1−x Gex Epitaxy...........
492 492 492 492 492 492
486
486 486 487
References .................................................. 497
Silicon–germanium (Si1−x Gex ) alloys have been researched since the late 1950s [22.1], but it is only in the past 15 years or so that these layers have been applied to new types of transistor technology. Si1−x Gex was first applied in bipolar technologies [22.2, 3], but more recently has been applied to metal–oxide–semiconductor (MOS) technologies [22.4–7]. This has been made possible by the development of new growth techniques, such as molecular-beam epitaxy (MBE), low-pressure chemical vapour deposition (LPCVD) and ultra-highvacuum chemical vapour deposition (UHV-CVD). The key feature of these techniques that has led to the development of Si1−x Gex transistors is the growth of epitaxial layers at low temperatures (500–700 ◦ C). This allows
Si1−x Gex layers to be grown without disturbing the doping profiles of structures already present in the silicon wafer. Si1−x Gex layers can be successfully grown on silicon substrates even though there is a lattice mismatch between silicon and germanium of 4.2%. The primary property of Si1−x Gex that is of interest for bipolar transistors is the band gap, which is smaller than that of silicon and controllable by varying the germanium content. Band-gap engineering concepts, which were previously only possible in compound semiconductor technologies, have now become viable in silicon technology. These concepts have introduced new degrees of freedom in the design of bipolar transistors that have led to dramatic improvements in transistor
Part C 22
22.4 Polycrystalline Silicon–Germanium........ 494 22.4.1 Electrical Properties of Polycrystalline Si1−x Gex .......... 496
Physical Properties of Silicon–Germanium ......................... 482 22.1.1 Critical Thickness ....................... 482 22.1.2 Band Structure.......................... 483
492
Part C
Materials for Electronics
22.3 Growth of Silicon–Germanium Over the past ten years and more there have been rapid developments in techniques for the growth of Si and Si1−x Gex epitaxial layers at low temperatures. This has been made possible by a number of changes in the design of epitaxy equipment and by improvements to growth processes. There are two main prerequisites for the growth of epitaxial layers at low temperature:
at 600 ◦ C at a rate of a few monolayers per second, so the hydrogen passivation approach allows epitaxial layers to be grown at low temperatures without the need for a high-temperature bake. The hydrogen-passivated surface is stable for typically 30 min after completion of the ex-situ cleaning [22.47].
•
22.3.3 Ultra-Clean Epitaxy Systems
•
Establishment of a clean surface prior to growth [22.44–47] Growth in an ultra-clean environment [22.48–50]
The removal of oxygen and carbon is the main problem in establishing a clean surface prior to growth. A clean silicon surface is highly reactive and oxidises in air even at room temperature. The secret of low-temperature epitaxial growth is therefore the removal of this native oxide layer and the maintenance of a clean surface until epitaxy can begin. Two alternative approaches to pre-epitaxy surface cleaning have been developed, as described below.
22.3.1 In-Situ Hydrogen Bake
Part C 22.3
The concept that underlies this surface clean is the controlled growth of a thin surface oxide layer, followed by its removal in the epitaxy reactor using a hydrogen bake. The controlled growth of the surface oxide layer is generally achieved using a Radio Corporation of America (RCA) clean [22.44] or a variant [22.45]. The oxide created by the RCA clean is removed in the reactor using an in-situ bake in hydrogen for around 15 min at a temperature in the range 900–950 ◦ C. The temperature required to remove the native oxide depends on the thickness of the oxide, which is determined by the severity of the surface clean.
22.3.2 Hydrogen Passivation An alternative approach to pre-epitaxy cleaning is to create an oxide-free surface using an ex-situ clean and then move quickly to epitaxial growth before the native oxide can grow. The aim of the ex-situ clean is to produce a surface that is passivated by hydrogen atoms bonded to dangling bonds from silicon atoms on the surface. When the wafers are transferred in the epitaxy reactor, the hydrogen can be released from the surface of the silicon very quickly using a low-temperature bake or even in the early stages of epitaxy without any bake. Meyerson [22.46] has reported that hydrogen desorbs
Having produced a clean hydrogen-passivated silicon surface, it is clearly important to maintain the state of this surface in the epitaxy system. This necessitates the use of low-pressure epitaxy systems if epitaxial growth at low temperatures is required. Figure 22.22 summarises the partial pressures of oxygen and water vapour that need to be achieved in an epitaxy system if an oxide-free surface is to be maintained at a given temperature [22.48, 49]. This figure shows that epitaxial growth at low temperature requires low partial pressures of oxygen and water vapour, which of course can be achieved by reducing the pressure in the epitaxy system. Research [22.50] has shown that a pressure below 30 Torr is needed to achieve silicon epitaxial growth below 900 ◦ C.
22.3.4 Si1−x Gex Epitaxy The growth of Si1−x Gex epitaxial layers can be achieved over a wide range of temperatures using low-pressure chemical vapour deposition (LPCVD) [22.50] or ultra-high-vacuum chemical vapour deposition (UHVCVD) [22.51, 52]. The gas used to introduce the germanium into the layers is germane, GeH4 . The influence of germanium on the growth rate is complex, as illustrated in Fig. 22.23. At temperatures in the range 577–650 ◦ C a peak in the growth rate is seen. At low germanium contents, the growth rate increases with germanium content, whereas at high germanium content, the growth rate decreases with germanium content. In the low-temperature regime it has been proposed that hydrogen desorption from the surface is the rate-limiting step. In Si1−x Gex this occurs more easily at germanium sites than at silicon sites and hence the growth rate increases with germanium content [22.37]. As the germanium content increases, the surface contains more and more germanium and less and less hydrogen. The ratelimiting step then becomes the adsorption of germane or silane. Robbins [22.53] proposed that the sticking coefficient for germane or silane was lower at germanium sites. This would slow the adsorption rate as the ger-
Silicon–Germanium: Properties, Growth and Applications
increases with increasing germanium content, with the increase being small between 0 and 25% germanium and large between 25 and 50%. Similar behaviour is seen for arsenic-doped polySi1−x Gex where higher values of sheet resistance have been reported for polySi1−x Gex than for polySi [22.64]. The explanation for the sheet-resistance results in Fig. 22.30 can be found in Fig. 22.31, which shows the results of Hall measurements [22.60]. For borondoped polySi1−x Gex both the activation and the Hall mobility increase with increasing germanium content, thereby explaining the decrease in sheet resistance with
References
497
increasing germanium content. For phosphorus-doped polySi1−x Gex there is little change in activation and electron mobility at low germanium contents, but a sharp decrease in activation at germanium contents above 35%. This explains the sharp increase in sheet resistance seen in Fig. 22.30 for germanium contents between 25 and 50%. The decrease in activation at high germanium contents in the phosphorus-doped polySi1−x Gex may be due to increased segregation at grain boundaries. Boron does not generally segregate to grain boundaries [22.68], which may explain the different behaviour in boron- and phosphorus-doped polySi1−x Gex .
References 22.1 22.2
22.3 22.4 22.5 22.6 22.7
22.8
22.10 22.11 22.12 22.13 22.14 22.15 22.16 22.17
22.18 22.19 22.20
22.21
22.22 22.23 22.24
22.25 22.26 22.27 22.28
22.29 22.30 22.31 22.32
22.33 22.34
T. Manku, A. Nathan: J. Appl. Phys. 69, 8414 (1991) T. Manku, A. Nathan: Phys. Rev. B 43, 12634 (1991) J. Poortmans: Low temperature epitaxial growth of silicon and strained Si1−x Gex layers and their application in bipolar transistors; PhD thesis, University of Leuven (1993) J. M. McGregor, T. Manku, A. Nathan: Measured in-plane hole drift mobility and Hall mobility in heavily doped, strained p-type Si1−x Gex (Boston 1992) presented at Electronic Materials Conference M. V. Fischetti, S. E. Laux: J. Appl. Phys. 80, 2234 (1996) J. Welser, J. L. Hoyt, J. F. Gibbons: IEEE Electron. Dev. Lett. 15, 100 (1994) C. W. Leitz, M. T. Currie, M. L. Lee, Z.-Y. Cheng, D. A. Antoniadis, E. A. Fitzgerald: J. Appl. Phys. 92, 3745 (2002) J. H. Bahng, K. J. Kim, H. Ihm, J. Y. Kim, H. L. Park: J. Phys.: Condens. Matter 13, 777 (2001) D. J. Robbins, L. T. Canham, S. J. Barnett, A. D. Pitt, P. Calcott: J. Appl. Phys. 71, 1407 (1992) N. L. Rowell, J.-P. Noel, D. C. Houghton, A. Wang, D. D. Perovic: J. Vac. Sci. Technol. B 11, 1101 (1993) D. A. Grutzmacher, T. O. Sedgwick, G. A. Northrop, A. Zaslavsky, A. R. Powell, V. P. Kesan: J. Vac. Sci. Technol. B 11, 1083 (1993) J. Brunner, J. Nutzel, M. Gail, U. Menczigar, G. Abstreiter: J. Vac. Sci. Technol. B 11, 1097 (1993) K. Terashima, M. Tajima, T. Tatsumi: J. Vac. Sci. Technol. B 11, 1089 (1993) H. Prestling, T. Zinke, A. Splett, H. Kibbel, M. Jaros: Appl. Phys. Lett. 69, 2376 (1996) L. Masarotto, J. M. Hartmann, G. Bremond, G. Rolland, A. M. Papon, M. N. Semeria: J. Cryst. Growth 255, 8 (2003) J. S. Park, T. L. Lin, E. W. Jones, H. M. Del Castillo, S. D. Gunapall: Appl. Phys. Lett. 64, 2370 (1994) S. S. Murtaza, J. C. Cambell, J. C. Bean, L. J. Peticolas: IEEE Photon. Tech. Lett. 8, 927 (1996)
Part C 22
22.9
R. Braunstein, A. R. Moore, F. Herman: Phys. Rev. 109, 695 (1958) S. S. Iyer, G. L. Patton, J. M. C. Stork, B. S. Meyerson, D. L. Harame: IEEE Trans. Electron. Dev. 36, 2043 (1989) C. A. King, J. L. Hoyt, J. F. Gibbons: IEEE Trans. Electron. Dev. 36, 2093 (1989) H. Miyata, T. Yamada, D. K. Ferry: Appl. Phys. Lett. 62, 2661 (1993) T. Vogelsang, K. R. Hofmann: Appl. Phys. Lett. 63, 186 (1993) J. Welser, J. L. Hoyt, J. F. Gibbons: IEEE Electron. Dev. Lett. 15, 100 (1994) A. Sadak, K. Ismile, M. A. Armstrong, D. A. Antoniadis, F. Stern: IEEE Trans. Electron. Dev. 43, 1224 (1996) B. Jagannathan, M. Khater, F. Pagette, J.-S. Rieh, D. Angell, H. Chen, J. Florkey, F. Golan, D. R. Greenberg, R. Groves, S. J. Jeng, J. Johnson, E. Mengistu, K. T. Schonenberger, C. M. Schnabel, P. Smith, A. Stricker, D. Ahlgren, G. Freeman, K. Stein, S. Subbanna: IEEE Electron Dev. Lett. 23, 258 (2002) Z. A. Shafi, P. Ashburn, G. J. Parker: IEEE J. Solid State Circuits 25, 1268 (1990) S. C. Jain, T. J. Gosling, J. R. Willis, R. Bullough, P. Balk: Solid State Electron. 35, 1073 (1992) J. M. Matthews, A. E. Blakeslee: J. Cryst. Growth 27, 118 (1974) J. M. Matthews, A. E. Blakeslee: J. Cryst. Growth 32, 265 (1975) R. People, J. C. Bean: Appl. Phys. Lett. 47, 322 (1985) S. Margalit, A. Bar-lev, A. B. Kuper, H. Aharoni, A. Neugroschel: J. Cryst. Growth 17, 288 (1972) O. W. Holland, C. W. White, D. Fathy: Appl. Phys. Lett. 51, 520 (1987) R. People: Phys. Rev. B 32, 1405 (1985) J. Poortmans, S. C. Jain, D. H. J. Totterdell, M. Caymax, J. F. Nijs, R. P. Mertens, R. Van Overstraeten: Solid State Electron. 36, 1763 (1993)
498
Part C
Materials for Electronics
22.35
22.36 22.37 22.38
22.39 22.40 22.41 22.42
22.43 22.44
22.45 22.46 22.47 22.48 22.49 22.50
Part C 22
22.51
D. J. Robbins, M. B. Stanaway, W. Y. Leong, R. T. Carline, N. T. Gordon: Appl. Phys. Lett. 66, 1512 (1995) A. Chin, T. Y. Chang: Lightwave Technol. 9, 321 (1991) R. People, J. C. Bean, C. G. Bethia, S. K. Sputz, L. J. Peticolas: Appl. Phys. Lett. 61, 1122 (1992) P. Kruck, M. Helm, T. Fromherz, G. Bauer, J. F. Nutzel, G. Abstreiter: Appl. Phys. Lett. 69, 3372 (1996) R. A. Soref, L. Friedman, G. Sun: Superlattices Microstruct. 23, 427 (1998) G. Sun, L. Friedman, R. A. Soref: Superlattices Microstruct. 22, 3 (1998) J. Weber, M. I. Alonso: Phys. Rev. B 40, 5684 (1989) H. Landolt, R. Bornstein: Numerical data and functional relationships in science and technology, Vol. 111/17a, ed. by O. Madelung (Springer, Berlin Heidelberg New York 1982) G. S. Mitchard, T. C. McGill: Phys. Rev. B 25, 5351 (1982) M. Meuris, S. Verhaverbeke, P. W. Mertens, M. M. Heyns, L. Hellemans, Y. Bruynseraede, A. Philipessian: Jpn. J. Appl. Phys. 31, L1514 (1992) A. Ishizaki, Y. Shiraki: J. Electrochem. Soc. 129, 666 (1986) B. S. Meyerson, F. J. Himpsel, K. J. Uram: Appl. Phys. Lett. 57, 1034 (1990) G. S. Higashi, Y. T. Chabal, G. W. Trucks, K. Raghavachari: Appl. Phys. Lett. 56, 656 (1990) F. W. Smith, G. Ghidini: J. Electrochem. Soc. 129, 1300 (1982) G. Ghidini, F. W. Smith: J. Electrochem. Soc. 131, 2924 (1984) J. L. Regolini, D. Bensahel, E. Scheid, J. Mercier: Appl. Phys. Lett. 54, 658 (1989) G. R. Srinivasan, B. S. Meyerson: J. Electrochem. Soc. 134, 1518 (1987)
22.52 22.53 22.54 22.55 22.56 22.57
22.58
22.59 22.60 22.61 22.62 22.63 22.64
22.65
22.66 22.67 22.68
M. Racanelli, D. W. Greve, M. K. Hatalis, L. J. van Yzendoorn: J. Electrochem. Soc. 138, 3783 (1991) D. J. Robbins, J. L. Glasper, A. G. Cullis, W. Y. Leong: J. Appl. Phys. 69, 3729 (1991) M. Racanelli, D. W. Greve: Appl. Phys. Lett. 56, 2524 (1990) A. Ishitani, H. Kitajima, N. Endo, N. Kasai: Jpn. J. Appl. Phys. 28, 841 (1989) Y. Zhong, M. C. Ozturk, D. T. Grider, J. J. Wortman, M. A. Littlejohn: Appl. Phys. Lett. 57, 2092 (1990) Y. Kiyota, T. Udo, T. Hashimoto, A. Kodama, H. Shimamoto, R. Hayami, E. Ohue, K. Washio: IEEE Trans. Electron. Dev. 49, 739 (2002) J. M. Bonar: “Process development and characterisation of silicon and silicon–germanium grown in a novel single-wafer LPCVD system”; PhD thesis, University of Southampton (1996) T.-J. King, K. C. Saraswat: IEDM Tech. Dig., 567 (1991) T.-J. King, K. C. Saraswat: IEEE Trans. Electron. Dev. 41, 1581 (1994) J. A. Tsai, A. J. Tang, T. Noguchi, R. Reif: J. Electrochem. Soc. 142, 3220 (1995) V. D. Kunz, C. H. de Groot, S. Hall, P. Ashburn: IEEE Trans. Electron. Dev. 50, 1480 (2003) T.-J. King, J. R. Pfiester, K. C. Saraswat: IEEE Electron. Dev. Lett. 12, 533 (1991) C. Salm, D. T. van Veen, D. J. Gravesteijn, J. Holleman, P. H. Woerlee: J. Electrochem. Soc. 144, 3665 (1997) Y. V. Ponomarev, P. A. Stolk, C. J. J. Dachs, A. H. Montree: IEEE Trans. Electron. Dev. 47, 1507 (2000) P. Ashburn: Silicon-germanium heterojunction bipolar transistors (Wiley, Chichester 2003) D. S. Bang, M. Cao, A. Wang, K. C. Saraswat, T.J. King: Appl. Phys. Lett. 66, 195 (1995) I. R. C. Post, P. Ashburn: IEEE Trans. Electron. Dev. 38, 2442 (1991)
499
Gallium Arsen 23. Gallium Arsenide
Gallium arsenide (GaAs) is one of the most useful of the III–V semiconductors. In this chapter, the properties of GaAs are described and the ways in which these are exploited in devices are explained. The limitations of this material are presented in terms of both its physical and its electronic properties.
23.1
Bulk Growth of GaAs ............................ 23.1.1 Doping Considerations ............... 23.1.2 Horizontal Bridgman and Horizontal Gradient Freeze Techniques ............................... 23.1.3 Liquid-Encapsulated Czochralski (LEC) Technique ......................... 23.1.4 Vertical Gradient Freeze (VGF) Technique ................................
502 502
503 504 506
23.2 Epitaxial Growth of GaAs ...................... 23.2.1 Liquid-Phase Epitaxy (LPE) ......... 23.2.2 Vapour-Phase Epitaxy (VPE) Technologies ............................ 23.2.3 Molecular-Beam Epitaxy (MBE) ... 23.2.4 Growth of Epitaxial and Pseudomorphic Structures ...
507 507
23.3 Diffusion in Gallium Arsenide ............... 23.3.1 Shallow Acceptors ..................... 23.3.2 Shallow Donors ......................... 23.3.3 Transition Metals.......................
511 512 513 513
508 509 511
23.4 Ion Implantation into GaAs .................. 513 23.5 Crystalline Defects in GaAs .................... 23.5.1 Defects in Melt-Grown GaAs ....... 23.5.2 Epitaxial GaAs (not Low Temperature MBE GaAs) ............. 23.5.3 LTMBE GaAs ..............................
514 514 516 517
23.6 Impurity and Defect Analysis of GaAs (Chemical) ............................... 517 23.7
Impurity and Defect Analysis of GaAs (Electrical) ............................... 518 23.7.1 Introduction to Electrical Analysis of Defects in GaAs ..................... 518
23.8 Impurity and Defect Analysis of GaAs (Optical) .................................. 521 23.8.1 Optical Analysis of Defects in GaAs 521
Part C 23
The history of gallium arsenide is complicated because the technology required to produce GaAs devices has been fraught with problems associated with the material itself and with difficulties in its fabrication. Thus, for many years, GaAs was labelled as “the semiconductor of the future, and it will always be that way.” Recently, however, advances in compact-disc (CD) technology, fibreoptic communications and mobile telephony have boosted investment in GaAs research and development. Consequently, there have been advances in materials and fabrication technology and, as a result, GaAs devices now enjoy stable niche markets. The specialised uses for GaAs in high-frequency and optoelectronic applications result from the physical processes of electron motion that allow high-speed and efficient light emission to take place. In this review, these advanced devices are shown to result from the physical properties of GaAs as a semiconducting material, the controlled growth of GaAs and its alloys and the subsequent fabrication into devices. Extensive use is made of chapters from “Properties of Gallium Arsenide, 3rd edition” which I edited with the help of Prof. G. E. Stillman [23.1]. This book was written to reflect virtually all aspects of GaAs and its devices within a readable text. I believe that we succeeded in that aim and I make no apologies in referring to it. Readers who need specialised data, but not necessarily within an explanatory text, should refer to the ¨rnstein, group III (condensed matter) Landolt-Bo data collection [23.2, 3]. The sub-volumes A1α (lattice properties) and A2α (impurities and defects) within volume 41 are rich sources of data for all III–V compounds. Although there are no better sources than the original research papers, I have referred to textbooks where possible. This is because the presentation and discussion of scientific data is often clearer than in the original text, and these books are more accessible to students.
510
Part C
Materials for Electronics
Part C 23.2
molecules. The beams are incident on a heated, rotating substrate where they react to form a growing layer [23.45]. In practice, the growth takes place in an ultra-highvacuum (UHV) chamber at a base pressure of about 10−11 Torr. The sources are usually high-purity elements held in Knudsen cells (high-vacuum effusion cells). The molecular beams are controlled by simple metal shutters that can be moved rapidly in and out of the beams by external drives. A typical MBE reactor will have up to eight such sources, some for the components of the host semiconductor, Ga, Al, etc. and others for dopants, each held at the relevant temperature to produce a molecular beam of the correct effective pressure, see Fig. 23.13. The use of UHV technology allows the use of in situ analysis of the growth to be monitored and this has provided much information on details of the growth mechanisms. A favourite tool is reflection high-energy electron diffraction (RHEED) whose use gives important information regarding the quality of the growth with single atomic layer sensitivity. The advantages of MBE include the use of highpurity elemental sources rather than compounds (whose purity is less controllable). The use of moveable shutters in front of each cell means that each source can be turned on or off in a fraction of a second. As a result, MBE-grown material can be of very high quality with the sharpest interface abruptness. These qualities are essential in several microwave devices and this is one of the major commercial uses for MBE. However, MBE has been a favourite growth technique for semiconductor research laboratories because it is well suited for the small-scale growth of specialised structures. As an industrial technique, MBE suffers from several problems. The first results from its reliance on UHV technology, meaning that it is expensive to install, requires large quantities of liquid nitrogen to keep cold, considerable power to keep under vacuum, and special clean rooms. It is expensive to operate. Conditions for correct growth include a beam effective pressure ratio of As to group III metals of about six. As a result, the inside of the machine becomes coated with arsenic, making it very unpleasant to clean. Replenishing the cells when they are exhausted can be a slow and difficult process because recovery of the vacuum after the inside of the machine is exposed to atmospheric gases can take several days. There are three modes for MBE growth: normal, low temperature and gas source.
Normal MBE Growth In conventional MBE [23.46], the growth normally takes place at around 600 ◦ C with an As4 flux that is considerable greater than the Ga flux. Often the beam effective pressure (BEP) ratio of As to Ga is around six. The Ga flux controls the growth rate and a Ga flux of 6 × 1014 cm−2 s−1 produces a growth rate of one monolayer per second. The GaAs so produced is of excellent structural and electrical quality. It is usually doped n-type with Si but Se and Te are often used. Unlike melt-grown GaAs, there is little auto-compensation and doping levels exceeding 1019 cm−3 can be obtained; p-type doping is normally achieved using Be, although for some devices carbon is preferable. These acceptors can be incorporated at concentrations exceeding 1020 cm−3 . AlGaAs alloys are grown by opening the shutter of an Al cell. Growth proceeds at a somewhat higher temperature but with similar ease. Should AlGaAs alloys of different composition be required, it is usual to have two Al cells at different temperatures and these are opened as required. For similar reasons a device structure with different n-type doping regions such as a metal–semiconductor field-effect transistor (MESFET) or high-electron-mobility transistor (HEMT) will require the use of at least two Si cells at different temperatures. These means of changing material composition increase equipment complexity and cost. Low-Temperature MBE (LTMBE) Growth Unlike the VPE techniques presented previously, MBE growth can proceed at temperatures as low as 200 ◦ C, in a regime called low-temperature MBE (LTMBE), see [23.47, 48]. One reason for this is that no chemical reactions are needed to release the elemental Ga and As on the GaAs surface from gaseous precursors (although the As4 must be dissociated) and there is sufficient surface atomic mobility at these low temperatures to ensure uniform, crystalline growth. At these low growth temperatures and under standard As-rich growth conditions with a BEP ratio of greater than 3, undoped GaAs is very As-rich, with up to 1.5% excess As, contains high concentrations of point defects and is high resistivity. This material is heat-treated before use to improve its properties and stabilise it. Growth of LTMBE GaAs under less As-rich conditions, or at higher temperatures, results in nearly stoichiometric material. In all cases, however, SI behaviour is observed. The real advantage of annealed LTMBE GaAs to device manufacturers is the extremely low minority carrier lifetime of < 0.5 ps. This makes it useful in the fabrica-
Gallium Arsenide
tion of ultrafast optodetectors [23.49] and as a substrate for high-speed integrated circuits (ICs) [23.50]. Gas-Source and Metalorganic MBE In modifications known as gas-source MBE (GSMBE) and metalorganic MBE (MOMBE), some sources that are volatile at room temperature are used. In GSMBE, AsH3 replaces the metallic As source and some dopants are also replaced by volatile sources. One of the advantages of GSMBE is the virtually unlimited source of As (from a high-pressure gas bottle) and the ease of replacing it and similar group V sources. These are exhausted rapidly in normal growth because of the need to use an excess of these elements. In MOMBE the group III metallic sources are replaced by metalorganics like TMG. By using a combination of triethyl-gallium (TEG) and TMG, MOMBE growth can lead to the controlled incorporation of carbon acceptors at concentrations up to 1021 cm−3 , necessary for heterojunction bipolar transistors (HBT) fabrication (see later) and for other uses where stable, highly p-type GaAs is required. Similar ease of carbon incorporation in GSMBE uses CCl4 or CBr4 as sources. A thorough review of these techniques has been given by Abernathy [23.51].
23.2.4 Growth of Epitaxial and Pseudomorphic Structures
performance because their interactions can cause them to orient themselves in the growth direction and to invade the electrically active structure above. They can then act as recombination centres. However, even when a required ternary does not lattice-match the substrate two approaches can be used to give satisfactory device results; grading and pseudomorphic growth. In grading, the material composition, and hence the lattice constant, is changed gradually from that of the substrate to that of the required alloy. Misfit dislocations are still produced but they are now distributed on many lattice planes and they tend not to interact. This restricts them to the graded region and away from the active regions above. Grading is used for the production of low-cost visible LEDs based on GaAs1−x Px . These devices can be formed on either GaP or GaAs substrates according to the required alloy composition (which controls the colour) and growth by the hydride or chloride process allows grading to be performed. Other uses for grading occur in the production of optical confinement layers in certain types of lasers (Sect. 23.13). Theoretical calculations show that mismatched growth does not result in the immediate creation of misfit dislocations, because there exists a critical thickness below which the strain energy is insufficient to produce them [23.52]. If growth is stopped at this stage, the layer remains dislocation-free. Indeed, if a layer of the substrate compound now covers the layer, the structure is further stabilised. Its enforced lattice match to the substrate strains the mismatched layer; if it would normally be cubic, the epilayer assumes a tetragonal structure, with the c-axis aligned in the growth direction. This distortion produces electrical and optical properties that are no longer isotropic. In other words, properties parallel to the growth interface are different to those perpendicular to it. Often these offer advantages over the cubic material. This type of growth is called pseudomorphic and is very useful in several microwave devices. Pseudomorphic structures can be grown by MOCVD or MBE, although the latter is usually preferred.
23.3 Diffusion in Gallium Arsenide Diffusion, which refers to the motion of impurity atoms under a concentration gradient, can be a positive or negative process. As an example of the first category, diffusion can be used to introduce impurity atoms into the bulk of a solid. This is the most important process in Si technology, for example. Diffusion is also
one of the atomic processes that are thought to be important in making effective Ohmic contacts. However, diffusion is also the mechanism by which large concentration gradients grown in to a structure can relax and cause degradation to the characteristics of the device.
511
Part C 23.3
The epitaxial growth of GaAs on GaAs is one of the simplest epitaxial growth processes where, if the growth is performed correctly, analysis of the resultant structure will show no break in the atomic planes at the substrate-layer interface. Because the lattice constants of the ternary GaAlAs alloys match that of GaAs, the growths of these are also simple in principle and are the basis for many devices. Attempts to grow layers with lattice constants that are dissimilar to the substrate result in the incorporation of misfit dislocations. Although these are initially parallel to the interface, they are deleterious to device
23.3 Diffusion in Gallium Arsenide
Gallium Arsenide
23.3.1 Shallow Acceptors The first impurity whose diffusion profile in GaAs was carefully analysed was zinc because it was by diffusion of Zn into n-type GaAs to make a p–n junction that early LEDs were fabricated. When Zn is diffused into GaAs (Fig. 23.14) the profile is found to be deeper than expected for a substitutional mechanism. Moreover, it is not exponential but exhibits a concave shape with a very abrupt diffusion front. Electrical evaluation reveals that, after diffusion, nearly all the Zn atoms act as shallow ZnGa acceptors. Interpretation suggests two possible interstitial– substitutional diffusion mechanisms, both of which involve the diffusion of singly positively charged interstitial Zn atoms, Zn+ i , which take up Ga lattice positions to become substitutional Zn− Ga acceptors. Either of these 2 models suggests that D is proportional to [Zn− Ga ] . Under certain circumstances, the diffusion of Mn, which is a shallow acceptor, MnGa , can be very similar to Zn. However, surface reactions cause experimental problems so that data is not so consistent. BeGa is an acceptor often used in MBE growth. Its diffusion behaviour is poorly investigated but it has been concluded that D is concentration-dependent. Rapid ageing characteristics of GaAs/AlGaAs heterojunction bipolar transistors (HBTs) have been attributed to diffusion of Be from the highly p-type base into the emitter and collector regions [23.57]. CAs is a shallow acceptor and is important in SI GaAs as well as in MBE growth. It seems to be a very slow diffusing species, probably via purely substitutional diffusion. The diffusion rate depends on whether the GaAs is As- or Ga-rich, being greater in the former case. Arrhenius plots give expressions for D as 0.110 exp( − 3.2 eV/kT) and 2.8 × 10−4 exp( − 2.7 eV/kT)cm2 s−1 , respectively. Carbon diffusion in GaAs has been reviewed by Stockman [23.58].
All shallow donors of scientific interest, group IV atoms occupying Ga or group VI atoms occupying As lattice
sites, are slow substitutional diffusers whose measurement has posed considerable problems [23.54]. Donor diffusion appears neither to have any direct technical application nor to be involved in any device degradation, although it is expected to be involved with the creation of good Ohmic contacts to n-type GaAs. A recent assessment of Si diffusion in GaAs using SIMS to trace the motion of the Si atoms has been reported in [23.59]. In general, it is observed that atoms occupying the group V sublattice are generally very slow diffusing, possibly a result of the high energy of formation of VAs .
23.3.3 Transition Metals Transition metals normally occupy Ga lattice sites and act as deep acceptors. Cronin and Haisty [23.12] grew GaAs crystals doped with virtually all the first series of transition metals and were the first to observe their acceptor properties. This investigation showed that Cr doping produced SI material. However, most of these metals are very rapid, interstitial diffusers in GaAs, although their final situation is substitutional, and this has led to their almost total disuse from GaAs technology. As an example, diffusion of Cr has been investigated by several groups, (unfortunately, with disparate results). Diffusion into GaAs from a surface source (indiffusion) produces diffusion rates that are larger than those from within the host lattice. However, there are clear surface effects that result from a chemical reaction between Cr and GaAs. After diffusion the profile is deep, indicating interstitial diffusion, but nearly all the Cr atoms seem to act as acceptors, i. e. they are substitutional [23.54]. Thus, there are similarities with the earlier case of Zn diffusion. This interstitial–substitutional diffusion is probably common in GaAs, although details are rare. One case where some justification for this mechanism was obtained was the case of Fe diffusion. Here, electron paramagnetic resonance (EPR) studies of the diffused layer showed good agreement between Fe3+ Ga and the atomic concentration of Fe determined by radiotracer measurements although the rapid penetration rate strongly indicated interstitial diffusion [23.60].
23.4 Ion Implantation into GaAs Modification to the electronic properties of the nearsurface region of a semiconductor is the basis of nearly all devices. In Si this is routinely performed by diffusion or ion implantation. However, most microwave
513
GaAs devices that require this modification are ntype devices such as metal–semiconductor field-effect transistors (MESFET) and, as mentioned previously, diffusion of shallow donors into GaAs is not technically
Part C 23.4
23.3.2 Shallow Donors
23.4 Ion Implantation into GaAs
514
Part C
Materials for Electronics
possible. MESFETs are majority carrier, n-type conduction devices that are fabricated on the surface of SI GaAs. They need abrupt depth profiles of two carrier concentrations (Sect. 23.11.2 for more details). In ion-implanted MESFETs, these regions are produced by direct implantation of donor atoms into the surface. The depth profile of implanted ions can be calculated using computer programs. In general, the greater the energy of the ion, the greater is the mean penetration and the greater the mass of the ion, the lower the penetration. Unfortunately, damage to the host crystalline structure is associated with the implantation, resulting in zero electrical activity from the implanted ions. This damage must be repaired by thermal annealing. Two types of anneal schedule are used, furnace annealing and rapid thermal annealing (RTA). The first entails heating the GaAs in a conventional furnace at 850–900 ◦ C for at least 15 min. RTA uses a higher temperature of 900–950 ◦ C but for times of only approximately ten seconds. In each case the surface must be protected by an impervious layer to stop the loss of As. This is
often silicon nitride (Si3 N4 ) but the simple expedient of annealing two wafers face to face will reduce As loss to insignificant amounts. The recovery of electrical activation, being a thermally activated process, has an associated activation energy that is usually less than 1 eV. Because that for diffusion often exceeds 2.5 eV, careful implant annealing does not result in appreciable diffusion. A full list of these parameters is given in the article by Sealy [23.61]. In Si, implant anneals remove nearly all this damage; in GaAs only a fraction of the implant damage can be removed, especially after high implant fluences, unless the heat treatments are applied for times and temperatures that are incompatible with device manufacture. Anneals of acceptor-implanted GaAs are more effective with nearly 100% activation of the implant being achievable. The inefficient activation of donor implants in GaAs is not fully understood. Applications of ion implantation, mostly reserved for MESFETs and monolithic microwave integrated circuits (MMICs) based on MESFETs, are discussed later.
23.5 Crystalline Defects in GaAs Crystal defects are crucial in determining many electrical and optical properties of GaAs. Most of these defects are incorporated during growth into melt-grown (sub-
strate) material but they can also be introduced in later processing steps.
23.5.1 Defects in Melt-Grown GaAs
Part C 23.5
100 µm
Fig. 23.15 A 20-mm2 area of a 3-inch-diameter {001}SI
LEC GaAs after etching to reveal dislocations. Many of the dislocations are arranged in a cellular wall formation enclosing regions where the dislocation density is small. The nearly vertical line, closely aligned along [110] is lineage where dislocations have interacted to produce a small-angle tilt boundary. (Courtesy of D. J. Stirland)
Structural Defects Previously, the problems associated with melt growth were introduced. The growing crystal, cooling from the outside, experiences compressional stresses that cause plastic deformation by the introduction and subsequent motion of dislocations. In SI GaAs the polygonised dislocation structure is complex. Such structure is best revealed by specialised chemical etching of the surface to reveal dislocation arrangements [23.62, 63] or reflection X-ray topography [23.64]. Each technique reveals classical dislocation cells, lineage (the boundary between sections of the crystal that have a small tilt misorientation and caused by dislocation motion) and slip. The lineage occurs preferentially along the 110 diameters. A typical image from a 20-mm2 area from a 3-inch-diameter 001 SI LEC GaAs is shown in Fig. 23.15. The use of selective etching by molten alkalis is commonly used by substrate manufacturers in order
Gallium Arsenide
to reveal dislocations passing through the surface and being revealed as pits. Thus, they obtain an etch pit density (EPD), which corresponds to the dislocation density [23.65]. However, transmission electron microscopy (TEM) is a preferable, though much slower, method for investigating dislocations [23.66]. VGF GaAs contains a much lower density of dislocations but even these are found to be in the form of a cell structure [23.68]. n+ –GaAs is much less dislocated and no cell structure is present. It is thought that the slip velocity of dislocations on their glide planes is reduced in highly n-type material, so that n+ -GaAs contains far fewer dislocations than SI or p-type material. However, this cannot be the only reason for this hardening process as the addition of neutral atoms such as In at high concentration can also reduce the dislocation density to near zero [23.69]. The dislocation structures also contain microscopic precipitates, which have been found to be hexagonal, elemental As [23.30, 70]. These are absent in n+ -GaAs. Point Defects SI GaAs is a relatively pure material. It contains boron impurities at high concentration but these are neutral (Sect. 23.1.2). Silicon and sulphur, both shallow donors, are found at concentrations of around 1015 cm−3 . Concentrations of other electrically active impurities are extremely low and can be ignored.
23.5 Crystalline Defects in GaAs
515
The exception is carbon, a shallow acceptor, which occurs naturally in LEC GaAs, but which is often added intentionally to VGF GaAs, at concentrations of 1014 –1016 cm−3 . For this reason carbon must be treated as a dopant and not an impurity. The accurate measurement of the carbon concentration is challenging. The standard method uses the low-temperature far-infrared absorption due to the localised vibrational modes (LVM) of carbon acceptors, a technique that has a sensitivity of around 1014 cm−3 [23.71, 72]. However, SI GaAs contains many native defects, and these are listed in Table 23.2. Most native point defects are deep donors, at concentrations of 1013 –1014 cm−3 with one, EL2, the As antisite defect (AsGa ), being dominant, existing at a concentration of 1 × 1016 –1.5 × 1016 cm−3 . Compensation of the carbon acceptors by EL2 pins the Fermi level near the centre of the band gap, the other point defects being fully ionised by this process. The lack of native acceptors at concentrations above those of donors makes the presence of carbon (or other chemical acceptors) mandatory for SI behaviour. The EL2 Centre EL2 is a native deep double donor. Its first ionisation state is at 0.75 eV above the valence band. This is the level that controls the Fermi level in SI GaAs. A second ionisation from + to ++ occurs at an energy at
Table 23.2 Deep electronic levels observed in melt-grown SI GaAs. EL is an electron level and HL is a hole level. In
most commercial material only EL2 exists at concentrations exceeding 1015 cm−3 and is the only deep level assumed to be involved in the compensation mechanism to give SI properties. (From [23.67]) Label
Origin
Concentration (cm−3 )
Capture cross section (cm2 )
EL11
E c −0.17
3 × 10−16
EL17
E c −0.22
1.0 × 10−14
EL14
E c −0.215
5.2 × 10−16
1014 – 1016
E c −0.35
1.5 × 10−13
EL5
1014 – 1016
E c −0.42
10−13
EL3
1013 – 1015
E c −0.575
1.2 × 10−13
EL2
5 × 1015 –3 × 1016
E c −0.825
1.2 × 10−13
Below 2 × 1014
E v +0.83
1.7 × 10−13
EL6
Complex defect
Native defect AsGa or [AsGa –X]
HL10
E v +0.69
1.1 × 10−13
HL7
1.7 × 1015
E v +0.35
6.4 × 10−15
“GaAs ”
3 × 1015 –3 × 1016
E v +0.077 E v +0.203 (double acceptor)
HL9 Gallium antisite GaAs or boron antisite BAs
(dependent on Ga richness of melt)
Part C 23.5
Emission energy (eV)
Gallium Arsenide
cations could be much greater than is estimated. The deleterious effects of these threading dislocations are the prime reason for the development of VGF and similar substrates. Point Defects Epitaxial GaAs contains a far lower concentration of intrinsic point defects than melt-grown material because of the low temperatures to which these materials are subjected. EL2 defects are found in epilayers grown by all the VPE techniques at concentrations up to 1014 cm−3 making it both the most common trap and the one occurring at highest concentration. Higher concentrations may be generated after growth by annealing at temperatures near 900 ◦ C. In this way, lightly p-type VPE GaAs can be rendered SI, see Sect. 23.2.2. A comprehensive list of electron traps has been presented in [23.83] and a similar list of hole traps has been presented in [23.84]. Capture cross-section determinations have been presented in [23.85]. In general, most point defects in epitaxial material are extrinsic and result from impurities present in the precursors or in the growth apparatus. In modern equipment, using purified starting chemicals, residual impurities are typically shallow donors such as Si and S that are difficult to remove. These produce a residual carrier concentration of around 1014 cm−3 in the best commercial, nominally undoped, epitaxial GaAs. There are notable exceptions to the high purity usually found in MOCVD and MOMBE GaAs
23.6 Impurity and Defect Analysis of GaAs (Chemical)
517
when carbon is used as a p-type dopant. Hydrogen can enter the growing layer and passivate the acceptors, see [23.86, 87], a case where intentional doping by one species can result in unintentional incorporation of an impurity, see also the previous case of Si in LEC GaAs. The hydrogen concentration is up to 50% of the carbon concentration in MOMBE GaAs and up to 20% in MOCVD GaAs. Fortunately, it can be removed by a post-growth heat treatment at 600 ◦ C for around 10 min.
23.5.3 LTMBE GaAs The low growth temperature and an excess of As cause this material to be very As-rich [23.47, 88]. Initially the excess As is in solution and device processing causes it to precipitate. For reasons of reproducibility, an anneal at around 500 ◦ C is applied before processing which stabilises the LTMBE GaAs, which now contains a very high number of As precipitates and increases its resistivity to over 108 Ω cm [23.89]. The high resistivity is probably due to high concentrations of point defects, especially EL2, but Schottky barrier effects, resulting from the As/GaAs interfaces, which would result in virtually all the material being depleted, cannot be ruled out [23.90]. The acceptor species, which must be present to ionise the EL2 defects and pin the Fermi level, is widely believed to be the Ga vacancy, VGa [23.91] and there is some positron-annihilation spectroscopy evidence to support this [23.92].
23.6 Impurity and Defect Analysis of GaAs (Chemical) trometer. This normally requires extra pumping often by cryogenic means (cooling a section of the instrument to near liquid-helium temperatures to freeze impurities and remove them from the vacuum), atypical extra components of most spectrometers. Because impurities and their concentrations tend to be specific to manufacturers and GaAs is being improved continuously, an example of a chemical analysis is not given. At low concentrations, C, H, and O are difficult to measure in epitaxial GaAs because of the low volume of material that is available for analysis. In bulk GaAs, C, and H are best detected using infrared absorption by localised vibrational modes (LVM). This technique exploits the fact that light impurities in a solid have vibrational frequencies that do not couple well to the lattice modes (phonons); they vibrate at frequencies above
Part C 23.6
Concentrations of impurities in all grades of GaAs, with the exceptions mentioned previously, are extremely low and always less than 1 part per million (ppm) or ≈ 4 × 1016 cm−3 . Secondary-ion mass spectrometry (SIMS), or similar techniques like glow-discharge mass spectrometry (GDMS), are the chosen method for detecting most impurity elements, see [23.93]. In these methods, the surface is ablated and ionised. The secondary ions from the material under investigation are then passed through a mass spectrometer before detection. Sensitivities of better than 1014 cm−3 are quoted by most SIMS and GDMS laboratories. Unfortunately, important species like hydrogen, oxygen and carbon are not detected with adequate sensitivity by these techniques unless considerable care is taken to remove these impurities from the vacuum ambient of the mass spec-
Gallium Arsenide
23.8 Impurity and Defect Analysis of GaAs (Optical)
521
23.8 Impurity and Defect Analysis of GaAs (Optical) 23.8.1 Optical Analysis of Defects in GaAs For obvious reasons, analytical techniques that do not require electrical contacts to be made to the sample, or are otherwise nondestructive, are attractive to device manufacturers. Many of these are optical in nature. The use and limitations of LVM absorption has been addressed in Sect. 23.6 and that for measuring EL2 concentrations was described in Sect. 23.1.3. Other infrared absorption techniques are of limited interest; we concentrate on light emission, or luminescence, methods.
Low-Temperature Luminescence from Point Defects Low-temperature luminescence from shallow acceptors often dominates the spectra of SI GaAs, the energy of the lines being approximated by E G − E A , where E A is the energy of the acceptor relative to the top of the valence band. Because E A depends on the acceptor species, the presence of a particular acceptor can be determined. Similar luminescence from donors is less easy to differentiate as they have similar values of E D (where E D is the energy level relative to the bottom of the conduction band). Luminescence from intrinsic deep levels is weak because recombination is mostly nonradiative. However, that from EL2 centres can be resolved both at low and at room temperature [23.98, 107]. The situation from impurity levels, especially transition metals, usually resulting from contamination is different with strong luminescence resulting from many. Of interest is the line due to Cu at 1.05 eV, which is particularly strong and well resolved and allows this common contaminant to be detected. The PL spectra from GaAs depend very sensitively on the method of growth, subsequent treatments and the presence of impurities. Readers interested in this technique are directed towards the relevant chapters of [23.1]. Room-Temperature Luminescence Measurements The broadening effects of temperature and the increased influence of the surface greatly reduces the use of room-temperature luminescence as an analytical tool. However, mapping at room temperature is often attractive because of its relative ease compared to lowtemperature studies. It is then a truly nondestructive method giving spatial information of surface properties (that control the surface recombination velocity) and it is often used for assessing substrates and the uniformity and quality of quantum wells (QW). A review of these techniques has been given by [23.108]. Mapping of Surface Properties. The surface quality
of GaAs substrates is critical to successful epi-growth. Manufacturers perform special cleaning and oxidation procedures to ensure reproducible surface properties, those being suitable for immediate epi-growth being supplied as epitaxial-ready. A valuable check on these
Part C 23.8
Photoluminescence (PL) Luminescence in semiconductors results from the radiative recombination of excess carriers. With the exception of direct recombination via free excitons, luminescence takes place via the mediation of defects [23.105, 106]. The energies of luminescence bands give information of the defects, the intensities are related (albeit in a rather indirect way) to concentrations and the total luminescence intensity is related to concentrations of parallel, nonradiative recombination paths. If the excess carriers are produced optically, often by illumination of the sample with a laser beam, the technique is photoluminescence. Excitation using a beam of high-energy electrons in a scanning electron microscope (SEM) results in cathodoluminescence (CL). Although the scanning ability of the SEM allows CL to map the luminescence of the material, CL is rarely applied to GaAs. In either method, excess carriers are produced within the top few µm of the surface. However, this is not the only volume that is probed by the technique, as these carriers will diffuse about one diffusion length, L D , before they recombine. L D will be greater in material where the minority carrier lifetime is greater; in general, this will be associated with better, more luminescent material. It follows that there are restrictions on the spatial information that can be obtained, better resolution being obtained with material of lower L D . Moreover, excess carriers that diffuse to the surface are lost to the measurement as they recombine there without emission of light. This process is quantified by a surface recombination velocity, which increases strongly as the temperature is raised. PL and CL are usually performed at low sample temperature because this reduces thermal broadening of the emission lines and reduces the diffusion of excess carriers to the sample surface. The emitted light is passed through a high-resolution spectrometer before detection by either a suitable photomultiplier tube or semicon-
ductor (usually Si or Ge) detector. A Ge detector must be cooled, although cooling of all detectors generally results in less noise.
524
Part C
Materials for Electronics
23.10 Electrical Contacts to GaAs It is clearly necessary to make electrical contacts to a GaAs device. These are of two types, those with a small internal barrier voltage and which do not affect the passage of a current, Ohmic contacts, and those whose internal electrical fields result in a sizeable potential difference and which modify the current flow, non-Ohmic or Schottky contacts.
Ohmic contacts to p-type GaAs often use evaporated Au−Zn alloys followed by a heat treatment similar to that used for n-type contacts. The in-diffusion of Zn atoms clearly takes place but the removal of oxides on the GaAs surface by the Zn is also important.
23.10.1 Ohmic Contacts
The barrier height between most metals and n-type GaAs is found to be nearly constant at 0.6–0.7 V. This high and constant value is a result of the Fermi energy at the surface being controlled by surface electronic states rather than the properties of the metal. Titanium is the most important Schottky contact. It is usually introduced via a TiPtAu alloy. This exploits the high sticking coefficient of Ti to GaAs whilst the Pt acts as a diffusion barrier to the low-electrical-resistance Au metallisation that would otherwise enter the GaAs. TiAl is sometimes a preferred alternative. Interestingly, Al also produces a Schottky barrier on p-type GaAs with a barrier height of about 0.6 V. Gold was used as a Schottky contact on ntype GaAs with a barrier height of about 0.9 V but, as discussed above, this is degraded by in-diffusion at high temperatures. Contacts used specifically for high-temperature use include some silicides, with WSix being commonly used in some microwave devices. Metals for Schottky contacts have been reviewed in [23.115].
A true Ohmic contact would exploit the constancy of the Fermi energies in the semiconductor and the metal. Such a situation rarely occurs and the true nature of practical Ohmic contacts often remains unclear. As an example, the most commonly used Ohmic contact to n-type GaAs is the eutectic alloy of Au–12% Ge. This is evaporated onto the surface and then heated to about 400 ◦ C to produce the required electrical properties. It is believed that the heat treatment results in the in-diffusion of Ge to produce an extremely thin n+ region, although this may not be the only mechanism; electron microscopy shows that complex intermetallic reactions between the Au−As and Ge take place. If Ni is added to the Au−Ge alloy to produce a ternary, a greatly improved contact results, certainly as a result of the Ni reacting with the GaAs surface to produce intermetallic phases with As and possibly by removing the oxide layer. Other contact materials are sometimes used and many of these have been reviewed in [23.95].
23.10.2 Schottky Contacts
23.11 Devices Based on GaAs (Microwave) 23.11.1 The Gunn Diode Part C 23.11
The occurrence of secondary conduction-band minima in the E–k diagram of GaAs leads to the possibility of high-energy electrons being excited from the Γ point where they have low effective mass to the X points where their mass is much greater. This is the transferred-electron effect. Although on applying a greater electric field their kinetic energy is increased, their increased mass leads to a fall in velocity. As a result, the current falls. This appears as a negative differential resistance (NDR) region in the current–voltage characteristics [23.116–118]. If an applied bias keeps the current flow in the NDR region, it can be shown that the electron flow will break up into pulses and the cur-
rent in the external circuit will also be pulsed. Under certain circumstances, this results in the production of power at a particular microwave frequency determined mainly by the thickness of the GaAs [23.119]. The device optimised for microwave power production is called the Gunn diode, after J. B. Gunn who first observed this effect experimentally. This device is shown in Fig. 23.22. The device is very simple, consisting solely of a few microns thickness of high-purity n-type (≈ 1015 cm−3 ) GaAs with more highly doped contacting regions to improve connection to the outside world [23.120]. The Gunn diode can produce microwave emission at frequencies up to 10 GHz and with powers of a few Watts. These devices have uses as portable microwave sources in radar speed detectors, for example.
532
Part C
Materials for Electronics
23.12.3 GaAs Photodetectors Solar Cells As a bulk material GaAs has found great use in solar cells. There are many reasons for this.
1. The band-gap energy is well matched to the solar spectrum and the high optical absorption above the band edge means that most light is absorbed in a thin layer. 2. In extraterrestrial applications, where large numbers of high-energy atomic particles exist, GaAs solar panels damage less easily than Si panels and therefore retain efficiency for longer. 3. In terrestrial use the higher band-gap energy allows GaAs solar cells to operate in concentrator systems (at the focus of a large Fresnel lens which is mechanically aimed at the Sun) where high temperatures are inevitable and Si devices would lose efficiency. The simplest structure of this device is a simple p–n junction of high-quality GaAs. It is normally covered with a GaAlAs top layer to passivate the surface and to reduce reflection losses. These devices can have an efficiency of over 20% [23.140] Structures that are more
complex involve up to three solar cells grown in series. In a typical two-cell structure, the light sequentially passes through a GaAs solar cell and then a similar GaSb device. Much of the sub-band-gap light that is transmitted by the GaAs is absorbed in the GaSb solar cell (E G = 0.72 eV). Because these solar cells are effectively in series with reducing band-gap energies, more of the sunlight is absorbed than if a single cell were used. The efficiency of these devices can reach 30%. Research and development of multi-junction structures, often using Ge as a substrate and with different layers including GaAs, is very active. Because of their size, especially for space application, solar cells represent a sizeable part of the overall market for GaAs. Other Photodetectors The large band-gap energy makes GaAs unsuitable for most optical detector applications; the light generated by GaAs emitters being detected by Si or low-band-gap ternary detectors. However, the ionisation of electrons from bound QW states into continuum states can result in tunable mid- and far-IR detectors. The GaAs/GaAlAs system has been used in this way to make imaging arrays for wavelengths up to 20 µm, see for example [23.141].
23.13 Other Uses for GaAs
Part C 23.14
There have been attempts to exploit GaAs in other fields. High-energy particle detectors, including those for imaging X-rays, measure the ionisation produced as the particle traverses the detector material. The high stopping power, the large band-gap energy, which reduces leakage current, and the increased radiation hardness of GaAs in comparison with Si would appear to make it suitable for detectors for both scientific and medical use. The ready availability of SI GaAs wafers
has encouraged this work. Unfortunately, these detectors suffer from effects resulting from the presence of deep levels, especially EL2 [23.142]. One application that has shown promise is in high-temperature sensors, amplifiers and processors in automotive, aeronautical and other uses. This exploits the high band-gap energy of GaAs, which allows devices to work at temperatures up to 300 ◦ C. An excellent review has been given in [23.143].
23.14 Conclusions GaAs technology is now mature with devices occupying important niches in the semiconductor marketplace. I have presented the fundamental properties of GaAs and have demonstrated how its unique set of characteristics has allowed it to be exploited in several microwave
and optoelectronic applications. Future developments will probably concentrate on further integration of known devices and improvements to speed, power and reliability rather than the development of novel ones.
Gallium Arsenide
References
533
References 23.1 23.2
23.3
23.4 23.5 23.6
23.7 23.8 23.9 23.10
23.11 23.12 23.13
23.14 23.15 23.16 23.17 23.18
23.20 23.21 23.22 23.23
23.24 23.25
23.26
23.27
23.28
23.29
23.30 23.31
23.32
23.33 23.34 23.35
23.36 23.37
23.38
23.39 23.40
23.41
M. R. Brozel, J. B. Clegg, R. C. Newman: J. Phys. D 11, 1331 (1978) P. J. Doering, B. Friedenreich, R. J. Tobin, P. J. Pearah, J. P. Tower, R. M. Ware: Proc. 6th Conf. Semi-Insulating III–V Materials, Toronto, Canada 1990, ed. by A. G. Milnes, C. I. Miner (IOP, London 1990) 173–181 U. Lambert, G. Nagel, H. Rufer, E. Tomzig: Proc. 6th Conf. Semi-Insulating III–V Materials, Toronto, Canada 1990, ed. by A. G. Milnes, C. I. Miner (IOP, London 1990) 183–188 J. M. Baranowski, P. Trautman: Properties of Gallium Arsenide, 3 edn., ed. by M. R. Brozel, G. E. Stillman (INSPEC, London 1996) pp. 341– 357 I. Grant, D. Rumsby, R. M. Ware, M. R. Brozel, B. Tuck: Proc. Conf. on Semi-Insulating III–V Materials, Evian, France 1982, ed. by S. Makram-Ebeid, B. Tuck (Shiva, Nantwich 1982) 98–106 M. S. Skolnick, M. R. Brozel, L. J. Reed, I. Grant, D. J. Stirland, R. M. Ware: J. Electron. Mater. 13, 107–125 (1984) A. G. Cullis, P. G. Augustus, D. J. Stirland: J. Appl. Phys. 51, 2256 (1980) D. Rumsby, I. Grant, M. R. Brozel, E. J. Foulkes, R. M. Ware: Electrical behaviour of annealed LEC GaAs, Proc. Conf. on Semi-Insulating III–V Materials, Kah-Nee-Ta, ed. by D. C. Look, J. S. Blakemore (Shiva, Nantwich 1984) 165–170 O. Oda: Properties of Gallium Arsenide, 3rd edn., ed. by M. R. Brozel, G. E. Stillman (INSPEC, London 1996) pp. 591–595 E. M. Monberg, H. Brown, C. E. Bormer: J Cryst. Growth 94, 643–650 (1989) E. D. Bourret, E. C. Merk: J. Cryst. Growth 110, 395– 404 (1991) M. R. Brozel, I. R. Grant: Growth of gallium arsenide. In: Bulk Crystal Growth, ed. by P. Capper (Wiley, Chichester 2005) pp. 43–71 M. S. Tyagi: Introduction to Semiconductor Materials and Devices (Wiley, New York 1991) M. G. Astles: Liquid Phase Epitaxial growth of III–V Semiconductor Materials and their Device Applications (IOP, Bristol 1990) K. Somogyi: Properties of Gallium Arsenide, 3rd edn., ed. by M. R. Brozel, G. E. Stillman (INSPEC, London 1996) pp. 625–638 M. Razeghi: The MOCVD Challenge (Hilger, Bristol 1989) G. B. Stringfellow: Organometallic Vapor Phase Epitaxy: Theory and Practice (Academic, Boston 1989) K. F. Jensen: Handbook of Crystal Growth, Vol. 3b, ed. by D. T. J. Hurle (Elsevier Science, Amsterdam 1994) p. 3541
Part C 23
23.19
M. R. Brozel, G. E. Stillman: Properties of Gallium Arsenide, 3rd edn. (INSPEC, London 1996) W. Martienssen: Landolt-Börnstein, New Series Group III/ 41A1α , 41Aα (Springer, Berlin Heidelberg New York 2001, 2002) W. Martienssen, H. Warlimont: Springer Handbook of Condensed Matter and Materials Data (Springer, Berlin Heidelberg New York 2005) Chap. 4.1, p. 621 S. M. Sze: Semiconductor Devices, Physics and Technology (Wiley, Chichester 1985) W. Koster, B. Thoma: Z. Met. Kd. 46, 291 (1995) D. T. J. Hurle: A comprehensive thermodynamic analysis of native point defect and dopant solubilities in gallium arsenide, J. Appl. Phys. 85(10), 6957–7022 (1999) K. R. Elliott: Appl. Phys. Lett. 42(3), 274–276 (1983) K. Kurusu, Y. Suzuki, H. Takami: J. Electrochem. Soc. 136, 1450–1452 (1989) K. Terashima et al.: Jpn. J. Appl. Phys. 79, 463–468 (1984) L. R. Weisberg, F. D. Rosi, P. G. Herkart: Properties of Elemental and Compound Semiconductors, Vol. 5, ed. by H. C. Gatos (Interscience, New York 1960) pp. 25–67 J. M. Woodall: Electrochem. Technol. 2, 167–169 (1964) G. R. Cronin, R. W. Haisty: J. Electrochem. Soc. 111, 874–877 (1964) G. Martinez, A. M. Hennel, W. Szuszkiewicz, M. Balkanski, B. Clerjaud: Phys. Rev. B 23, 3920 (1981) T. P. Chen, T. S. Huang, L. J. Chen, Y. D. Gou: J. Cryst. Growth 106, 367 (1990) F. Moravec, B. Stepanek, P. Doubrava: Cryst. Res. Technol. 26, 579–585 (1991) E. P. A. Metz, R. C. Miller, R. Mazelsky: J. Appl. Phys. 33, 2016 (1962) J. B. Mullin, R. J. Heritage, C. H. Holliday, B. W.Straughan: J. Cryst. Growth 3-4, 281–285 (1968) J. R. Oliver, R. D. Fairman, R. T. Chen: Electron. Lett. 17, 839–841 (1981) H. M. Hobgood, L. B. Ta, A. Rohatgi, G. W. Eldridge, R. N. Thomas: Residual impurities and defect levels in semi-insulating GaAs grown by liquid encapsulated Czochalski, Proc. Conf. Semi-insulating III–V Materials, Evian, France 1982, ed. by S. MakramEbeid, B. Tuck (Shiva, Nantwich 1982) 30 D. E. Holmes, R. T. Chen, K. R. Elliott, C. G. Kirkpatrick: IEEE Trans. Electron. Dev. 29, 1045 (1982) D. E. Holmes, R. T. Chen, K. R. Elliott, C. G. Kirkpatrick: Appl. Phys. Lett 40, 46–48 (1982) K. Laithwaite, R. C. Newman, J. F. Angress, G. A. Gledhill: Inst. Phys. Conf. Ser. 33, 133 (1977) A. S. Jordan, R. Caruso, A. R. von Neida: Bell Syst. Tech. J. 59, 593 (1980)
534
Part C
Materials for Electronics
23.42
23.43
23.44
23.45
23.46
23.47 23.48
23.49
23.50
23.51
23.52 23.53 23.54 23.55 23.56 23.57 23.58
23.59
Part C 23
23.60 23.61
23.62 23.63 23.64
D. W. Kisker: Handbook of Crystal Growth, Vol. 3b, ed. by D. T. J. Hurle (Elsevier Science, Amsterdam 1994) p. 393 G. B. Stringfellow: Handbook of Crystal Growth, Vol. 3b, ed. by D. T. J. Hurle (Elsevier Science, Amsterdam 1994) p. 349 L. Samuelson, W. Seifert: Handbook of Crystal Growth, Vol. 3b, ed. by D. T. J. Hurle (Elsevier Science, Amsterdam 1994) p. 745 M. A. Herman, H. Sitter: Molecular Beam Epitaxy: Fundamentals and Current Status (Springer, Berlin Heidelberg New York 1989) K. R. Evans: Properties of Gallium Arsenide, 3rd edn., ed. by M. R. Brozel, G. E. Stillman (INSPEC, London 1996) pp. 655–662 F. W. Smith, A. R. Calawa, C. L. Chen, M. J. Manfra, L. J. Mahoney: IEEE Electron. Dev. Lett. 9, 77 (1988) M. Missous: Properties of Gallium Arsenide, 3rd edn., ed. by M. R. Brozel, G. E. Stillman (INSPEC, London 1996) pp. 679–683 J. F. Whitaker: Properties of Gallium Arsenide, 3rd edn., ed. by M. R. Brozel, G. E. Stillman (INSPEC, London 1996) pp. 693–701 N. X. Nguyen, U. K. Mishra: Properties of Gallium Arsenide, 3rd edn., ed. by M. R. Brozel, G. E. Stillman (INSPEC, London 1996) pp. 689–692 C. R. Abernathy: Properties of Gallium Arsenide, 3rd edn., ed. by M. R. Brozel, G. E. Stillman (INSPEC, London 1996) pp. 663–671 J. W. Matthews, A. E. Blakeslee: J. Cryst. Growth 27, 118 (1974) B. Tuck: Introduction to Diffusion in Semiconductors (Peregrinus, Stevenage 1974) B. Tuck: Atomic Diffusion in III–V Semiconductors (A. Hilger, Bristol 1988) K. A. Khadim, B. Tuck: J. Mater. Sci. 7, 68–74 (1972) J. Crank: The Mathematics of Diffusion (Clarendon, Oxford 1975) K. P. Roenker: Microelectron. Reliab. 35, 713 (1995) S. A. Stockman: Properties of Gallium Arsenide, 3rd edn., ed. by M. R. Brozel, G. E. Stillman (INSPEC, London 1996) pp. 101–116 T. Ahlgren, J. Likonen, J. Slotte, J. Raisanen, M. Rajatora: Phys. Rev. B 56, 4597–4603 (1997) M. R. Brozel, E. J. Foulkes, B. Tuck, N. K. Goswami, J. E. Whitehouse: J. Phys. D 16, 1085–1092 (1983) B. J. Sealy: Properties of Gallium Arsenide, 3rd edn., ed. by M. R. Brozel, G. E. Stillman (INSPEC, London 1996) pp. 765–782 M. S. Abrahams, C. J. Buiocchi: J. Appl. Phys. 36, 2855 (1965) R. T. Blunt, S. Clarke, D. J. Stirland: IEEE Trans. Electron. Dev. 29, 1039 (1982) A. R. Lang: Recent Applications of X-Ray Topography. In: Modern Diffraction and Imaging Technique in Materials Science, ed. by S. Amelinckx, G. Gevers, J. Van Landuyt (North Holland, Amsterdam 1978) pp. 407–479
23.65 23.66
23.67
23.68 23.69
23.70 23.71 23.72
23.73 23.74 23.75 23.76 23.77 23.78 23.79 23.80
23.81 23.82 23.83 23.84 23.85 23.86
23.87 23.88
23.89
G. T. Brown, C. A. Warwick: J. Electrochem. Soc. 133, 2576 (1986) P. Hirsch, A. Howie, R. B. Nicholson, D. W. Pashley, M. J. Whelan: Electron Microscopy of Thin Crystals (Krieger, Malabar 1977) M. R. Brozel: Properties of Gallium Arsenide, 3rd edn., ed. by M. R. Brozel, G. E. Stillman (INSPEC, London 1996) p. 377 L. Breivik, M. R. Brozel, D. J. Stirland, S. Tuzemen: Semicond. Sci. Technol. 7, A269–A274 (1992) G. Jacob: Proc. Conf. on Semi-Insulating III–V Materials, Evian, France 1982, ed. by S. MakramEbeid, B. Tuck (Shiva, Nantwich 1982) 2 T. Ogawa, T. Kojima: Mater. Sci. Monogr. 44, 207– 214 (1987) R. C. Newman: Infra-Red Studies of Crystal Defects (Taylor Francis, London 1973) R. Murray: Properties of Gallium Arsenide, 3rd edn., ed. by M. R. Brozel, G. E. Stillman (INSPEC, London 1996) pp. 227–234 R. J. Wagner, J. J. Krebs, G. H. Strauss, A. M. White: Soild State Commun. 36, 15 (1980) G. M. Martin: Appl. Phys. Lett. 39, 747 (1981) M. Skowronski, J. Lagowski, H. C. Gatos: J. Appl. Phys. 59, 2451 (1986) J. Dabrowski, M. Scheffler: Phys. Rev. Lett. 60, 2183 (1988) T. J. Chadi, K. J. Chang: Phys. Rev. Lett. 60, 2187 (1988) M. R. Brozel, I. Grant, R. M. Ware, D. J. Stirland: Appl. Phys. Lett. 42, 610–12 (1983) M. S. Skolnick, L. J. Reed, A. D. Pitt: Appl. Phys. Lett. 44, 447–449 (1984) M. R. Brozel, M. S. Skolnick: Near band edge “Reverse Contrast” images in GaAs, Proc. Conf. Semi-Insulating III–V Materials, Hakone 1986, ed. by H. Kukimoto, S. Miyazawa (Shiva, Nantwich 1986) 109 C. Le Berre et al.: Appl. Phys. Lett. 66, 2534 (1995) M. R. Brozel, S. Tuzemen: Mater. Sci. Eng. (B) 28, 130–133 (1994) G. M. Martin, A. Mitonneau, A. Mircea: Electron. Lett. 13, 191–193 (1977) A. Mitonneau, G. M. Martin, A. Mircea: Electron. Lett. 13, 666–668 (1977) A. Mitonneau, A. Mircea, G. M. Martin, D. Pons: Revue de Phys. Appl. 14, 853–861 (1979) S. A. Stockman, A. W. Hanson, S. L. Jackson, J. E. Baker, G. E. Stillman: Appl. Phys. Letts. 62, 1248 (1992) N. Watanabe, T. Nittono, H. Ito: J. Cryst. Growth 145, 929 (1994) M. R. Melloch, N. Otsuka, J. M. Woodall, A. C. Warren, J. L. Freeouf: Appl. Phys. Lett. 57, 1631 (1990) Z. Liliental-Weber, A. Claverie, J. Washburn, F. Smith, A. R. Calawa: Appl. Phys. A 53, 141 (1991)
Gallium Arsenide
23.90
23.91
23.92
23.93
23.94 23.95
23.96 23.97
23.98
23.99
23.100 23.101
23.102 23.103 23.104 23.105
23.107 23.108
23.109
23.110 23.111
23.112 A. M. Huber, C. Grattepain: SIMS Analysis of III–V compound microelectronic materials. In: Analysis of Microelectronic Materials and Devices, ed. by M. Grasserbauer, H. W. Werner (Wiley, New York 1991) p. 305 23.113 B. K. Tanner, D. K. Bowen: Characterization of Crystal Growth Defects by X-Ray Methods (Plenum, New York 1980) 23.114 B. K. Tanner: X-Ray Topography and Precision Diffractometry of Semiconductor Materials, ed. by T. J. Shaffner, D. K. Schroder (Electrochem. Soc., Pennington 1988) pp. 133–149 23.115 S. P. Kwok: J. Vac. Sci. Tech. B 4, 6 (1986) 23.116 B. K. Ridley, T. B. Watkins: Proc. Phys. Soc. 78, 293 (1961) 23.117 C. Hilsum: Proc. IRE 50, 185 (1962) 23.118 J. B. Gunn: Solid State Commun. 1, 88 (1963) 23.119 M. P. Shaw: The Physics and Instabilities of Solid State Electron Devices (Kluwer Academic/Plenum, Dordrecht 1992) pp. 830–835 23.120 C. G. Discus et al.: Properties of Gallium Arsenide, 3rd edn., ed. by M. R. Brozel, G. E. Stillman (INSPEC, London 1996) 23.121 J. Singh: Physics of Semiconductors and Their Heterostructures (McGraw–Hill, New York 1994) 23.122 Y. Chang, F. Kai: GaAs High-Speed Devices (Wiley, New York 1994) 23.123 J. M. Golio: Microwave Metal Semiconductor Field Effect Transistors and High Electron Mobility Transistors (Artech House, London 1991) 23.124 W. Liu: Fundamentals of III–V Devices: HBTs, MESFETs and HFETs/HEMTs (Wiley, New York 1999) 23.125 R. H. Wallis: Properties of Gallium Arsenide, 3rd edn., ed. by M. R. Brozel, G. E. Stillman (INSPEC, London 1996) pp. 811–819 23.126 W. Shockley: US Patent 2 569 347 (1951) 23.127 H. Kroemer: Proc. IRE 45, 1535 (1957) 23.128 E. F. Schubert: Light Emitting Diodes (Cambridge Univ. Press, Cambridge 2003) 23.129 E. F. Schubert: Properties of Gallium Arsenide, 3rd edn., ed. by M. R. Brozel, G. E. Stillman (INSPEC, London 1996) pp. 874–886 23.130 E. Kapon (ed): Semiconductor Lasers I. Fundamentals (Academic, New York 1998) 23.131 T. Numai: Fundamentals of Semiconductor Lasers (Springer, Berlin Heidelberg New York 2004) 23.132 L. A. Coldren, S. W. Corzine: Diode Lasers and Photonic Integrated Circuits (Wiley Interscience, New York 1995) 23.133 R. M. Kolbas: Properties of Gallium Arsenide, 3rd edn., ed. by M. R. Brozel, G. E. Stillman (INSPEC, London 1996) pp. 887–905 23.134 G. Morthier, P. Vankwikelberge: Handbook of Distributed Feedback Laser Diodes (Artech House, London 1997)
535
Part C 23
23.106
A. C. Warren, J. M. Woodall, J. L. Freeouf, D. Grischkowsky, D. T. Melloch: Appl. Phys. Lett. 57, 1331– 1333 (1990) D. E. Bliss, W. Walukiewicz, J. W. AgerIII, E. E. Haller, K. T. Chan, S. J. Tamigawa: Appl. Phys. 71, 1699 (1992) D. J. Keeble, M. T. Umlor, P. Asoka-Kumar, K. G. Lynn, P. W. Cooke: Appl. Phys. Lett. 63, 87 (1993) D. K. Schroder: Semiconductor Material and Device Characterization, 2nd edn. (Wiley Interscience, New York 1998) Ch. H. Alt: Appl. Phys. Lett. 54, 1445 (1989) D. C. Look: Electrical Characterization of GaAs materials and Devices, Design Meas. Electron. Eng. Ser. 1989 (Wiley, Chichester 1989) R. Stibal, J. Windscheif, W. Jantz: Semicond. Sci. Technol. 6, 995–1001 (1991) M. Wickert, R. Stibal, P. Hiesinger, W. Jantz, J. Wagner: High resolution EL2 and resistivity topography of SI GaAs wafers, Proc. SIMC-X, Berkeley, CA 1998, ed. by Z. Liliental-Weber, C. Miner (IEEE, 1999) 21–24 G. M. Martin, J. P. Farges, G. Jacob, J. P. Hallais, G. Poiblaud: J. Appl. Phys. 51, 2840–2852 (1980) G. E. Stillman, C. M. Wolfe, J. O. Dimmock: Semicond. Semimet., Vol. 21 (Academic, New York 1977) p. 169 M. N. Afsar, K. J. Button, G. L. McCoy: Inst. Phys. Conf. Ser. 56, 547–555 (1980) P. Blood, J. W. Orton: The Electrical Characterization of Semiconductors: Majority Carriers and Electron States (Academic, London 1992) D. V. Lang, L. C. Kimmerling: IOP Conf. Ser. 23, 581 (1975) D. V. Lang: J. Appl. Phys. 45, 3023 (1974) L. Dobaczewski, P. Kaczor, I. D. Hawkins, A. R. Peaker: J. Appl. Phys. 76, 194 (1994) H. B. Bebb, E. W. Williams: Photoluminescence I: Theory. In: Semicond. Semimet., Vol. 8, ed. by R. K. Willardson, A. C. Beer (Academic, New York 1972) pp. 181–320 P. J. Dean: Prog. Cryst. Growth Charact. 5, 89–174 (1982) M. Tajima, T. Iino: Jpn. J. Appl. Phys. 28, L841–844 (1989) C. J. Miner, C. J. L. Moore: Properties of Gallium Arsenide, 3rd edn., ed. by M. R. Brozel, G. E. Stillman (INSPEC, London 1996) pp. 320–332 O. Oda, H. Yamamoto, M. Seiwa, G. Kano, T. Inoue, M. Mori, H. Shimakura, M. Oyake: Semicond. Sci. Technol. 7, A215 (1992) B. J. Skromme, C. J. Sandroff, E. Yablonovitch, T. Gmitter: Appl. Phys. Lett. 51, 24 (1987) C. J. Miner: Semicond. Sci. Technol. 7, A10 (1992)
References
536
Part C
Materials for Electronics
23.135 P. K. Bhattacharya: Properties of Gallium Arsenide, 3rd edn., ed. by M. R. Brozel, G. E. Stillman (INSPEC, London 1996) pp. 861–873 23.136 T. E. Sale: Vertical Cavity Surface Emitting Lasers, Electron. El. Res. Stud. Optoelectronics S (Research Studies, 2003) 23.137 Y. J. Yang: Appl. Phys. Lett. 62, 600–602 (1993) 23.138 L. A. Coldren, S. W. Corzine: Diode Lasers and Photonic Integrated Circuits (Wiley, New York 1995)
23.139 H. Yamamoto, M. Asada, Y. Suematsu: Electron. Lett. 21, 579 (1985) 23.140 J. M. Woodall, H. J. Hovel: Appl. Phys. Lett. 30, 492 (1977) 23.141 L. J. Kozlowski et al.: IEEE Trans. Electron. Dev. 38, 1124 (1991) 23.142 C. M. Buttar: GaAs detectors and related compounds, Nucl. Inst. Phys. Res. A 395, 1–8 (1997) 23.143 L. P. Sadwick, R. J. Hwu: Properties of Gallium Arsenide, 3 edn., ed. by M. R. Brozel, G. E. Stillman (INSPEC, London 1996) pp. 948–962
Part C 23
537
High-Temper 24. High-Temperature Electronic Materials: Silicon Carbide and Diamond
The physical and chemical properties of wideband-gap semiconductors make these materials an ideal choice for device fabrication for applications in many different areas, e.g. light emitters, high-temperature and high-power electronics, high-power microwave devices, micro-electromechanical system (MEM) technology, and substrates for semiconductor preparation. These semiconductors have been recognized for several decades as being suitable for these applications, but until recently the low material quality has not allowed the fabrication of high-quality devices. In this chapter, we review the wideband-gap semiconductors, silicon carbide and diamond. Silicon carbide electronics is advancing from the research stage to commercial production. The commercial availability of single-crystal SiC substrates during the early 1990s gave rise to intense activity in the development of silicon carbide devices. The commercialization started with the release of blue light-emitting diode (LED). The recent release of high-power Schottky diodes
Material Properties and Preparation ..... 540 24.1.1 Silicon Carbide .......................... 540 24.1.2 Diamond .................................. 544
24.2 Electronic Devices ................................ 547 24.2.1 Silicon Carbide .......................... 547 24.2.2 Diamond .................................. 551 24.3 Summary ............................................ 557 References .................................................. 558
was a further demonstration of the progress made towards defect-free SiC substrates. Diamond has superior physical and chemical properties. Silicon-carbide- and diamond-based electronics are at different stages of development. The preparation of high-quality single-crystal substrates of wafer size has allowed recent significant progress in the fabrication of several types of devices, and the development has reached many important milestones. However, high-temperature studies are still scarce, and diamond-based electronics is still in its infancy.
semiconductor research. The aim has been to investigate the high-temperature limits of materials and to enhance high-temperature semiconductor device performance. The development of semiconductor devices for reliable operation for an extended period at high temperatures is a complex process in which a number of physical effects connected with increasing temperature [24.1, 2] have to be considered. The term high temperature is not defined in a unique way in the literature and has a different meaning depending on the semiconductor under consideration and the area of application of semiconductor devices. The definition of high temperature often cited in the literature is temperatures above 125 ◦ C [24.2, 3], since 125 ◦ C is frequently specified as the upper limit at which standard commercial silicon devices function properly, although tests on standard commercial components indicate that even 150 ◦ C may be applicable for selected silicon components [24.3].
Part C 24
The electronic revolution of the 20th century is mainly based on silicon, which can be regarded as the first-generation semiconductor. Around the turn of the 21st century gallium arsenide and indium phosphide have evolved as second-generation semiconductors, constituting the base for the wireless and information revolution. Now at the start of the 21st century, the wide-band-gap semiconductors silicon carbide and gallium nitride are on the rise and may be regarded as third-generation semiconductors used in the electronic and optoelectronic industries. Moreover given diamond’s superior properties and the recent surge of research on diamond preparation and fabrication of diamond-based electronic devices, one might speculate that diamond may be a future-generation semiconductor. The effects of temperature on materials and devices have been of great interest throughout the history of
24.1
High-Temperature Electronic Materials: Silicon Carbide and Diamond
found in wide-band-gap semiconductors, which offer a number of advantages over corresponding devices fabricated from silicon. These include higher temperature stability, higher chemical stability, higher thermal conductivity, and higher breakdown field. Various device implementations not only use these standard semiconductor parameters, but also the special peculiarities these materials exhibit, e.g. aluminium nitride and gallium nitride, unlike diamond and silicon carbide, have a direct band gap and have complete miscibility with each other and with indium nitride. This is important for the implementation of optoelectronic device since it allows the band gap to be controlled, and thus the wavelength of the spectral characteristic maximum [24.4]. The widebad-gap silicon carbide and diamond are next discussed in this review. The properties of silicon carbides make it an excellent material for high-power devices operating at temperatures up to 600 ◦ C and above, and at frequencies around 20 GHz. Within power electronics, SiC has the potential to replace Si-based diodes and insulated gate bipolar transistors (IGBTs), and Si gate turn-off (GTO) thyristors, which are part of the mass market of discrete power devices in general and in converter systems in particular. The power losses in SiC switches are two orders of magnitude lower compared with Si devices, thus SiC devices have a large potential for applications in e.g. uninterrupted power systems (UPS), motor controls, etc. The maximum operating temperature of a Schottky diode in SiC may be limited by an increasing leakage currents, but active power devices for operation at high temperature has been presented. Ushaped-trench metal–oxide–semiconductor field-effect transistors (UMOSFET) made from SiC that operate up to 450 ◦ C and thyristors (6 A, 700 V) that operate at 350 ◦ C have been presented. Furthermore, SiC MOSFETs have been reported to operated even at 650 ◦ C, and devices based on n-type-channel metal– oxide–semiconductor (NMOS) technology, which is an integrated operational amplifier, have been reported to work at 300 ◦ C [24.18–22]. The properties and preparation of SiC are elucidated in the next section. Among the wide-band-gap semiconductors, diamond has the best physical, chemical and electrical properties [24.23], unmatched by any other material. The properties of interest relevant to high-temperature highfrequency power electronics are the large band-gap energy (5.5 eV), the breakdown electric field (10 MV/cm), the carrier mobilities (≈ 2200 and ≈ 1600 cm2 /V s for electrons and holes, respectively), the thermal conductivity (10–20 W/cmK), the low dielectric constant (5.5),
Part C 24
by their remote location or actively by cooling with air or liquids. These thermal management approaches introduce additional overheads that can have a negative impact relative to the desired benefits when considering the overall system performance. The additional overhead, in the form of longer wires, more connectors and plumbing for the cooling system, can add undesired size and weight to the system, and increased complexity that corresponds to an increased potential for failure. The economic benefits of high-temperature electronics for various systems are likely to be orders of magnitude greater than the total market for actual high-temperature electronics. The world market for high-temperature electronics between 2003–2008 is predicted to increase from 400 to 900 million US dollars, which is substantially lower than the world’s total semiconductor electronic market [24.1]. The situation can be dramatically described as follows, a mere handful of high-temperature electronic chips that may cost a few hundred dollars, can optimize the performance of a very large number of systems, thus saving many millions of dollars, e.g. deep-well drilling [24.5]. A survey of the potential industrial users of hightemperature electronics revealed that the majority of applications for high-temperature electronics operate in the range 150–300 ◦ C [24.1, 2, 4]. The recent development of silicon and gallium arsenide electronics and their cost (silicon technology is much cheaper than SiC), indicates that wide-band-gap semiconductor devices are unlikely in the near future to be used in low-power electronics applications for temperatures up to 300 ◦ C. These devices maybe used for application which cannot be satisfied by available technologies such as SOI, and for temperatures above 300 ◦ C. However, in order to realize viable low-power SiC devices for the temperature range 300–600 ◦ C, long-term reliability of electronic circuits must be achieved [24.1]. The performances of silicon power devices have almost reached their theoretical limits [24.17]. The practical operation of Si power devices at ambient temperatures higher than 200 ◦ C appears problematic, as self-heating due to current flow at higher power levels results in high internal junction temperatures and leakage. The overall goal for high-temperature power-electronic circuits is to reduce power losses, volume, weight, and at least the costs of the system. The continuous progress in high-temperature electronics creates a demand for unique material properties, novel processing technologies and electronic devices. The physical and chemical properties required for meeting the demands of the hightemperature and high-power applications can only be
539
540
Part C
Materials for Electronics
and the excellent resistance to radiation. Diamond can be found naturally or must be synthesized. In nature diamond occurs as single crystals only, whereas synthetic diamond can be prepared as single crystals, or as a polycrystalline or as a nanocrystalline material. The discovery that diamond can be grown by the chemical vapor deposition (CVD) technique has opened up some of the expected applications of diamond. However, the utilization of diamond’s many unique properties in electronics has so far been limited among others by the unavailability of large-area high-quality diamond and that only p-type (acceptor-type impurity) diamond with high hole densities are available today. The ntype (donor-type impurity) diamond with high electron densities would find many applications, apart from the fundamental interest to realize pn-junctions and other electronic devices in diamond. The n-type diamond is expected to be a better electron emitter for field emission, photo emission, and ion or electron impact-induced emission, and may also serve as a better inert electrode for electrochemical applications. Nevertheless, many studies have been reported with natural, high-pressure high-temperature (HPHT) synthesized and polycrystalline CVD diamonds [24.24, 25]. The pn-junctions were formed from boron- and phosphorus-doped diamond films, and from boron- and nitrogen-doped diamond films, respectively. The diamond films with high crystalline perfection were grown epitaxially on diamond single crystals. The current–
voltage (I –V ) characteristic of the boron/nitrogen pn-junction diode was studied up to 400 ◦ C. The combination of two boron/nitrogen pn-junctions, a bipolar junction transistor (BJT) which can operate in directcurrent (DC) mode up to 200 ◦ C was fabricated. The fabrication of many types of field-effect transistors (FETs) for both DC and radio-frequency (RF) modes has crossed many important milestones. The cutoff frequency of 1.7 GHz and a maximum drain current of 360 mA/mm were measured for a metal–semiconductor field-effect transistor (MESFET) with a gate length of 0.2 µm. Recently, a FET functioning up to 81 GHz was reported by a collaboration between Nippon Telegraph and Telephone Corp. and the University of Ulm in Germany. The research groups fabricated T-shaped gates on a diamond layer with a carrier mobility of 130 cm2 /V s [24.26]. In addition, Schottky diodes that function up to 1000 ◦ C were fabricated from either single-crystal or polycrystalline diamond. Lowresistance thermostable resistors deposited on ceramic substrates have been investigated for temperatures up to 800 ◦ C. The temperature dependence of the field emission of nitrogen-doped diamond films has been investigated at temperatures up to 950 ◦ C. There has been much progress in the fabrication of diamond-based electronic devices and several types of devices have reached an important stage in their development. However, despite these developments, diamond-based electronics is still in its infancy.
24.1 Material Properties and Preparation 24.1.1 Silicon Carbide
Part C 24.1
The properties of silicon carbide makes it an excellent material for devices operating at high temperatures (600 ◦ C and higher), high power (4H-SiC transistor: presently RF output power on the order of 5 W/mm), and high frequency [RF through X band (5.2–10.9 GHz) potentially to K band (20–40 GHz)]. The large band gap of silicon carbides (2.2, 3.26 and 3.0 eV for 3CSiC, 4H-SiC and 6H-SiC, respectively) compared to the band gap of silicon (1.1 eV) enables devices to function at temperatures beyond 600 ◦ C. The very high breakdown electric field of these materials (≈ 1.8, 3.5 and 3.8 MV/cm for 3C-SiC, 4H-SiC and 6H-SiC, respectively) which are approximately 10 times higher than that of Si (0.3 MV/cm), allows a reduction of the thickness of the conduction regions (for constant doping), which
results in very low specific conduction resistance. The 4H-SiC junctions exhibit a negative temperature coefficient, with a breakdown voltage that decreases by about 8% within the temperature range from room temperature to 623 ◦ C [24.27]. The high thermal conductivity (≈ 4–4.5 W/cmK) permits a power density increase which facilitates a more compact or much higher power per area. The high saturation velocity of all three types of silicon carbide is high (≈ 2 × 107 cm/s) compared to the value for silicon (1 × 107 cm/s). The low carrier mobilities of silicon carbide is a disadvantage which limits RF performance at frequencies above the X band. The electron mobilities are of the order 900, 500 and 200 cm2 /V s for 3C-SiC, 4H-SiC and 6H-SiC, respectively. The hole mobilities are of the order of 50 cm2 /V s for all three types of SiC (for Si: ≈ 1350 and ≈ 500 cm2 /V s for electrons and holes, respectively). The carrier mobilities
544
Part C
Materials for Electronics
Part C 24.1
4H-SiC substrates with low micropipe densities and uniform semi-insulating properties (> 109 Ω cm) over the full wafer diameter have been produced. These wafers had typical residual contamination densities 5 × 1015 cm−3 and 3 × 1015 cm−3 for nitrogen and boron, respectively [24.38]. Although most doping of SiC is obtained by an in situ method during epitaxial growth, additional selected-area doping is often required during fabrication of devices such as MOSFETs and lateral bipolar transistor. Due to the extremely low diffusion coefficient of dopant atoms in SiC even at very high temperatures (≈ 2000 ◦ C), ion implantation is the only viable doping technique during device fabrication. The critical parameters of ion implantation of dopants in SiC are the temperature of SiC during implantation (from room temperature up to 900 ◦ C), as well as the subsequent annealing required to activate the dopant, performed at around 1700 ◦ C. Nitrogen is typically used as the n-type dopant, while Al is often the p-type dopant widely used during epitaxy. The element boron is a lighter element than Al and subsequently causes less lattice damage during implantation, and may eventually replace Al. However, a small amount of B also diffuses into the lightly doped drift-layer side during the annealing process, thereby degrading the junction. The high bond strength of SiC means that roomtemperature wet etches for this material do not exist, and so reactive-ion etching (RIE) is the standard method used. Frequently fluorine-based chemistries are used in which the silicon forms a volatile SiF4 molecule and C is removed either as CO2 or CF4 . However, RIE is not regarded as a limitation since, as feature sizes decrease, dry etching processes are actually preferred to wet etching. A unique advantage of SiC compared to other wideband-gap semiconductors, is its ability to oxidize and form SiO2 exactly as in Si technology. The oxidation rates are much lower for SiC than for Si, and are very dependent on if a silicon- or carbon-terminated face is exposed to the growing SiO2 . The fabrication of high-quality thermal oxides with low interface state and oxide-trap densities has proven to be a great challenge. Finally, the reliability of oxides is a major issue for SiC devices since, at high electric fields and high temperatures, oxides have poor longevity. This issue needs further research to reduce the leakage current in the devices that operate at elevated temperatures. An important issue in high-temperature electronics is the type of metallization used, where examples include ohmic, Schottky, heat-sinking and capping.
It is necessary to have reasonable thermal expansion matching and good adhesion between the metal and SiC. The wide band gap of silicon carbide makes it difficult to control the electrical properties at the metal–semiconductor interface of devices. In addition, stable noncorrosive contacts are also key issues in hightemperature electronics. The main parameter of concern for SiC high-frequency devices is a stable Schottky barrier for good rectification and a low reverse leakage current while operating at elevated temperatures. Several groups have tried different combinations of transition metals that form good Schottky contact on n- and p-type SiC with barrier heights in the range 0.9–1.7 eV [24.20, 39–41]. The rectifying properties either change to ohmic or degrade severely while operating at temperatures above 600 ◦ C. Among the ohmic contact the most widely used material for n-type is Ni2 Si which is generally formed by deposition of Ni film and silicidation is obtained by annealing at above 900 ◦ C. The Ni2 Si ohmic contact has been shown to be stable at very high temperatures [24.39, 42]. The formation of low-resistance ohmic contacts to p-type SiC is still difficult since metals with sufficiently large work functions are not available to offset the wide band gap and electron affinity of SiC. Aluminum is typically used to form p-type ohmic contacts. A major drawback of Al however is its relatively low melting point, which prohibits its use for high-temperature applications. Several other combinations of different metals have also been reported in the literature these have poor contact resistivities compared to Al [24.43, 44]. A special effort is required to develop stable contacts for SiC devices operating at higher temperatures, and metals with a high melting temperature and their silicides and carbides should be studied in the future towards this goal. The packaging of SiC devices for high-power and high-frequency applications and operation at elevated temperature is an issue that has been neglected compared to material growth and device processing technology. It is highly desirable to find suitable packaging for hightemperature electronics which can endure high thermal stress and high power without the extra effort of cooling.
24.1.2 Diamond Among the wide-band-gap semiconductors, diamond has the best properties, unmatched by any other material [24.45–49]. Most electrical, thermal and optical properties of diamond are extrinsic, i. e. strongly dependent on the impurity content [24.23, 46], the most common impurity being nitrogen. Diamond has
High-Temperature Electronic Materials: Silicon Carbide and Diamond
more research is needed for a complete understanding of the oxidation process. The activation energy for the oxidation of CVD-grown films in air was 213 kJ/mol for temperatures of 600–750 ◦ C and the oxidation proceeded by etching pits into the CVD film, thus creating a highly porous structure [24.58]. The results of several studies indicated that diamond oxidized preferentially. The oxidization of natural diamond and CVD-grown diamond films in oxygen has been observed to be dependent on the crystallographic orientation, here the (111) plane oxidized more easily than the (100) and (220) planes, and also the CVD films were less resistant to oxidation than natural diamond [24.56, 59]. Sun et al. [24.56] observed that the oxidation of synthetic diamond started in air at 477 ◦ C when oxygen is able to impinge into the densely packed (111) planes and they suggested that the oxidation of diamond occurs by the same mechanism as the corrosion of metals, whereby oxygen penetrates into the bulk by bonding and rebonding, leaving behind weakly interacting dipoles which are eroded away during processing. Lu et al. [24.60] reported that the oxidation in air of diamond films prepared by DC arc plasma jet started at 650 ◦ C which was about 100 ◦ C lower than the temperature of oxidation of natural diamond. Furthermore, it was reported that the oxidation rate of CVD diamond depended on the diamond’s growth condition [24.61]. There are several etchants for diamond; the most commonly used method is oxidative etching. The effects of dry oxygen and a mixture of oxygen and water in the temperature range 700–900 ◦ C has been studied and compared with the effect of molten potassium nitrate [24.62, 63]. Diamond-based electronic devices have now been fabricated from natural and synthesized single crystals, high-purity single-crystal films (homoepitaxial diamond), and from polycrystalline films (heteroepitaxial diamond). Single crystals can be synthesized artificially by the high-pressure high-temperature method (HPHT), which mimics the process used by nature. The drawback of this method is that it produces single crystals limited in size. The largest crystals prepared by this method have a dimension of the order of millimeters, and the processing time to produce such crystal is very long [24.64]. These crystals have been used for the fabrication of discrete electronic devices and as substrates for homoepitaxial growth of diamond films by CVD technique. Diamond films have been epitaxially deposited on diamond single-crystal substrates, this demonstrates that single-crystal diamond deposition is possible by low-pressure processing [24.65, 66].
545
Part C 24.1
a large band gap (5.5 eV), high breakdown electric field (10 MV/cm), low dielectric constant (5.66–5.70), high carrier mobilities (≈ 1800 and ≈ 1600 cm2 /Vs for electrons and holes, respectively [24.45]), high saturated carrier velocity (2.7 × 107 cm/s and 1 × 107 cm/s for electrons and holes, respectively), high thermal conductivity (10–20 W/cmK), high resistivity (1013 –1016 Ω cm), low thermal expansion coefficient (1.1 ppm/K at room temperature), the highest sound velocity (1.833 × 106 cm/s), exceptional hardness (10 000 kg/mm2 ) and wear resistance, low friction coefficient (0.05, dry), broad optical transparency [from 225 nm to far infrared (IR)], excellent resistance to radiation, chemical and thermal stability. A unique feature of diamond is that some of its surfaces can exhibit a very low or negative electron affinity. Obviously diamond is the material of choice for many applications, including electronics. The properties of diamonds make it the most suitable semiconductor for power electronics at high (RF) frequencies and high temperatures [24.50–53]. Since diamond-like silicon is a single-element semiconductor it is less susceptible to have the high density of structural defects that are usually present in compound semiconductors. However to date, diamond is regarded as one of the most difficult semiconductors to synthesize for the fabrication of electronic devices. Diamond is a cubic semiconductor with lattice constant a = 3.566 Å. The covalent bonding of the carbon atoms (sp3 bonds) is extremely strong and short, which gives diamond its unique physical, chemical and mechanical properties [24.46–49]. Diamond is available naturally and can also be synthesized. The natural form of diamond occurs as single crystals, whereas synthetic diamond can be prepared as single crystals, or as polycrystalline or nanocrystalline material. Usually natural diamond single crystals have a high nitrogen content and cannot be used for the fabrication of electronic components. The natural form of diamond has been classified according to several criteria, a detailed description of the classification of diamonds has been given by Walker [24.46] and Zajtsev [24.54]. Diamond melts at approximately 3827 ◦ C [24.45]. It is stable at elevated temperatures, but the stability depends on the ambient. In hydrogen ambient diamond is stable up to 2200 ◦ C [24.55], but it is graphitized in vacuum [24.56, 57] or in an inert gas [24.56]. Diamond does not have a native oxide, but it oxides in air at elevated temperatures. This is a critical point for the application of diamond for high-temperature devices. The oxidation of natural and synthetic diamond has been studied since the beginning of the 1960s; despite this
24.1 Material Properties and Preparation
546
Part C
Materials for Electronics
Part C 24.1
The discovery that diamond can be grown homoepitaxially and heteroepitaxially by the chemical vapor deposition (CVD) technique has opened up some of the expected applications of diamond. The history of this technique goes back to the late 1960s. During the 1980s, researchers [24.67, 68] made a series of discoveries which enabled them to grow, at significant growth rates, diamond films of high quality on non-diamond substrates by using hot-filament CVD and subsequently by microwave plasma chemical vapor deposition (MPCVD). This started a worldwide interest in diamond CVD for both research and technology. Since then a number of lowpressure CVD techniques have been developed [24.69, 70] and the volume of research on the preparation of large-area diamond films has been immense. It has been shown recently [24.71,72] that homoepitaxial growth of diamond films on high-quality HPHT diamonds can produce single-crystal films of a purity that exceeds the purity of the purest diamonds found in nature. The measurements of the carrier mobilities in these films have revealed interesting results, such as mobilities of 4500 and 3800 cm2 /Vs for the electrons and holes, respectively. These values are the highest ever reported for diamond and are approximately twice as high as those found in pure natural diamond. The carrier mobility measurements were performed on homoepitaxial diamond deposited by a microwave plasma-assisted CVD technique and a HPHT diamond single crystal of dimensions 4 × 4 × 0.5 mm was used as the substrate. The homoepitaxial diamond film was found to be of exceptional purity and was found to contain a low concentration of intrinsic and extrinsic defects. The total measured nitrogen concentration was less than 1 × 1015 cm−3 and the dislocation density was less than 1 × 106 cm−2 . The exceptionally high values of the carrier mobilities were attributed to the low defect and dislocation densities. The disadvantage of diamond homoepitaxy is that only small-area single crystals can be fabricated, and substrates typically have a size of the order of millimeters. In order to exploit diamond’s superior properties for the fabrication of electronic devices, thin diamond films are required, i. e. a method for the production of large-area, inexpensive single-crystal films with a low defect density. Despite the progress made, the available diamond homoepitaxy methods cannot solve the technological problem of producing large-area diamond wafers for the fabrication of electronic devices. During the last 10 years film preparation has focused on diamond heteroepitaxy. The aim has been to produce films of homoepitax-
ial diamond’s quality by avoiding the formation of grain boundaries and other defects. The research has focused on finding suitable substrates, conditions for achieving high diamond nucleation densities on various substrates, and the optimization of textured growth procedures. To date many substrates have been investigated, e.g. Ni, Co, Pt, Si, BeO, SiO2 , cubic BN, β-SiC, GaN, etc. [24.73–77]. Although some of these materials are suitable substrates, e.g. BN [24.77], all attempts to grow large diamond single-crystal films have hitherto failed. Most of the CVD diamond films reported to date have been grown on Si, mainly due to the availability of large-area single-crystal wafers and the low cost of Si as well as the favorable properties of Si [24.78–84]. These films are still polycrystalline but highly oriented (HOD) with respect to the substrate and have found application in many fields, e.g. electrochemical electrodes, field-emitter arrays, radiation detectors, micro-electromechanical systems (MEM), etc. In the field of MEMS a large number of devices for various applications have already been built, demonstrating thus the excellent properties of these films [24.85–87]. Despite their high quality, these films are not suitable for the fabrication of electronic devices since their attractive properties are deteriorated by structural imperfections, particularly by grain boundaries. The performance of electronic devices fabricated using such low-quality films is significantly reduced. Furthermore, due to their mosaic spread, these films cannot be used as substrates for the homoepitaxial growth of diamond. A significant advance in diamond heteroepitaxy was made by the application of substrates with a multilayer structure. It was discovered that iridium single-crystal films grown as a buffer layer on MgO could serve as a substrate for the nucleation and growth of low-pressure microwave plasma-enhanced CVD diamond [24.88,89]. The substrate MgO was later replaced by SrTiO3 [24.90, 91], which decreased the mosaic spread of the epitaxial iridium and of the resulting heteroepitaxial diamond. The diamond layer had single-crystal quality and was used for the fabrication of field-effect transistors [24.92]. A further advance in the large-scale heteroepitaxial growth of diamond has been made recently when SrTiO3 was replaced successfully by sapphire [24.93, 94]. Diamond produced in this way had the same high quality as that prepared on SrTiO3 . However, since sapphire is a relatively inexpensive large-area substrate, this development is a further step towards the wafer-scale production of heteroepitaxial diamond. When cooling from the growth temperature the diamond film experiences significant compressive stresses
550
Part C
Materials for Electronics
The transistors have been fabricated and exhibited typical emitter breakdown at 500 V while the f T was only 1.5 GHz. A transistor with an emitter width of 2.5 µm and an emitter periphery of 2.62 cm has demonstrated an output power of 50 W using a 80 V power supply in common-emitter class AB mode. The pulse width was 100 µs and the duty cycle was only 10%. The collector efficiency was 51% with a power gain of 9.3 dB [24.115]. Since SiC junctions can withstand high junction temperatures, the transistor can function efficiently without any external cooling, resulting in significant system advantages.
Part C 24.2
JFETs SiC-based JFETs are very attractive for hightemperature electronics for the reasons described for BJTs. JFETs are more attractive as they are unipolar devices and thus do not suffer from a low value of the hole mobility. However, JFETs are normally depletionmode (normally on) devices, and the gate must be kept at a negative voltage to keep the transistor off. Most power control systems require enhancement-mode (normally off) transistors so that the system can be switched off in a safe condition. One way to circumvent this problem is to connect a JFET in a cascode configuration with an enhancement-mode control device such as a Si or SiC MOSFET [24.116]. High-temperature operation of SiC-based JFETs has been reported in the literature since the early 1990s along with the evolution of SiC as a semiconductor for microelectronics. Transistors with high blocking voltage up to 5.5 kV have been successfully fabricated. This transistor showed a specific on-resistance of 69 mΩ cm2 and the turn off time was 47 ns [24.117]. The material 4HSiC is more attractive due to high carrier mobilities which results in some favorable properties. Specifically, in a vertical JFET with the drain on the back side of the wafer, the forward current density reached 249 A/cm2 at a drain voltage of only 1.2 V at room temperature, while at 600 ◦ C the current dropped to 61 A/cm2 with an increase of the specific on-resistance from 4.8 to 19.6 mΩ cm2 . In addition, the breakdown voltage of the transistor was 1644 V at room temperature, while it increased to 1928 V at 600 K [24.118]. In a thermal stress study of a 6H-SiC JFET, a decrease of about 40% in the drain current was observed at 300 ◦ C [24.119]. High performance of SiC JFET has been reported by Siemens. The buried gate is permanently connected to the source, and the device blocked 1800 V at a specific on-resistance of 24 mΩ cm2 . Recently, Kansai Electric
and Cree Inc. reported the first enhancement-mode SiC JFET [24.120]. The device structure consisted of two gates; the buried gate was connected to the top gate, providing a gating effect from both sides. The transistor with a 50-µm-thick drift layer blocked 4.4 kV and the specific on-resistance was 121 mΩ cm2 . MOSFETs In contrast to Si MOSFETs, the 6H-SiC MOSFETs transconductance and channel mobility increases with rising temperature up to 225 ◦ C. The high interface state density [24.121] may be the reason for these trends. MOSFETs fabricated from 6H-SiC have operated at temperatures around 400 ◦ C with a very low drain leakage current in air [24.122]. In a recent report, a large-area device (3.3 mm × 3.3 mm) blocked 1.6 kV with an on-resistance of 27 mΩ cm2 . This device exhibited a peak channel mobility of 22 cm2 /V s and a threshold voltage of 8.8 V, which decreased to 5.5 V at around 200 ◦ C [24.123]. The transistors were fabricated both in the lateral double-diffused MOSFET (DMOSFET) and the vertical U-shaped-trench MOSFET (UMOSFET) directions, these blocked several kilovolts. The Northrop Grumman group determined that both transistor types have similar channel mobilities and the same temperature effect due to the interface traps. In addition, they operated at up to 300 ◦ C where the current and transconductance increased with rising temperature [24.124]. A group at Purdue University demonstrated a novel DMOSFET which could block 2.6 kV, where the drain current at 155 ◦ C was four times the value at room temperature [24.125]. Recently, Purdue and Auburn University fabricated a UMOSFET that could block more than 5 kV, with a specific on-resistance of only 105 mΩ cm2 , for a 100-µm-thick low-doped drift layer (see e.g. Fig. 24.7). This device has not been characterized at elevated temperatures [24.126]. The transistor maintained a low on-resistance to current densities above 100 A/cm2 , while the maximum reported current density was 40 A/cm2 . Cree Research has already reported a record blocking of more than 6 kV. Due to the problems caused by a high interface-state density, which results in a very low channel mobility, a new type of transistor, the accumulation-mode MOSFET (ACCUFET), with output characteristics that are similar to MOSFETs has been introduced and fabricated in both 6H- and 4H-SiC [24.127]. The 4HSiC transistor exhibited a high specific on-resistance, 3.2 Ω cm2 at a gate bias of 5 V, which reduced to 128 mΩ cm2 at 450 K. The transistors were not de-
High-Temperature Electronic Materials: Silicon Carbide and Diamond
signed for high blocking voltages thus the unterminated breakdown voltage was only 450 V. Recently, encouraging results from the continuing research into 4H-SiC MOSFET has been reported [24.128, 129]. Growing the gate oxide in N2 O ambient results in a significant enhancement of the inversion channel mobility in lateral n-channel Si face 4H-SiC MOSFETs. A mobility of 150 cm2 /V s was obtained, whereas a value below 10 cm2 /V s was obtained when growing the gate by the conventional process: in a wet or dry oxygen ambient.
551
quently, the Northrop Gramman group has demonstrated a gate turn-off (GTO) with 1-kV blocking, but the device was turned-off by an external MOSFET. The device has been operated successfully at 390 ◦ C [24.131]. Recently remarkable effort has been put into this area and 4H-SiC-based GTOs have been demonstrated with a 3.1kV forward blocking capability with a 12-A conduction current [24.132].
24.2.2 Diamond The many exceptional properties of diamond make it a very attractive material in several fields of electronics, optoelectronics and micro-electromechanical devices. Since an exhaustive review on the application of diamond in electronics and optoelectronics would be beyond the scope of this relatively short review, here the survey is limited to the application of diamond for pn-junctions, BJTs, FETs, passive components, heatspreading elements, field emission, and resistors. For the application of diamond in other areas, e.g. sensors and detectors [24.133–139], microwave filters [24.140], acoustic wave filters [24.69,141] and electro-mechanical microdevices [24.86, 142], the interested reader is directed to the above references. pn-Junction In order to exploit the superior properties of diamond for high-power/high-temperature electronic devices, highquality inexpensive diamond must be available. The preparation of heteroepitaxial diamond is viable by biasenhanced nucleation of iridium single-crystal film on sapphire or SrTiO3 followed by low-pressure plasmaenhanced chemical vapor deposition. The crucial factor in the fabrication of electronic devices is the ability to prepare pn-junction, i. e. the ability to prepare in a reliable way p- and n-type materials with high carrier densities. The p-type diamond has up to now been created by doping with boron. The boron is introduced from a gas or a solid [24.143–147] during diamond growth. The activation energy of electrical resistivity decreases with increasing boron concentration, being in the range 0–0.43 eV [24.143]. The energy is 0.35 eV for a boron concentration of 1 × 1018 cm−3 and it becomes zero for boron concentrations greater than 1.7 × 1020 cm−3 [24.143]. The p-type diamond can also be created by exposing the material to hydrogen plasma. The hydrogenation creates a layer with p-type conductivity with a fairly low resistivity ≈ 106 Ω cm [24.148, 149]. The discovery that both single-crystal and poly-
Part C 24.2
Thyristors, GTOs and IGBTs One of the most significant developments in device technology during the last 15–20 years is the insulated gate bipolar transistor (IGBT), which blocks high voltages but at the same time has a high conduction current. The basic advantage of the IGBTs is conductivity modulation due to carrier injection and a MOS-driven gate. The device operates between 600 and 6.5 kV where the current varies over 1–3500 A. The device has a big potential for further development in the areas of higher currents and voltages, and for higher frequencies and lower dissipated power. A large amount of effort is being made on the development of SiC thyristors and IGBTs. The main advantage is the high electric breakdown field strength, which leads to very thin drift layers, and consequently much faster switching behavior. The thyristor is the most popular controllable device used in high-power systems with controllable turn-on, and large-area Si thyristors are produced today as a single device on a wafer with a 4-inch diameter. The current capability is more than 1000 A with a blocking voltage approaching 10 000 V. These devices are used in high-voltage DC (HVDC) transmission systems, and so far no other device can match its performance. A 6H-SiC polytype thyristor was first demonstrated in early 1993. Due to the high resistivity of the p-type substrate, a high specific on-resistance of 128 mΩ cm2 has been obtained for a 100 forward blocking voltage device [24.130]. However, at a higher temperature of 633 K, the thyristor performance improved with a specific on-resistance reduced to only 11 mΩ cm2 . The opposite polarity thyristor has been realized on the same structure with an improvement in all the characteristic parameters, and a very low specific on-resistance of about 3.6 mΩ cm2 that increased to 10 mΩ cm2 at 623 K temperature. Furthermore, early 4H devices exhibited a blocking voltage of −375 V. The low on-resistance of the 4H devices resulted in a lower voltage drop. Subse-
24.2 Electronic Devices
552
Part C
Materials for Electronics
Part C 24.2
crystalline diamond can be treated by the plasma has led to successful use of these materials for the fabrication of electronic devices, e.g. the fabrication of FETs. The growth of n-type diamond is one of the most challenging issues in the diamond field. Many theoretical predictions as well as experimental attempts to obtain n-type diamond have recently been published. Unfortunately, all experimental attempts have up to now delivered n-type diamond with low electron densities; this restricts the use of n-type diamond for the fabrication of transistor. Nitrogen is often used to create n-type diamond, but nitrogen-doped diamond is an electrical insulator at room temperature due to the deep level of 1.7 eV of the nitrogen impurity. Nitrogen is thus not a practical dopant atom to use. Phosphorus would be an obvious candidate but it has an impurity level of ≈ 0.59 eV, which is still rather high. Several reports on phosphorus doping have been published reporting activation energies in the range 0.32–0.59 eV [24.143, 150–152]. Reproducible results on phosphorus doping have been reported recently [24.150, 151]. At room temperature a reasonably high electron mobility of 250 cm2 /V was measured in the p-doped films [24.150]. Attempts to use other elements for n-type doping have also been made, e.g. sulphur (0.32 eV [24.143, 152, 153]), and lithium (0.16 eV [24.143, 154]). A survey of p-type doping of diamond has been given by Kalish [24.155]. Despite the experimental difficulties in n-type doping several research groups have succeeded to create a well function pn-junction. Koizumi et al. [24.150] created a pn-junction by epitaxial growth using a MPCVD technique to fabricate a phosphorus doped n-type diamond film on a boron doped p-type diamond film, on the [24.112] oriented surface of a diamond single crystal. The substrate contained over 100 ppm boron and exhibited high electrical conductivity. The electrical and optical properties of the junction have been investigated. The pn-junction exhibited clear diode characteristics, where the rectification ratio was over five orders in magnitude and the turn-on voltage was 4–5 V. The temperature dependence of the hole and electron concentrations in doped diamond films has been studied up to 1000 K. At room temperature the carrier mobilities of the boron and the phosphorus doped film were 300 and 60 cm2 /V s, respectively, and ultraviolet (UV) light emission has been observed at 235 nm. A pn-junction has also been formed by using boron-doped p-type and nitrogen-doped n-type diamond films [24.156]. The active diamond films were grown by an MPCVD technique on heavily boron-doped HTHP
diamond single crystals. The forward and reverse I –V characteristics of the junction were studied as a function of temperature up to 400 ◦ C. At room temperature the resistivity of the n-layer is extremely high, ≈ 10 GΩ cm. The activation energy of the pn-junction diode saturation current obtained from these measurements was 3.8 eV. This value is in good agreement with theoretical predictions that assumes that the energy of the nitrogen level 1.7 eV. A pn-diode was also formed from a thick lithium-doped layer grown on a highly boron-doped substrate [24.143]. At room temperature the rectification ratio was ≈ 1010 for ±10 V, but the series resistance was very high ≈ 200 kΩ, whereas at higher temperatures the series resistance decreased to 30 kΩ but the rectification ration decreased to ≈ 106 . A single-crystal diamond pnp-type BJT consisting of boron/nitrogen pn-junctions has been fabricated [24.147, 156]. The transistor characteristics for common-base and common-emitter configurations have been measured. The temperature-activated leakage current limited the operation of the transistor up to 200 ◦ C. The study showed that it was possible to fabricate a pnp-type BJT, but the high resistance of the base ≈ 10 GΩ m at 20 ◦ C limited the operation of the BJTs to the DC mode, small currents (nA), and moderate temperatures. Diodes as electronic devices must have a high reverse voltage and a low resistance in the forward direction. It has been shown that lightly boron-doped (1017 cm−3 ) Schottky diodes on oxygen-terminated surfaces exhibit good performance at high temperatures. Stable contacts at high temperatures have been developed to create diodes that may operate up to 1000 ◦ C [24.157–159]. The diodes showed breakdown behavior at reverse bias, but the reverse current was higher than theoretical values. The breakdown electric field for CVD diamond has been estimated to be 2.106 V/cm [24.143], a value well below that of natural diamond. FETs While the development of the diamond bipolar junction transistor is hindered by the lack of n-type diamond with high electron densities, there has been some promising results towards the development of diamond field-effect transistors, although studies at high temperatures are absent. Nevertheless, a short review of the present situation regarding diamond FETs is given here. There are presently two concepts for obtaining highperformance devices: boron δ-doped p-channel FETs and hydrogen-induced p-type surface-channel FET. Since a shallow diamond dopant is absent, δ-doping and
556
Part C
Materials for Electronics
amplifiers [24.21]. A limitation of the performance of GaN FETs is the rise in operating temperature caused by heat dissipation, which leads to large leakage currents and reduced channel mobility [24.191, 192]. It has been demonstrated that a heat-spreading diamond film can be deposited on GaN FETs, without any degradation of the transistors characteristics [24.190]. The films of thickness 0.7 and 2 µm were deposited by the CVD technique using a new seeding process at low temperatures (less than 500 ◦ C). The GaN FETs were fabricated by a standard process for GaN transistors. A correlation between the integrated absorption in the IR range of 2700–3030 cm−1 , associated with stretch modes of CH4 , and the measured thermal conductivity at 300 K were reported for CVD diamond samples of different optical quality [24.186]. This provides a quick and reliable method of ascertaining a film’s thermal quality. The measured integrated absorption as a function of the thermal conductivity is shown in Fig. 24.11.
Part C 24.2
Field Emission The emission of electrons from an electrically active surface by means of tunneling into vacuum is commonly referred to as field emission. The emission properties of diamond, diamond-like carbon (DLC) and carbon-based materials are very robust [24.184]. Thin diamond film deposited by the CVD technique is an excellent cold electron emitter. The electron density yield is around 1 mA/cm2 for an applied field of 10–100 V/µm. There is much scientific and technological interest in electron field emission from carbon-based materials for applications in many fields, e.g. vacuum field-effect transistors, diodes and triodes, ion sources, electron guns, flat-panel displays, scanning microscopes, energy conversion, and many others. A very large number of reports concerning the field emission from carbon-based materials has been published since the first reported electron emission from diamond in 1991 [24.193]. The physical reason behind the outstanding emission properties of these materials however is still under discussion [24.194]. Depending on the surface termination, diamond can exhibit a low or even negative electron affinity (NEA) [24.165]. The surfaces of diamond and DLC prepared by the CVD technique are naturally terminated by physisorbed hydrogen, making diamond the only semiconductor for which a true negative electron affinity can be obtained. This means that the vacuum level can be below the conduction-band minimum at the surface barrier [24.165]. The hydrogen layer lowers the electron affinity and reduces the turn-on voltage re-
quired to achieve field emission. Many reports treating different aspects of the field-emission process has been published to date, e.g. [24.195–197]. However, there are several problems connected with a direct application of diamond, DLC or other forms of carbon emitters, for instance nonuniform emitter microstructures that result in inconsistent emission and poor long-term stability. In diamond there are no free electrons to be easily emitted. A three-step emission model was proposed by Cutler et al. [24.198]. According to this model the electrons are injected through the back contact, transported through the diamond, and emitted at the diamond–vacuum surface. This model was extended by a proposition [24.199] that: (i) electrons are transferred by the applied electric field from the substrate to the nitrogenated DLC conduction band through a band-to-band tunneling process; (ii) electrons are transported across the nitrogenated DLC film through its conduction band, and (iii) electrons are emitted into the vacuum at the surface of nitrogenated DLC film by tunneling through the barrier. The barrier was crated by the strong upward band-bending at the surface. Hydrogen has been the most commonly used element for inducing NEA on diamond surfaces. It has been reported that other materials e.g. a Ti layer, can also induce NEA on diamond surfaces [24.200]. Hightemperature thermionic electron emission has been studied for several decades [24.201]. Fowler–Nordheim theory, the theoretical foundation of field emission, neglects the effects of temperature on emission characteristics. The temperature dependence of field emission from diamond film surfaces has been reported recently. The electron emission properties of nitrogen-doped diamond films were studied as a function of temperature for up to 950 ◦ C [24.202]. The films were prepared by a microwave-assisted CVD technique for application as a low-temperature thermionic field-emission cathode. The film surfaces were terminated with hydrogen or titanium. Measurements at elevated temperatures showed the importance of a stable surface passivation. Hydrogen-passivated films showed enhanced electron emission, but measurements at elevated temperatures, e.g. 725 ◦ C, showed that the hydrogen layer had degraded rapidly. The titanium-terminated films showed a similar enhanced emission as the hydrogen-terminated ones but the titanium-passivated surfaces were stable up to 950 ◦ C. The electron emission increased with increasing temperature, implying that the field emission is strongly temperature-dependent. At temperatures below 500 ◦ C no emission was detected, while increasing the temperature above 700 ◦ C a strong contribution to
High-Temperature Electronic Materials: Silicon Carbide and Diamond
the electron emission was detected. At constant temperature the emission current was nearly constant at low anode voltages, whereas it increased exponentially for anode voltages above 15 kV. At low anode voltages, below 10 kV, the activation energy was approximately 1 eV. This energy value indicates contributions from nitrogen donor levels and defect states to the emission current. It was suggested, according to the emission characteristics, that the emission at low anode voltages could be attributed to thermionic emission of electrons in the diamond’s conduction band, whereas the exponential increase at higher voltages indicated a tunneling through or thermionic emission over the potential barrier. The temperature dependence of electron fieldemission characteristics of nitrogen-doped polycrystalline diamond films has also been investigated by [24.203]. The films were grown by the PECVD technique for high-temperature applications. The measurements show that maximum current density increased and the turn-on voltage decreased for temperatures between 300 and 500 ◦ C. The experimental results were interpreted in terms of the Fowler–Nordheim theory and by means of an alternative model that included size and temperature effects explicitly. Furthermore, analysis of the temperature dependence of emission was carried through by parameter estimation of the effective emitting area, field-enhancement factor, and work function. All of the estimates indicated that the emission characteristics exhibit a strong dependence on temperature. From these results it was suggested that the thermally excited electrons are responsible for improved emission at high temperature. Resistors An attractive feature of diamond films is their ability to be an excellent dielectric when undoped, or as an interesting resistors when doped. Even polycrystalline or nanocrystalline diamond films, depending on
24.3 Summary
557
the process deposition conditions, exhibit breakdown strength (as a dielectric) and power-density capability (as a resistor) with values particularly interesting for high-temperature and high-power applications. Diamond resistors were fabricated on a ceramic substrate of aluminum nitride [24.204], by etching in oxygen plasma from the CVD-deposited layer of boron-doped diamond films. The resistors were intended for investigation of high-power-density characteristics of boron-doped diamond. The resistor exhibits ohmic behavior at low to medium current levels, e.g. up to ≈ 3 mA. At higher currents, thermal excitations are important, and the carrier-density enhancement and conductivity increases. The component enters a thermal runaway situation, but unlike conventional devices, the resistor by virtue of diamonds tolerance for high power, continues to operate at very-high-power and temperature conditions. Operating the resistor under load at high voltages is not unlike operating certain devices in the reverse breakdown mode, except for the much higher power-density levels. For example, the resistance at low power is typically 315 kΩ. The power density just before entering the thermal runaway region is 480 kW/cm2 . At a maximum current of 14.2 mA and voltage of 413.2 V the resistance fell to 29 kΩ. At the end of the test the power was 5.87 W and the current density was 4730 A/cm2 [24.204]. Technological applications of micro-electromechanical systems (MEMs) and optoelectronic system can be broadened by the existence of thermally stable thinfilm resistive microheaters. Thermally stable resistors working in a wide temperature range were presented recently [24.205]. Metal containing amorphous carbon like diamond (DLC) films, CrSiDLC and MoSiDLC, were prepared by the CVD technique and doping with Mo and Cr. The resistors, in the form of strips, were deposited on glass ceramic substrates. The films were studied at 700–800 ◦ C. The average resistivity was 2 × 10−3 Ω. The thermal coefficient of resistance was 7 × 10−4 Ω/K and the activation energy was 30 meV.
24.3 Summary vice concepts: Schottky and pn-diode, high-frequency devices, power switching devices and high-current devices were discussed. PiN high-blocking-voltage power diodes can operate above 300 ◦ C with an order of magnitude increase in the reverse leakage-current density. JFET is supposed to be the most promising power device. Transistors with blocking voltage up to 5 kV were
Part C 24.3
This chapter reviewed the advances in research and technology of the wide-band-gap semiconducting material SiC and diamond for high-temperature electronics. The hexagonal 4H-SiC structure is the most appealing for high-temperature power electronics. Basic SiC devices for use in power electronics that can operate at elevated temperature were presented. Four major de-
558
Part C
Materials for Electronics
characterized up to 300 ◦ C. MOSFETs are still under development, and their operation has been tested up to 400 ◦ C. The operation of high-frequency transistors are limited by to Schottky barrier height and possible applications may be limited to below 350 ◦ C. The most probable near-future devices are thyristors and GTOs since their junctions can withstand higher temperatures, and exhibit large blocking voltages and high conduction currents. Concerning high-temperature/high-power electronics, diamond has the best properties and is at different stage of research than SiC, since the technology of diamond electronic devices is in its infancy. The foremost progress in diamond research has been in the preparation of high-quality heteroepitaxial diamond, which is the prerequisite for wafer-scale fabrication. The state of the art concerning high-temperature devices are pn-diodes and Schottky diodes that operate up to 400 ◦ C and 1000 ◦ C, respectively, and passive com-
ponent capacitors and switches that operate up to 450 and 650 ◦ C, respectively. Moreover, the development of FETs have reached important milestones for both DC and RF modes. The performance of these components, however, are still below standard, and components that can operate at high temperatures and high powers are still far away. The development of the BJT is hindered by the unavailability of n-type diamond with high electron concentrations. The application of diamond for field emission has made a lot of progress, since vacuum FETs have been fabricated and field emission from diamond has been studied at high temperatures. Diamond as a heat sink for high-power electronic devices can soon find wide applications due to the recent progress in the preparation of large-area high-quality diamond films. Carbon-based resistors exhibit excellent thermal stability, and with the continuing progress in the preparation of carbon-based materials, will find increasing application in the fabrication of high-temperature devices.
References 24.1 24.2 24.3
24.4 24.5 24.6 24.7
24.8 24.9
Part C 24
24.10
24.11 24.12
P. G. Nuedeck, R. S. Okojie, L-Y. Chien: Proc. IEEE 90, 1065–1076 (2002) P. L. Dreike, D. M. Fleetwood, D. B. King, D. C. Sprauer, T. E. Zipperian: IEEE Trans. A 17, 594–609 (1994) C. S. White, R. M. Nelms, R. W. Johnson, R. R. Grzybowski: High temperature electronic systems using silicon semiconductors, Industry Applications Conference, 1998. Thirty-Third IAS Annual Meeting, Proc. IEEE 2, 967–976 (1998) M. Willander, H. L. Hartnagel (Eds.): High Temperature Electronics (Chapman Hall, London 1997) S. Cristoloveanu, G. Reichert: High-Temp. Electron. Mater. Dev. Sensors Conf., 86–93 (1998) B. Gentinne, J. P. Eggermont, D. Flandre, J. P. Colinge: Mater. Sci. Eng. B 46, 1–7 (1997) L. Demeus, P. Delatte, V. Dessard, S. Adriaensen, A. Viviani, C. Renaux, D. Flandre: The art of high temperature FD-SOI CMOS, IEEE Cat. No. 99EX372, IEEE Conference Proceedings (1999), High Temperature Electronics, 1999. HITEN 99. The Third European Conference on 4-7 July 1999, pp. 97–99 S. Cristoloveanu: Circ. Dev. Mag. 15, 26–32 (1999) J. C. Zolner: Solid-State Electron. 42, 2153–2156 (1998) P. Schmid, K. L. Lipka, J. Ibbetson, N. Nguyen, U. Mishra, L. Pond, C. Weitzel, E. Kohn: IEEE Electron. Dev. Lett. 19, 225–227 (1998) I. Vurgaftman, J. R. Meyer, L. R. Ram-Mohan: J. Appl. Phys. 89, 5815–5875 (2001) C. M. Carlin, J. K. Ray: The requirements for hightemperature electronics in a future high speed
24.13
24.14
24.15
24.16
24.17 24.18 24.19 24.20 24.21
civil transport, Trans. 2nd Int. High-Temperature Electronics Conf., Charlotte, NC June 1994, ed. by D. B. King, F. V. Thome (Phillips Laboratory, Sandia National Laboratories, Wright Laboratory, 1994) I.19–I.26 Z. D. Gastineau: High-temperature smart actuator development for aircraft turbine engines, Proc. 5th Int. High-Temperature electronics Conf., Albuquerque, NM, June 2000, pp. X.1.1-X1.5 S. P. Rountree, S. Berjaoui, A. Tamporello, B. Vincent, T. Wiley: High-temperature measure while drilling: Systems and applications, proc. 5th Int. High-Temperature Electronics Conf., Albuquerque, NM, June 2000, pp. X.2.1-I.2.7 M. Gerber, J. A. Ferreira, I. W. Hofsajer, N. Seliger: High temperature, high power density packaging for automotive applications, Power Electronics Specialist Conference, 15–19 June, 2003. PESC ’03. 2003 IEEE 34th Annual IEEE Vol 1, 425-430 (2003) S. Lande: Supply and demand for high temperature electronics, High Temperature Electronics, 1999. HITEN 99. The Third European Conference on 4–7 July, 1999, pp. 133–135 J. B. Baliga: IEEE Electron. Dev. Lett. 10, 455–457 (1989) A. R. Powell, L. B. Rowland: Proc. IEEE 90, 942–955 (2002) A. Elasser, T. P. Chow: Proc. IEEE 90, 969–986 (2002) J. A. Cooper, A. Agarwal: Proc. IEEE 90, 956–968 (2002) R. J. Trew: Proc. IEEE 90, 1032–1047 (2002)
High-Temperature Electronic Materials: Silicon Carbide and Diamond
24.22 24.23 24.24
24.25 24.26 24.27
24.28
24.29 24.30 24.31 24.32
24.33 24.34
24.35
24.36 24.37
24.38
24.39
24.40
24.42
24.43 24.44
24.45
24.46 24.47 24.48 24.49 24.50 24.51 24.52 24.53 24.54 24.55 24.56 24.57 24.58 24.59 24.60
24.61 24.62
24.63 24.64 24.65 24.66 24.67 24.68 24.69
L. Zheng, R. P. Joshi: J. Appl. Phys. 85, 3701–3707 (1999) K. J. Schoen, J. P. Henning, M. Woodall, J. A. Cooper, M. R. Melloch: IEEE Electron. Dev. Lett. 19, 97–99 (1998) Landolt-Börnstein: Condensed Matter Group III/41A2, Impurities and Defects in Group IV Elements, IV-IV and III-V Compounds. Part α: Group IV Elements, ed. by W. Martienssen (Springer, Berlin, Heidelberg 2001) J. Walker: Rep. Prog. Phys. 42, 1605–1654 (1979) A. T. Collins, B. C. Lightowlers: The Properties of Diamond (Academic, London 1979) p. 87 J. E. Field (Ed.): The Properties of Natural and Synthetic Diamond (Academic, New York 1992) M. H. Nazaré, A. J. Neves (Eds.): Properties, Growth and Applications of Diamond (INSPEC, London 2001) R. J. Trew, J. B. Yan, P. M. Mock: Proc. IEEE 79, 598– 620 (1991) M. W. Geis, N. N. Efremow, D. D. Rathman: J. Vac. Sci. Technol. A6, 1953–1954 (1988) K. Shenai, R. S. Scott, B. J. Baliga: IEEE Trans. Electron. Dev. 36, 1811–1823 (1989) B. J. Baliga: J. Appl. Phys. 53, 1759 (1982) A. M. Zaitsev: Handbook of Diamond Technology (Trans Tech, Zurich 2000) p. 198 S. Kumar, P. Ravindranathan, H. S. Dewan, R. Roy: Diamond Rel. Mater. 5, 1246–1248 (1996) Ch. Q. Sun, H. Xie, W. Zang, H. Ye, P. Hing: J. Phys. D: Appl. Phys. 33, 2196–2199 (2000) J. Chen, S. Z. Deng, J. Chen, Z. X. Yu, N. S. Su: Appl. Phys. Lett. 74, 3651 (1999) C. E. Jonhson, M. A. S. Hasting, W. A. Weimar: J. Mater. Res. 5, 2320–2325 (1990) Ch. Q. Sun, M. Alam: J. Electrochem. Soc. 139, 933– 936 (1992) F. X. Lu, J. M. Liu, G. C. Chen, W. Z. Tang, C. M. Li, J. H. Song, Y. M. Tong: Diamond Rel. Mater. 13, 533– 538 (2004) R. R. Nimmagadda, A. Joshi, W. L. Hsu: J. Mater. Res. 5, 2445–2450 (1990) F. K. de Theije, O. Roy, N. J. van der Laag, W. J. P. van Enckevort: Diamond Rel. Mater. 9, 929– 934 (2000) F. K. de Theije, E. van Veenendaal, W. J. P. van Enckevort, E. Vlieg: Surface Sci. 492, 91–105 (2001) R. C. Burns, G. J. Davies: The Properties of Natural and Synthetic Diamond (Academic, London 1992) B. V. Spitsyn, L. L. Bouilov, B. V. Derjaguin: J. Cryst. Growth 52, 219–226 (1981) H. Okushi: Diamond Rel. Mater. 10, 281–288 (2001) M. Kamo, Y. Sato, S. Matsumoto, N. J. Setaka: J. Cryst. Growth 62, 642 (1983) Y. Saito, S. Matsuda, S. Nogita: J. Mater. Sci. Lett. 5, 565–568 (1986) B. Dischler, C. Wild: Low-Pressure Synthetic Diamond (Springer, Berlin Heidelberg New York 1998)
559
Part C 24
24.41
J. A. Cooper: Mater. Sci. Forum 389-393, 15–20 (2002) J. F. H. Custers: Physika 18, 489–496 (1952) L. S. Pan, D. R. Kania (Eds.): Diamond: Electronic Properties and Applications (Kluwer Academic, Boston 1995) J. Walker: Rep. Prog. Phys. 42, 1605–1660 (1979) http://www.eetimes.com/story/OEG20030822S0005 J. Palmour, R. Singh, R. C. Glass, O. Kordina, C. H. Carter: (1997), Silicon carbide for power devices, Power Semiconductor Devices and ICs 1997, ISPSD ’97, 1997 IEEE International Symposium on, 26-29 May 1997, pp. 25-32 A. Ellison: PhD Thesis; Disertation No. 599, Linköping Studies in Science and Technologies, Linköping University (1999) G. R. Fisher, P. Barnes: Philos. Mag. B61, 217–236 (1990) J. A. Lely: Ber. Dt. Keram. Ges. 32, 299 (1955) Y. M. Tariov, V. F. Tsvetkov: J. Cryst. Growth 43, 209– 212 (1978) D. Nakamura, I. Gunjishima, S. Yamagushi, T. Ito, A. Okamoto, H. Kondo, S. Onda, K. Takatori: Nature 430, 1009–1012 (2004) P. G. Nuedeck, J. A. Powell: IEEE Electron. Dev. Lett. 15, 63–65 (1994) H. McD. Hobgood, M. F. Brady, M. R. Calus, J. R. Jenny, R. T. Leonard, D. P. Malta, S. G. Müller, A. R. Powell, V. F. Tsvetkov, R. C. Glass, C. H. Carter: Mater. Sci. Forum 457-460, 3–8 (2004) A. R. Powell, R. T. Leonard, M. F. Brady, S. G. Müller, V. F. Tsvetkov, R. Trussel, J. J. Sumakeris, H. McD. Hobgood, A. A. Burk, R. C. Glass, C. H. Carter: Mater. Sci. Forum 457-460, 41–46 (2004) R. C. Glass, L. O. Kjellberg, V. F. Tsvetkov, J. E. Sundgren, E. Janzén: J. Cryst. Growth 132, 504–512 (1993) J. P. Bergman, H. Lendermann, P. A. Nilsson, U. Lindefelt, P. Skytt: Mater. Sci. Forum 353-356, 299–302 (2001) J. R. Jenny, D. P. Malta, M. R. Calus, S. G. Müller, A. R. Powell, V. F. Tsvetkov, H. McD. Hobgood, R. C. Glass, C. H. Carter: Mater. Sci. Forum 457-460, 35–40 (2004) H. M. McGlothin, D. T. Morisette, J. A. Cooper, M. R. Melloch: 4 kV silicon carbide Schottky diodes for high-frequency switching applications, Dev. Res. Conf. Dig. 1999 57th Annual, 28-30 June 1999, Santa Barbara, California, USA, pp. 42-43 S. Liu, J. Scofield: Thermally stable ohmic contacts to 6H- and 4H- p-type SiC, High Temperature Electronics Conference, HITEC 1998, 4th International, 14-18 June 1998, pp. 88–92 Q. Wahab, A. Ellison, J. Zhang, U. Forsberg, E. A. Duranova, L. D. Madsen, E. Janzén: Mat. Sci. Forum 338-342, 1171–1174 (1999) R. Raghunathan, B. J. Baliga: IEEE Electron. Dev. Lett. 19, 71–73 (1998)
References
560
Part C
Materials for Electronics
24.70 24.71
24.72 24.73 24.74
24.75 24.76 24.77 24.78
24.79
24.80 24.81 24.82 24.83
24.84
24.85
24.86 24.87
24.88
Part C 24
24.89 24.90 24.91
P. W. May: Phil. Trans. R. Soc. Lond. A 358, 473 (2000) J. Isberg, J. Hammarberg, E. Johansson, T. Wikströ, D. J. Twitchen, A. J. Whitehead, S. E. Coe, G. A. Scarsbrook: Science 297, 1670–1672 (2002) J. Isberg, J. Hammarberg, D. J. Twitchen, A. J. Whitehead: Diamond Rel. Mater. 13, 320–324 (2004) B. R. Stoner, J. T. Glass: Appl. Phys. Lett. 60, 698– 700 (1992) T. Tachibana, Y. Yokota, K. Nishimura, K. Miyata, K. Kobashi, Y. Shintani: Diamond Rel. Mater. 5, 197–199 (1996) H. Karawada, C. Wild, N. Herres, R. Locher, P. Koidl, H. Nagasawa: J. Appl. Phys. 81, 3490–3493 (1997) M. Oba, T. Sugino: Jpn. J. Appl. Phys. 39, L1213–L1215 (2000) S. Koizumi, T. Murakami, T. Inuzuka, K. Suzuki: Appl. Phys. Lett. 57, 563–565 (1990) S. D. Wolter, B. R. Stoner, J. T. Glass, P. J. Ellis, D. S. Buhaenko, C. E. Jenkins, P. Southworth: Appl. Phys. Lett. 62, 1215–1217 (1993) X. Jiang, C. P. Klages, R. Zachai, M. Hartweg, H. J. Füsser: Appl. Phys. Lett. 62, 3438–3440 (1993) C. Wild, R. Kohl, N. Herres, W. Müller-Sebert, P. Koidl: Diamond Rel. Mater. 3, 373–381 (1994) X. Jiang, C. P. Klages: New Diamond and DiamondLike Films (Techna, Srl. 1995) pp. 23–30 S. D. Wolter, T. H. Borst, A. Vescan, E. Kohn: Appl. Phys. Lett. 68, 3558 (1996) A. Flöter, H. Güttler, G. Schulz, D. Steinbach, C. Lutz-Elsner, R. Zachai, A. Bergmaier, G. Dollinger: Diamond Rel. Mater. 7, 283–288 (1998) X. Jiang, K. Schiffmann, C. P. Klages, D. Wittorf, C. L. Jia, K. Urban, W. Jäger: J. Appl. Phys. 83, 2511–2518 (1998) P. Gluche, M. Adamschik, A. Vescan, W. Ebert, F. Szücs, H. J. Fecht, A. Flöter, R. Zachai, E. Kohn: Diamond Rel. Mater. 7, 779–782 (1998) P. Gluche, M. Adamschik: Diamond Rel. Mater. 8, 934–940 (1999) E. Kohn, A. Aleksov, A. Denisenko, P. Schmid, M. Adamschick, J. Kusterer, S. Ertl, K. Janischowsky, A. Flöter, W. Ebert: Diamond and Other Carbon Materials, Diamond in electronic applications, CIMTEC 2002 – 3rd Forum on New Materials 3rd International Conference, Florence 2002, ed. by P. Vincenzini, P. Ascarelli (Techna, 2003) 205– 216 T. Tsubota, M. Ohta, K. Kusakabe, S. Morooka, M. Watanabe, H. Maeda: Diamond Rel. Mater. 9, 1380–1387 (2000) K. Ohtsuka, K. Suzuki, A. Sawabe, T. Inuzuka: Jpn. J. Appl. Phys. 35, 1072 (1996) M. Schreck, H. Roll, B. Stritzker: Appl. Phys. Lett. 74, 650–652 (1999) M. Schreck, A. Schury, F. Hörmann, H. Roll, B. Stritzger: J. Appl. Phys. 91, 676–685 (2002)
24.92
24.93 24.94 24.95
24.96
24.97
24.98 24.99
24.100
24.101 24.102
24.103 24.104 24.105 24.106 24.107 24.108 24.109
24.110
24.111
M. Kubovic, A. Aleksov, M. Schreck, T. Bauer, B. Strizker, E. Kohn: Diamond Rel. Mater. 12, 403– 407 (2003) C. Bednarski, Z. Dai, A. P. Li, B. Golding: Diamond Rel. Mater. 12, 241–245 (2003) Z. Dai, C. Bednarski-Meinke, B. Golding: Diamond Rel. Mater. 13, 552–556 (2004) S. Gsell, T. Bauer, J. Goldfuss, M. Schreck, B. Stritzker: Appl. Phys. Lett. 84, 4541–4543 (2004) Infineon Technologies (2001), SDP04S60, SPD04S60, SDP06S60, SDB06S60, SDP10S30, SDB10S30, and SDB20S30 preliminary data sheets, homepage http://www.infineon.com/cgi/ecrm.dll/ecrm/scripts/ search/advanced search result.jsp?queryString= SiC&x=22&y=7 (Jan. 2001) M. Mazzola: SiC high-temperatuer wideband gap mateials, Combat hybrid power system component technologies (National Academic, Washington D.C. 2001) pp. 31–40 W. Wondrak, E. Niemann: Proc. IEEE International Symposium (ISIE ’98), 1998, p. 153 T. Jang, L. M. Porter: Electrical characteristics of tantalum and tantalum carbide Schottky diodes on n- and p-type silicon carbide as a function of temperature, Proc. 4th International High Temperature Electronics Conference (HITEC 98) 4, 280–286 (1998) Cat. No. 98EX145 Y. Sugawara, D. Takayama, K. Asano, R. Singh, J. Palmour, T. Hayashi: Int. Symp. on Power Semiconductor Devices & ICs, Osaka, Japan 13, 27–30 (2001) R. Singh, J. A. Jr. Cooper, R. Melloch, T. P. Chow, J. Palmour: IEEE Trans. Electron. Dev. 49, 665 (2002) R. Singh, K. G. Irvine, D. Cappel, T. James, A. Hefner, J. W. Palmour: IEEE Trans. Electron. Dev. 49, 2308 (2002) R. Singh, D. C. Capell, K. G. Irvine, J. T. Richmond, J. W. Palmour: Electron. Lett. 38, 1738 (2002) B. M. Wilamowski: Solid State Electron. 26, 491 (1983) B. J. Baliga: IEEE Elect. Dev. Lett. 5, 194 (1984) C. M. Zetterling, F. Dahlquist, N. Lundberg, M. Ostling: Solid State Electron. 42, 1757 (1998) F. Dahlquist, C. M. Zetterling, M. Ostling, K. Rutner: Mater. Sci. Forum 264-268, 1061 (1998) F. Dahlquist, H. Lendenmann, M. Ostling: Mater. Sci. Forum 389-393, 1129 (2002) D. Nakamura, I. Gunjishima, S. Yamagushi, T. Ito, A. Okamoto, H. Kondo, S. Onda, K. Takatori: Nature 430, 1009–1012 (2004) R. C. Clarke, A. W. Morse, P. Esker, W. R. Curtice: Proc. IEEE High Performance Devices, 2000 IEEE/Cornell Conference, 141–143 (2000) S. T. Sheppard, R. P. Smith, W. L. Pribble, Z. Ring, T. Smith, S. T. Allen, J. Milligan, J. W. Palmour: Proc. Device Research Conference, 60th DRC. Conference Digest, 175–178 (2002)
High-Temperature Electronic Materials: Silicon Carbide and Diamond
24.130 L. A. Lipkin, J. W. Palmour: Mater. Sci. Forum 338, 1093 (2000) 24.131 R. C. Clarke, C. D. Brandt, S. Sriram, R. R. Siergiej, A. W. Morse, A. Agarwal, L. S. Chen, V. Balakrishna, A. A. Burk: Proc. IEEE High Temperature Electronic Materials, Devices and Sensors Conference, 1998, p. 18 24.132 S. H. Ryu, A. Agarwal, R. Singh, J. W. Palmour: IEEE Trans. Electron. Dev. Lett. 22, 124 (2001) 24.133 S. F. Kozlov: IEEE Trans. Nucl. Sci 222, 160 (1975) 24.134 S. F. Kozlov, E. A. Komorova, Y. A. Kuznetsov, Y. A. Salikov, V. I.Redko, V. R. Grinberg, M. L. Meilman: IEEE Trans. Nucl. Sci 24, 235–237 (1977) 24.135 M. Krammer, W. Adam, E. Berdermann, P. Bergonzo, G. Bertuccio, F. Bogani, E. Borchi, A. Brambilla, M. Bruzzi, C. Colledani: Diamond Rel. Mater. 10, 1778–1782 (2001) 24.136 A. Mainwood: Semicond. Sci. Technol. 15, 55 (2000) 24.137 M. Adamschik, M. Müller, P. Gluche, A. Flöter, W. Limmer, R. Sauer, E. Kohn: Diamond Rel. Mater. 10, 1670–1675 (2001) 24.138 A. Denisenko, A. Aleksov, E. Kohn: Diamond Rel. Mater. 10, 667–672 (2001) 24.139 M. D. Whitfield, S. P. Lansley, O. Gaudin, R. D. McKeag, N. Rizvi, R. B. Jackman: Diamond Rel. Mater. 10, 715–721 (2001) 24.140 J. Gondolek, J. Kocol: Diamond Rel. Mater. 10, 1511– 1514 (2001) 24.141 P. R. Chalker, T. B. Joyce, C. Johnston: Diamond Rel. Mater. 8, 309–313 (1999) 24.142 E. Kohn, M. Adamschik, P. Schmid, S. Ertl, A. Flöter: Diamond Rel. Mater. 10, 1684–1691 (2001) 24.143 T. H. Borst, O. Weis: Diamond Rel. Mater. 4, 948– 953 (1995) 24.144 B. A. Fox, M. L. Hartsell, D. M. Malta, H. A. Wynands, G. J. Tessmer, D. L. Dreifus: Mater. Res. Soc. Symp. Proc. 416, 319 (1996) 24.145 S. Yamanaka, H. Watanabe, S. Masai, D. Takeuchi, H. Okushi, K. Kajimura: Jpn. J. Appl. Phys. 37, 1129 (1998) 24.146 D. Saito, E. Tsutsumi, N. Ishigaki, T. Tashiro, T. Kimura, S. Yugo: Diamond Relat. Mater. 11, 1804– 1807 (2002) 24.147 A. Aleksov, A. Denisenko, M. Kunze, A. Vescan, A. Bergmeir, G. Dollinger, W. Ebert, E. Kohn: Semicond. Sci. Technol. 18, S59–S66 (2003) 24.148 M. I. Landstrass, K. V. Ravi: Appl. Phys. Lett. 55, 975–977 (1989) 24.149 M. I. Landstrass, K. V. Ravi: Appl. Phys. Lett. 55, 1391–1393 (1989) 24.150 S. Koizumi, K. Watanabe, M. Hasegawa, H. Kanda: Diamond Rel. Mater. 11, 307–311 (2002) 24.151 M. Katagiri, J. Isoya, S. Koizumi, H. Kanda: Appl. Phys. Lett. 85, 6365–6367 (2004) 24.152 E. Gheeraerf, A. Casanova, A. Tajani, A. Deneuville, E. Bustarret, J. A. Garrido, C. E. Nebel, M. Stutzmann: Diamond Rel. Mater. 11, 289–295 (2000)
561
Part C 24
24.112 S. T. Allen, W. L. Pribble, R. A. Sadler, T. S. Alcorn, Z. Ring, J. W. Palmour: IEEE MTT-S Int. 1, 321–324 (1999) 24.113 F. Villard, J. P. Prigent, E. Morvan, C. Brylinski, F. Temcamani, P. Pouvil: IEEE Trans. Microw. Theory Technol. 51, 1129 (2003) 24.114 J. B. Casady, E. D. Luckowski, R. W. Johnsson, J. Crofton, J. R. Williams: IEEE Electronic Components and Technology Conference 45, 261–265 (1995) 24.115 A. Agarwal, C. Capell, B. Phan, J. Miligan, J. W. Palmour, J. Stambaugh, H. Bartlow, K. Brewer: IEEE High Performance Devices, 2002, Proceedings, IEEE Lester Eastman Conference, p. 41 24.116 B. J. Baliga: Proc. 6th Int. Conf. on SiC & Related Mat. Ser. 142, 1–6 (1996) 24.117 K. Asano, Y. Sugawara, T. Hayashi, S. Ryu, R. Singh, J. W. Palmour, D. Takayama: IEEE Power Semiconductor Devices and ICs, 2002. Proceedings of the 14th International Symposium, p. 61 24.118 J. H. Zhao, X. Li, K. Tone, P. Alexandrov, M. Pan, M. Weiner: IEEE Semiconductor Device Research Symposium, 2001 International, p. 564 24.119 C. J. Scozzie, C. Wesley, J. M. McGarrity, F. B. Mclean: Reliability Physics Symposium, 1994. 32nd Annual Proceedings., IEEE International, p. 351 24.120 K. Asano, Y. Sugawara, S. Ryu, J. W. Palmour, T. Hayashi, D. Takayama: Proc. 13th Int. Symp. Power Semiconductor Devices and ICs, Osaka, Japan, 2001 24.121 N. S. Rebello, F. S. Shoucair, J. W. Palmour: IEEE Proc. Circuits Dev. Syst. 143, 115 (1996) 24.122 T. Billon, T. Ouisse, P. Lassagne, C. Jassaud, J. L. Ponthenier, L. Baud, N. Becourt, P. Morfouli: Electron. Lett. 30, 170 (1994) 24.123 S. H. Ryu, A. Agarwal, J. Richmond, J. Palmour, N. Saks, J. Williams: IEEE-Power Semiconductor Devices and ICs, 2002. Proceedings of the 14th International Symposium, 65–68 (2002) 24.124 J. B. Casady, A. Agarwal, L. B. Rowland, S. Shshadri, R. R. Siergiej, D. C. Sheridan, S. Mani, P. A. Sanger, C. D. Brandt: IEEE-Compound Semiconductors, International Symposium, 1998, p. 359 24.125 J. Spitz, M. R. Melloch, J. A. Jr. Cooper, M. A. Capano: IEEE Electron. Dev. Lett. 19, 100 (1998) 24.126 I. A. Khan, J. A. Jr. Cooper, M. Capano, T. IsaacsSmith, J. R. Williams: IEEE – Power Semiconductor Devices and ICs, [2002] Proceedings of the 14th International Symposium, 157–160 (2002) 24.127 R. K. Chilukuri, M. Praveen, B. J. Baliga: IEEE Trans. Ind. Appl. 35, 1458 (1999) 24.128 G. I. Gudjonsson, H. O. Olafsson, E. O. Sveinbjornsson: Mater. Sci. Forum 457-460, 1425–1428 (2004) 24.129 H. O. Olafsson, G. I. Gudjonsson, P. O. Nillson, E. O. Sveinbjornsson, H. Zirath, R. Rodle, R. Jos: Electron. Lett. 40, 508–509 (2004)
References
562
Part C
Materials for Electronics
Part C 24
24.153 J. F. Prins: Diamond Rel. Mater. 10, 1756–1764 (2001) 24.154 H. Sternschulte, M. Schreck, B. Strizker, A. Bergmaier, G. Dollinger: Diamond Rel. Mater. 9, 1046–1050 (2000) 24.155 R. Kalish: Diamond Rel. Mater. 10, 1749–1755 (2001) 24.156 A. Aleksov, A. Denisenko, E. Kohn: Solid-State Electron. 44, 369–375 (2000) 24.157 P. Gluche, A. Vescan, W. Ebert, M. Pitter, E. Kohn: Processing of High Temperature Stable Contacts to Single Crystal Diamond, Transient Thermal Processing Techniques in Electronic Materials, Proc. 12th TMS Annual Meeting, Anaheim 1996, ed. by N. M. Ravindra, R. K. Singh (Warrendale, PA 1996) 107–110 24.158 A. Vescan, I. Daumiller, P. Gluche, W. Ebert, E. Kohn: IEEE Electron. Dev. Lett. 18, 556–558 (1997) 24.159 A. Vescan, I. Daumiller, P. Gluche, W. Ebert, E. Kohn: Diamond Relat. Mater. 7, 581–584 (1998) 24.160 J. A. Garrido, C. E. Nebel, R. Todt, G. Rösel, M.C. Amann, M. Stutzmann, E. Snidero, P. Bergonzo: Appl. Phys. Lett. 82, 988–990 (2003) 24.161 T. Maki, S. Shikama, M. Komori, Y. Sakagushi, K. Sakura, T. Kobayashi: Jpn. J. Appl. Phys. 31, 1446–1449 (1992) 24.162 H. Karawada: Surface Sci. Rep. 26, 205–259 (1996) 24.163 K. Hayashi, S. Yamanaka, H. Okushi, K. Kajimura: Appl. Phys. Lett. 68, 376–378 (1996) 24.164 K. Hayashi, S. Yamanaka, H. Watanabe, T. Sekiguchi, H. Okushi, K. Kajimura: J. Appl. Phys. 81, 744–753 (1997) 24.165 J. Ristein, F. Maier, M. Riedel, J. B. Cui, L. Ley: Phys. Status Solidi A 181, 65 (2000) 24.166 F. Maier, M. Riedel, B. Mantel, J. Ristein, L. Ley: Phys. Rev. Lett. 85, 3472–3475 (2000) 24.167 B. F. Mantel, M. Stammer, J. Ristein, L. Ley: Diamond Rel. Mater. 10, 429–433 (2001) 24.168 J. Ristein, F. Maier, M. Riedel, M. Stammer, L. Ley: Diamond Rel. Mater. 10, 416–422 (2001) 24.169 T. Yamada, A. Kojima, A. Sawaba, K. Suzuki: Passivation of hydrogen terminated diamond surface conductive layer using hydrogenated amorphous carbon, Diamond Rel. Mater. 13, 776–779 (2004) 24.170 Y. Otsuka, S. Suzuki, S. Shikama, T. Maki, T. Kobayashi: Jpn. J. Appl. Phys. 34, 551 (1995) 24.171 O. A. Williams, R. B. Jackman, C. Nebel, J. S. Foord: Diamond Rel. Mater. 11, 396–399 (2002) 24.172 B. A. Fox, M. L. Hartsell, D. M. Malta, H. A. Wynands, C.-T. Kao, L. S. Plano, G. J. Tessmer, R. B. Henard, J. S. Holmes, A. J. Tessmer, D. L. Dreifus: Diamond Rel. Mater. 4, 622–627 (1995) 24.173 K. Tsugawa, H. Kitatani, A. Noda, A. Hokazono, K. Hirose, M. Tajima: Diamond Rel. Mater. 8, 927– 933 (1999) 24.174 A. Aleksov, M. Kubovic, N. Kaeb, U. Spitzberg, A. Bergmaier, G. Dollinger, Th. Bauer, M. Schreck,
24.175
24.176 24.177
24.178
24.179
24.180
24.181 24.182
24.183 24.184 24.185 24.186
24.187 24.188 24.189 24.190
24.191 24.192 24.193 24.194 24.195 24.196 24.197 24.198
B. Stritzker, E. Kohn: Diamond Rel. Mat. 12, 391–398 (2003) H. Ishizaka, H. Umezawa, H. Taniuchi, T. Arima, N. Fujihara, M. Tachiki, H. Kawarada: Diamond Rel. Mat. 11, 378–381 (2002) P. Gluche, A. Aleksov, V. Vescan, W. Ebert, E. Kohn: IEEE Electron. Dev. Lett. 18, 547–549 (1997) H. Taniuchi, H. Umezawa, T. Arima, M. Tachiki, H. Kawarada: IEEE Electron. Dev. Lett. 22, 390–392 (2001) A. Aleksov, A. Denisenko, U. Spitzberg, T. Jenkins, W. Ebert, E. Kohn: Diamond Rel. Mat. 11, 382–386 (2002) A. Aleksov, M. Kubovic, M. Kasu, P. Schmid, D. Grobe, S. Ertl, M. Schreck, B. Stritzker, E. Kohn: Diamond Rel. Mater. 13, 233–240 (2004) M. Kasu, M. Kubovic, A. Aleksov, N. Teofilov, Y. Taniyasu, R. Sauer, E. Kohn, T. Makimoto, N. Kobayashi: Diamond Rel. Mater. 13, 226–232 (2004) M. Kunze, A. Vescan, G. Dollinger, A. Bergmaier, E. Kohn: Carbon 37, 787–791 (1999) A. Aleksov, A. Vescan, M. Kunze, P. Gluche, W. Ebert, E. Kohn, A. Bergmeier, G. Dollinger: Diamond Rel. Mater. 8, 941–945 (1999) A. Vescan, P. Gluche, W. Ebert, E. Kohn: IEEE Electron Dev. Lett. 18, 222–224 (1997) W. P. Kang, T. S. Fischer, J. L. Davidson: New Diamond Frontier Carbon Technol. 11, 129 (2001) J. L. Davidson, W. P. Kang, A. Wisitsora-At: Diamond Rel. Mater. 12, 429–433 (2003) D. J. Twitchen, C. S. J. Pickles, S. E. Coe, R. S. Sussmann, C. E. Hall: Diamond Rel. Mater. 10, 731–735 (2001) W. Ebert, M. Adamschik, P. Gluche, A. Flöter, E. Kohn: Diamond Rel. Mater. 8, 1875–1877 (1999) E. Kohn, M. Adamschik, P. Schmid, S. Ertl, A. Flöter: Diamond Rel. Mater. 10, 1684–1691 (2001) E. Worner: Low Pressure Synthetic Diamond (Springer, Berlin Heidelberg New York 1998) p. 137 M. Seelmann-Eggebert, P. Meisen, F. Schaudel, P. Koidl, A. Vescan, H. Leier: Diamond Rel. Mater. 10, 744–749 (2001) Y. F. Wu, B. P. Keller, S. Keller: IEICE Trans. Electron. E82(11), 1895 (1999) C. E. Weitzel: IOP Conf. Series 142, 765 (1996) B. C. Djubua, N. N. Chubun: IEEE Trans. Electron. Dev. 38, 2314 (1991) J. Robertson: J. Vac. Sci. Technol. B 17, 659–665 (1999) J. B. Cui, M. Stammler, J. Ristein, L. Ley: J. Appl. Phys. 88, 3667–3673 (2000) W. Choi, Y. D. Kim, Y. Iseri, N. Nomura, H. Tomokage: Diamond Rel. Mat. 10, 863–867 (2001) K. M. Song, J. Y. Shim, H. K. Baik: Diamond Rel. Mat. 11, 185–190 (2002) P. H. Cutler, N. M. Miskovsky, P. B. Lerner, M. S. Ching: Appl. Surf. Sci. 146, 126–133 (1999)
High-Temperature Electronic Materials: Silicon Carbide and Diamond
24.199 X.-Z. Ding, B. K. Tay, S. P. Lau, J. R. Shi, Y. J. Li, Z. Sun, X. Shi, H. S. Tan: J. Appl. Phys. 88, 5087– 5092 (2000) 24.200 J. van der Weide, R. J. Nemanich: J. Vac. Sci. Technol. B 10, 1940–1943 (1992) 24.201 T. S. Fischer, D. G. Walker: J. Heat Trans. 124, 954– 962 (2002) 24.202 F. A. M. Köck, J. M. Garguilo, B. Brown, R. J. Nemanich: Diamond Rel. Mater. 11, 774 (2002)
References
563
24.203 S. H. Shin, T. S. Fisher, D. G. Walker, A. M. Strauss, W. P. Kang, J. L. Davidson: J. Vac. Sci. Technol. B 21, 587–592 (2003) 24.204 J. L. Davidson, W. Kang, K. Holmes, A. WisitsoraAt, P. Taylor, V. Pulugurta, R. Venkatasubramanian, F. Wells: Diamond Rel. Mater. 10, 1736–1742 (2001) 24.205 V. K. Dimitrev, V. N. Inkin, G. G. Kirpilenko, B. G. Potapov, E. A. Ylyichev, E. Y. Shelukhin: Diamond Rel. Mater. 10, 1007–1010 (2001)
Part C 24
565
This chapter is devoted to a survey of the structural, optical and electrical properties of amorphous semiconductors on the basis of their fundamental understanding. These properties are important for various types of applications using amorphous semiconductors. First, we review general aspects of the electronic states and defects in amorphous semiconductors, i.e., a-Si:H and related materials, and chalcogenide glasses, and their structural, optical and electrical properties. Further, we survey the two types of phenomena associated with amorphous structure, i.e., lightinduced phenomena, and quantum phenomena associated with nanosized amorphous structure. The former are important from the viewpoint of amorphous-silicon solar cells. The latter phenomena promise novel applications of amorphous semiconductors from the viewpoint of nanotechnology.
Amorphous semiconductors are promising electronic materials for a wide range of applications such as solar cells, thin-film transistors, light sensors, optical memory devices, vidicons, electrophotographic applications, X-ray image sensors, europium-doped optical-fibre amplifications etc, particularly, hydrogenated amorphous silicon (a-Si:H) for solar cells, thin-film transistors, X-ray image sensors, and chalcogenide glasses for optical memory devices including digital video/versatile disk (DVD). In this chapter, we emphasize the basic concepts and general aspects of the electronic properties of amorphous semiconductors such as their electrical and optical properties as well as their struc-
25.1
Electronic States .................................. 565
25.2 Structural Properties ............................ 25.2.1 General Aspects ........................ 25.2.2 a-Si:H and Related Materials ...... 25.2.3 Chalcogenide Glasses .................
568 568 568 569
25.3 Optical Properties ................................ 25.3.1 General Aspects ........................ 25.3.2 a-Si:H and Related Materials ...... 25.3.3 Chalcogenide Glasses .................
570 570 571 572
25.4 Electrical Properties ............................. 25.4.1 General Aspects ........................ 25.4.2 a-Si:H and Related Materials ...... 25.4.3 Chalcogenide Glasses .................
573 573 574 575
25.5 Light-Induced Phenomena................... 575 25.6 Nanosized Amorphous Structure ........... 577 References .................................................. 578
tural properties [25.1–3]. Furthermore, some basic and important results of these properties are described to understand these applications and to consider their further development. Light-induced phenomena in amorphous semiconductors, which have been considered to be associated with amorphous structure, are also described. Nanosized amorphous structures exhibit quantum effects associated with two-dimensional (quantum well), one-dimensional (quantum wire) and zero-dimensional (quantum dot) structures, so they have received significant attention on both the fundamental and application sides. These topics are briefly described.
25.1 Electronic States Long-range disorder in amorphous network breaks down the periodic arrangement of constituent atoms, as shown in Fig. 25.1. In the figure, the structures of amorphous
and crystalline silicon are shown. The periodic arrangement of atoms makes it easy to treat the electronic states mathematically, i. e., the so-called Bloch the-
Part C 25
Amorphous S 25. Amorphous Semiconductors: Structure, Optical, and Electrical Properties
Amorphous Semiconductors: Structure, Optical, and Electrical Properties
Table 25.1 Optical gap of a-Si:H prepared at various deposition temperatures Ts (◦ C)
[H] (at.%)
Eg (eV)
References
300 200 120 75
7 18 28 33
1.70 1.75 1.9 2.0
[25.37, 38] [25.37, 38] [25.37, 38] [25.38, 39]
Ts : Deposition temperature, [H]: Hydrogen content
25.3.2 a-Si:H and Related Materials The band gap of a-Si:H determined from Tauc’s plot is 1.7–1.9 eV, depending on the preparation condition, particularly the deposition temperature, Ts , i. e. hydrogen content, [H], [25.37–39,48] as shown in Table 25.1. The absorption coefficient of visible light for a-Si:H is of the order 105 –106 cm−1 , which is large compared to that of crystalline silicon. A thickness of 1 µm is enough to absorb visible light. Thus a-Si:H is suitable for application to solar cells especially when a thin film is desired. The optical gap, E g , and the Urbach tail width, E U , of a-Si:H, a-Ge:H and Si-based alloys are shown in Table 25.2. Some a-Si:H-based alloys such as a-Si1−x Nx :H and a-Si1−x Cx :H have a band gap wider than that of a-Si:H depending on the composition, x. In the preparation of these alloys, x can be varied continuously over a certain range. Thus, the band gap can be varied arbitrarily. It is possible to prepare multilayer films consisting of layers with different band gaps, such as a-Si:H/aSi1−x Nx :H. Quantum size effects in multilayer films will be described in Sect. 25.6. It is difficult to obtain the absorption spectra below the band gap from transmittance measurements in aSi:H, which is normally prepared as a thin film. Such low absorption in a-Si:H has been measured by PDS and CPM. PL from a-Si:H was observed by Engemann and Fischer [25.49] for the first time. The quantum efficiency has been found to be of the order of unity [25.50], although it decreases with increasing density of dangling bonds [25.51]. PL spectra from a-Si:H films generally consist of two components. The first is observed as a peak at 1.3–1.4 eV with a FWHM of 0.3 eV. The other component, which is called low-energy PL (defect PL), is observed at 0.8–0.9 eV. The origin of the main peak has not been fully understood. Electrons and holes in the tail states may give
Table 25.2 Band gap energies, Urbach tail widths and dark conductivities at room temperature for a-Si:H, a-Ge:H and related materials Material
Eg (eV)
EU (meV)
σ (300 K) ( −1 cm−1 )
a-Si:H a-Ge:H a-Si0.7 C0.3 :H a-Si0.8 C0.2 :H a-Si0.4 N0.6 :H a-Si0.74 N0.26 :H
1.75 1.05 2.28 2.2 3.0 ≈ 2.0
48 50 183.4
10−11 10−4
≈ 200 ≈ 100
10−15 ≈ 10−8 1.4 × 10−8
References [25.40, 41] [25.40, 41] [25.42] [25.43] [25.44–46] [25.44, 45, 47]
571
Part C 25.3
The lifetime measurement is important for the identification of the origin of the PL since the PL spectra in most amorphous semiconductors are featureless and do not provide much information. The probability of radiative transition between localized electrons and holes depends exponentially on their separation, R. The lifetime is estimated by τ = τ0 exp(2R/R0 ) where R0 denotes the radius of the most extended wavefunction [25.36]. When the Coulomb interaction is strong enough, excitons are formed. Exciton formation is also suggested in some amorphous semiconductors. The total spin of excitons, S, is either 0 or 1. Singlet excitons of S = 0 have a lifetime in the nanosecond region, while triplet excitons with S = 1 have a lifetime much longer than that of singlet excitons, e.g. τ = 1 ms, since the transition is forbidden by the spin selection rule. PL measurements combined with magnetic resonance are a powerful means to study recombination processes [25.14, 15]. This is called optically detected magnetic resonance (ODMR) measurements. PL from triplet excitons has been suggested from ODMR measurements, as described in Sects. 25.3.2 and 25.3.3. The photoconductivity measurements provide us with useful information about the processes of carrier transport and recombination. Their results on a-Si:H and chalcogenide glasses are briefly reviewed in Sects. 25.3.2 and 25.3.3, respectively.
25.3 Optical Properties
Amorphous Semiconductors: Structure, Optical, and Electrical Properties
25.4 Electrical Properties
Material
Eg [25.68] (eV)
µd (cm2 /Vs) [25.1, 69] n-type p-type
σ (300 K) [25.68] ( −1 cm−1 )
Se As2 S3 As2 Se3 As2 Te3 GeS2 GeSe2 Sb2 Se3 Cd − In − S [25.70]
2.05 2.32 1.76 0.83 3.07 2.18 0.70 2.2
3–6 × 10−3
10−16 10−17 10−12 10−4 10−14 10−11
∗)
1–2 × 10−1 10−3 10−2
1.4 × 10−1 30
∗)
4 × 10−2
9 × 10−1
Hall mobility
glasses is well described by Tauc’s relationship (25.1). The optical gap E g is shown in Table 25.3 for various chalcogenide glasses. The effect of light on optical absorption in chalcogenide glasses is known as photo-darkening. This effect will be briefly reviewed in Sect. 25.5. PL has been observed in a-Se, arsenic chalcogenide glasses and germanium chalcogenide glasses. PL in chalcogenide glasses is known to have a large Stokes shift. The peak energy in the PL spectra is approximately half of the band gap. This stokes shift has been attributed to strong electron–phonon coupling [25.71]. The electronic states responsible for the PL have not been well understood. There has been some experimental evidence
for PL from self-trapped excitons. The observation of triplet excitons has been reported in ODMR measurements for chalcogenide glasses such as As2 S3 and As2 Se3 [25.72–74]. Dispersive transport was observed in the transient photocurrent for the first time for chalcogenide glasses such as a-As2 Se3 , in which the hole transport dominates over the electron transport [25.63]. The drift mobility of holes ranges between 10−3 –10−5 cm2 /V, depending on the temperature and electric field. The zero-field hole mobility at room temperature is about 5 × 10−5 cm2 /V. The steady-state photoconduction in a-As2 Se3 has been considered to be governed by charged structural defects such as VAPs.
25.4 Electrical Properties 25.4.1 General Aspects Electrical Conductivity Electrical conduction in amorphous semiconductors consists of band conduction and hopping conduction. Band conduction in undoped amorphous semiconductors is characterized by Ea σ = σ0 exp − (25.7) , kB T
where σ and σ0 are the electrical conductivity and a prefactor, respectively, and E a , kB and T are the activation energy, the Boltzmann constant and the temperature, respectively. E a is given by either E c − E F or E F − E V , depending on whether electrons or holes are considered,
where E c , E V and E F are the mobility edges of the conduction band and the valence band, and the Fermi energy, respectively. Hopping conduction in amorphous semiconductors consists of nearest-neighbor hopping and variable-range hopping [25.1]. Nearest-neighbor hopping is well known in crystalline semiconductors, in which electrons (holes) hop to nearest-neighbor sites by emitting or absorbing phonons. Variablerange hopping is particularly associated with tail states, in which electrons (holes) in tail states hop to the most probable sites. This type of hopping conductivity σp is characterized by the following temperature variation: B σp = σp0 exp − 1/4 . (25.8) T
Part C 25.4
Table 25.3 Band gap energies, drift mobilities, and dark conductivities at room temperature for chalcogenide glasses [25.2]
573
Amorphous Semiconductors: Structure, Optical, and Electrical Properties
25.18 25.19
25.20
25.21 25.22 25.23 25.24 25.25 25.26 25.27 25.28 25.29 25.30
25.31 25.32 25.33
25.34
25.35 25.36 25.37 25.38
25.39 25.40 25.41
25.42
M. Yamaguchi, K. Morigaki, S. Nitta: J. Phys. Soc. Jpn. 58, 3828 (1989) M. Yamaguchi, K. Morigaki, S. Nitta: J. Phys. Soc. Jpn. 60, 1769 (1991) S. C. Moss, J. F. Graczyk: Proc. 10th Int. Conf. on Physics of Semiconductors, ed. by J. C. Hensel, F. Stern (US AEC Div. Tech. Inform., Springfield 1970) p. 658 S. Kugler, G. Molnár, G. Petö, E. Zsoldos, L. Rosta, A. Menelle, R. Bellissent: Phys. Rev. B 40, 8030 (1989) D. E. Polk: J. Non-Cryst. Solids 5, 365 (1971) F. Wooten, K. Winer, D. Weaire: Phys. Rev. Lett. 54, 1392 (1985) R. Car, M. Parrinello: Phys. Rev. Lett. 60, 204 (1988) S. Kugler, L. Pusztai, L. Rosta, P. Chieux, R. Bellissent: Phys. Rev. B 48, 7685 (1993) L. Pusztai: J. Non-Cryst. Solids 227-230, 88 (1998) S. Kugler, K. Kohary, K. Kádas, L. Pusztai: Solid State Commun. 127, 305 (2003) J. A. Reimer: J. Phys. (Paris) 42, C4–715 (1981) K. K. Gleason, M. A. Petrich, J. A. Reimer: Phys. Rev. B 36, 3259 (1987) G. Lucovsky, R. J. Nemanich, J. C. Knights: Phys. Rev. B 19, 2064 (1979) R. Bellissent, A. Menelle, W. S. Howells, A. C. Wright, T. M. Brunier, R. N. Sinclair, F. Jansen: Physica B 156, 157, 217 (1989) A. Menelle: Thése Doctorat (Université Piere et Marie Curie, Paris 1987) T. Uchino: Kotai Butsuri (Solid State Physics) 37, 965 (2002) J. H. Zhou, K. Ikuta, T. Yasuda, T. Umeda, S. Yamasaki, K. Tanaka: J. Non-Cryst. Solids 227-230, 857 (1998) G. Lucovsky, F. L. Galeener, R. H. Geils, R. C. Keezer: In: Proc. Int. Conf. on Amorphous and Liquid Semiconductors, ed. by W. E. Spear (University of Edinburgh, Edinburgh 1977) p. 127 J. Tauc: In: Amorphous and Liquid Semiconductors, ed. by J. Tauc (Plenum, New York 1974) p. 159 C. Tsang, R. A. Street: Phys. Rev. B 19, 3027 (1979) K. Morigaki, Y. Sano, I. Hirabayashi: J. Phys. Soc. Jpn. 51, 147 (1982) K. Morigaki, Y. Sano, I. Hirabayashi: Amorphous Semiconductor Technologies and Devices—1983, ed. by Y. Hamakawa (Ohomsha, Tokio 1983) Chap. 3.2 I. Hirabayashi, K. Morigaki, M. Yoshida: Sol. Ener. Mat. 8, 153 (1982) G. H. Bauer: Solid State Phenomena 44-46, 365 (1995) W. Paul: In: Amorphous Silicon and Related Materials, ed. by H. Fritzsche (World Scientific, Singapore 1989) p. 63 Y. Tawada: In: Amorphous Semiconductors— Technologies and Devices, ed. by Y. Hamakawa (Ohmusha and North Holland, Tokyo and Amsterdam 1983) Chap. 4.2
25.43 25.44 25.45 25.46 25.47 25.48 25.49
25.50
25.51 25.52 25.53 25.54 25.55 25.56
25.57 25.58 25.59 25.60 25.61 25.62 25.63 25.64 25.65
25.66 25.67 25.68
25.69 25.70 25.71
F. Demichelis, C. F. Pirri: Solid State Phenomena 44-46, 385 (1995) K. Maeda, I. Umezu: J. Appl. Phys. 70, 2745 (1991) M. Yamaguchi, K. Morigaki unpublished B. Dunnett, D. I. Jones, A. D. Stewart: Philos. Mag. B 53, 159 (1986) M. Hirose: Jpn. J. Appl. Phys. 21(suppl. 21-1), 297 (1981) M. Yamaguchi, K. Morigaki: Philos. Mag. B 79, 387 (1999) D. Engemann, R. Fischer: In: Amorphous and Liquid Semiconductors, ed. by J. Stuke, W. Brenig (Taylor & Francis, London 1974) p. 947 D. Engemann, R. Fischer: In: Proceedings of the 12th International Conference on the Physics of Semiconductors, ed. by M. H. Pilkuhn (B. G. Teubner, Stuttgart 1974) p. 1042 R. A. Street, J. C. Knights, D. K. Biegelsen: Phys. Rev. B 18, 1880 (1978) D. J. Dunstan, F. Boulitrop: Phys. Rev. B 30, 5945 (1984) K. Morigaki: J. Non-Cryst. Solids 141, 166 (1992) R. Stachowitz, M. Schubert, W. Fuhs: J. Non-Cryst. Solids 227-230, 190 (1998) C. Ogihara: J. Non-Cryst. Solids 227-230, 517 (1998) T. Aoki, T. Shimizu, S. Komedoori, S. Kobayashi, K. Shimakawa: J. Non-Cryst. Solids 338-340, 456 (2004) M. Yoshida, M. Yamaguchi, K. Morigaki: J. NonCryst. Solids 114, 319 (1989) M. Yoshida, K. Morigaki: J. Phys. Soc. Jpn. 58, 3371 (1989) B. A. Wilson, P. Hu, T. M. Jedju, J. P. Harbison: Phys. Rev. B 28, 5901 (1983) C. Ogihara, H. Takemura, H. Yoshida, K. Morigaki: J. Non-Cryst. Solids 266-269, 574 (2000) H. Takemura, C. Ogihara, K. Morigaki: J. Phys. Soc. Jpn. 71, 625 (2002) M. Yoshida, K. Morigaki: J. Non-Cryst. Solids 59 & 60, 357 (1983) G. Pfister, H. Scher: Adv. Phys. 27, 747 (1978) H. Scher, E. W. Montroll: Phys. Rev. B 12, 2455 (1975) I. Solomon: In: Amorphous Semiconductors, ed. by M. H. Brodsky (Springer, Berlin Heidelberg New York 1979) p. 189 K. Lips, C. Lerner, W. Fuhs: J. Non-Cryst. Solids 198200, 267 (1996) M. Stutzmann, M. S. Brandt, M. W. Bayerl: J. NonCryst. Solids 266-269, 1 (2000) S. R. Elliott: In: Material Science and Technology, Vol. 9, ed. by R. W. Cahn et al. (VCH, Weinheim 1991) p. 376 A. Feltz: Amorphous Inorganic Materials and Glasses (VCH, Weinheim 1993) H. Hosono, H. Maeda, Y. Kameshima, H. Kawazoe: J. Non-Cryst. Solids 227-230, 804 (1998) R. A. Street: Adv. Phys. 25, 397 (1976)
579
Part C 25
25.17
References
580
Part C
Materials for Electronics
Part C 25
25.72 25.73 25.74 25.75 25.76 25.77 25.78 25.79 25.80 25.81
25.82 25.83 25.84 25.85 25.86 25.87 25.88 25.89 25.90 25.91 25.92 25.93 25.94
B. C. Cavenett: J. Non-Cryst. Solids 59 & 60, 125 (1983) J. Ristein, P. C. Taylor, W. D. Ohlsen, G. Weiser: Phys. Rev. B 42, 11845 (1990) D. Mao, W. D. Ohlsen, P. C. Taylor: Phys. Rev. B 48, 4428 (1993) N. Kishimoto, K. Morigaki: J. Phys. Soc. Jpn. 46, 846 (1979) P. G. LeComber, D. I. Jones, W. E. Spear: Philos. Mag. 35, 1173 (1977) H. Overhof, W. Beyer: Philos. Mag. B 44, 317 (1983) D. I. Jones, W. E. Spear, P. G. LeComber: J. NonCryst. Solids 20, 259 (1976) N. F. Mott: J. Phys. C 13, 5433 (1980) W. E. Spear, P. G. LeComber: Philos. Mag. 33, 935 (1976) W. Beyer, J. Stuke: In: Proc. Int. Conf. on Amorphous and Liquid Semiconductors, 1973, ed. by J. Stuke (Taylor & Francis, London 1974) p. 251 L. Friedman: J. Non-Cryst. Solids 6, 329 (1971) D. Emin: Philos. Mag. 35, 1189 (1977) N. Tohge, T. Minami, Y. Yamamoto, M. Tanaka: J. Appl. Phys. 51, 1048 (1980) L. Tichy, H. Ticha, A. Triska, P. Nagels: Solid State Commun. 53, 399 (1985) K. Shimakawa, A. Kolobov, S. R. Elliott: Adv. Phys. 44, 475 (1995) K. Kimura, K. Murayama, T. Ninomiya: J. Non-Cryst. Solids 77, 78, 1203 (1985) D. L. Staebler, C. R. Wronski: Appl. Phys. Lett. 31, 292 (1977) I. Hirabayashi, K. Morigaki, S. Nitta: Jpn. J. Appl. Phys. 19, L357 (1980) H. Dersch, J. Stuke, J. Beichler: Appl. Phys. Lett. 38, 456 (1981) M. Stutzmann, W. B. Jackson, C. C. Tsai: Phys. Rev. B 32, 23 (1985) C. Godet, P. Roca i Cabarrocas: J. Appl. Phys. 80, 97 (1996) H. M. Branz: Phys. Rev. B 59, 5498 (1999) K. Morigaki, H. Hikita: Solid State Commun. 114, 69 (2000)
25.95 25.96
25.97 25.98 25.99 25.100
25.101 25.102 25.103 25.104 25.105 25.106 25.107 25.108
25.109 25.110 25.111 25.112 25.113
K. Morigaki, H. Hikita: J. Non-Cryst. Solids 266-269, 410 (2000) K. Morigaki, H. Hikita: Proc. Int. Conf. on Physics of Semiconductors, ed. by T. Ando N. Miura (Springer, Berlin Heidelberg New York 2000) p. 1485 C. Ogihara, H. Takemura, T. Yoshimura, K. Morigaki: J. Non-Cryst. Solids 299-302, 637 (2002) K. Morigaki, H. Hikita, H. Takemura, T. Yoshimura, C. Ogihara: Philos. Mag. Lett. 83, 341 (2003) K. Morigaki, H. Hikita: J. Non-Cryst. Solids 299-302, 455 (2002) T. Gotoh, S. Nonomura, M. Nishio, S. Nitta, M. Kondo, A. Matsuda: Appl. Phys. Lett. 72, 2978 (1998) Y. Zhao, D. Zhang, G. Kong, G. Pan, X. Liao: Phys. Rev. Lett. 74, 558 (1995) H. Fritzsche: Solid State Commun. 94, 953 (1995) R. Biswas, Y. P. Li: Phys. Rev. Lett. 82, 2512 (1999) K. Morigaki: Res. Bull. Hiroshima Inst. Tech. 35, 47 (2001) M. Yamaguchi, K. Morigaki: Phys. Rev. B 55, 2368 (1997) C. Ogihara, H. Ohta, M. Yamaguchi, K. Morigaki: Philos. Mag. B 62, 261 (1990) M. Yamaguchi, C. Ogihara, K. Morigaki: Mat. Sci. Eng. B 97, 135 (2003) A. C. Gossard, M. Sundaram, P. F. Hopkins: In: Semiconductors and Semimetals, Vol. 40, ed. by A. C. Gossard (Academic, Boston; Tokio 1994) Chap. 2 N.-M. Park, C.-J. Choi, T.-Y. Seong, S.-J. Park: Phys. Rev. Lett. 86, 1355 (2001) J. Koga, K. Nishio, T. Yamaguchi, F. Yonezawa: J. Phys. Soc. Jpn. 70, 3143 (2001) R. Ionov, D. Nesheva, D. Arsova: J. Non-Cryst. Solids 137&138, 1151 (1991) R. Ionov: Ph. D. Thesis. Ph.D. Thesis (Technical Univ., Sofia 1993) H. Hamanaka, S. Konagai, K. Murayama, M. Yamaguchi, K. Morigaki: J. Non-Cryst. Solids 198-200, 808 (1996)
581
Amorphous a 26. Amorphous and Microcrystalline Silicon
Hydrogenated amorphous silicon (a-Si:H) and microcrystalline silicon (µc-Si:H) are recognized as being useful materials for constructing devices related to optoelectronics, such as solar cells, thin-film transistors, etc. [26.1, 2]. Several methods have been proposed for the preparation of device-grade a-Si:H and µcSi:H. These include: reactive sputtering of a crystalline silicon target with Ar+H2 plasma [26.3]; mercury- sensitized photochemical vapor deposition (CVD) utilizing a decomposition reaction of silane (SiH4 ) molecules with photoexcited Hg (Hg*) [26.4]; a direct photo CVD method where high-energy photons from a Xeresonance lamp or a low-pressure Hg lamp are used for the direct excitation of SiH4 molecules to excited electronic states [26.5, 6]; a hot-wire CVD method for
26.1 Reactions in SiH4 and SiH4 /H2 Plasmas .. 581 26.2 Film Growth on a Surface ..................... 583 26.2.1 Growth of a-Si:H....................... 583 26.2.2 Growth of µc-Si:H ..................... 584 26.3 Defect Density Determination for a-Si:H and µc-Si:H ......................... 589 26.3.1 Dangling Bond Defects ............... 589 26.3.2 Dangling Bond Defect Density in µc-Si:H ................................ 590 26.4 Device Applications .............................. 590 26.5 Recent Progress in Material Issues Related to Thin-Film Silicon Solar Cells .. 591 26.5.1 Controlling Photoinduced Degradation in a-Si:H................ 591 26.5.2 High Growth Rates of Device-Grade µc-Si:H ............ 592 26.6 Summary ............................................ 594 References .................................................. 594
decomposing SiH4 by means of catalytic reactions on a heated metal surface [26.7]; and a plasma-enhanced CVD method (PECVD). The PECVD method is the most widely used of these due to its ability to consistently prepare uniform, high-quality materials on a large-area substrate. In this chapter, the PECVD method is highlighted, details regarding the processes used to grow a-Si:H and µc-Si:H from reactive plasmas are explained, and the determination reaction (which is used to obtain the dangling-bond defect density in the films: one of the most important structural properties that influences device performance) is interpreted in order to obtain clues about how to control the optoelectronic properties of those materials for device applications.
26.1 Reactions in SiH4 and SiH4 /H2 Plasmas The initial event required for the growth of a-Si:H and µc-Si:H is the decomposition of the source gas material in SiH4 or SiH4 /H2 glow discharge plasma. Figure 26.1 shows a schematic of the dissociation pathway of SiH4
and H2 , during which the molecules are excited to higher electronic states due to inelastic collisions with highenergy electrons in the plasma [26.8]. As the electrons in the plasma usually have a wide variety of energies,
Part C 26
Processes used to grow hydrogenated amorphous silicon (a-Si:H) and microcrystalline silicon (µcSi:H) from SiH4 and H2 /SiH4 glow discharge plasmas are reviewed. Differences and similarities between growth reactions of a-Si:H and µc-Si:H in a plasma and on a film-growing surface are discussed, and the process of nucleus formation followed by epitaxial-like crystal growth is explained as being unique to µc-Si:H. The application of a reaction used to determine the dangling-bond defect density in the resulting a-Si:H and µc-Si:H films is emphasized, since it can provide clues about how to improve the optoelectronic properties of those materials for device applications, especially thin-film silicon-based solar cells. Material issues related to the realization of low-cost and high-efficiency solar cells are described, and finally recent progress in this area is reviewed.
594
Part C
Materials for Electronics
26.6 Summary
Part C 26
In this chapter, the processes involved in the growth of a-Si:H and µc-Si:H from SiH4 and SiH4 H2 plasma have been interpreted in detail. The defect density determination reaction that takes place on the film-growing surface was discussed in order to obtain clues that may lead to enhanced optoelectronic properties in those materials. The recent status of work done in the fields of thin-film transistors and solar cells was reviewed, as these are
the main device applications of those materials. Recent progress in resolving material issues related to solar cell applications were also described. Finally, we note here that the concepts used in and our understanding of the film growth process mentioned here are widely applicable to other processes, especially processes where thin films are grown from reactive plasmas.
References 26.1 26.2 26.3 26.4 26.5
26.6
26.7 26.8 26.9 26.10
26.11 26.12 26.13
26.14
26.15 26.16 26.17 26.18 26.19
W. E. Spear, P. G. LeComber: Solid State Commun. 17, 1193 (1975) C. R. Wronski, D. E. Carlson, R. E. Daniel: Appl. Phys. Lett. 29, 602 (1976) T. Moustakas: Semicond. Semimet., Vol. 21A (Academic, New York 1984) p. 55 T. Saito, S. Muramatsu, T. Shimada, M. Migitaka: Appl. Phys. Lett. 42, 678 (1983) Y. Mishima, M. Hirose, Y. Osaka, K. Nagamine, Y. Ashida, K. Isogaya: Jpn. J. Appl. Phys. 22, L46 (1983) T. Fuyuki, K. Y. Du, S. Okamoto, S. Yasuda, T. Kimoto, M. Yoshimoto, H. Matsunami: J. Appl. Phys. 64, 2380 (1988) A. H. Mahan, B. P. Nelson, S. Salamon, R. S. Crandall: Mater. Res. Soc. Proc. 219, 673 (1991) M. Tsuda, S. Oikawa, K. Saito: J. Chem. Phys. 91, 6822 (1989) J. Perrin, O. Leroy, M. C. Bordage: Contrib. Plasma Phys. 36, 3 (1996) A. Matsuda, K. Nakagawa, K. Tanaka, M. Matsumura, S. Yamasaki, H. Okushi, S. Iizima: J. Non-Cryst. Solids 35-36, 183 (1980) A. Matsuda, K. Tanaka: Thin Solid Films 92, 171 (1982) Y. Matsumi, T. Hayashi, H. Yoshikawa, S. Komiya: J. Vac. Sci. Technol. A 4, 1786 (1986) N. Itabashi, N. Nishiwaki, M. Magane, T. Goto, A. Matsuda, C. Yamada, E. Hirota: Jpn. J. Appl. Phys. 29, 585 (1990) N. Itabashi, N. Nishiwaki, M. Magane, S. Saito, T. Goto, A. Matsuda, C. Yamada, E. Hirota: Jpn. J. Appl. Phys. 29, L505 (1990) K. Tachibana, T. Mukai, H. Harima: Jpn. J. Appl. Phys. 30, L1208 (1991) A. Kono, N. Koike, H. Nomura, T. Goto: Jpn. J. Appl. Phys. 34, 307 (1995) A. Matsuda: J. Non-Cryst. Solids 59-60, 767 (1983) A. Matsuda, T. Goto: Mater. Res. Soc. Proc. 164, 3 (1990) Y. Toyoshima, K. Arai, A. Matsuda, K. Tanaka: J. Non-Cryst. Solids 137-138, 765 (1991)
26.20 26.21 26.22 26.23 26.24 26.25
26.26 26.27 26.28 26.29 26.30 26.31 26.32 26.33 26.34 26.35 26.36 26.37 26.38
26.39
J. L. Guizot, K. Nomoto, A. Matsuda: Surf. Sci. 244, 22 (1991) M. Kondo, M. Fukawa, L. Guo, A. Matsuda: J. NonCryst. Solids 266-269, 84 (2000) C. C. Tsai, G. B. Anderson, R. Thompson, B. Wacker: J. Non-Cryst. Solids 114, 151 (1989) K. Nakamura, K. Yoshida, S. Takeoka, I. Shimizu: Jpn. J. Appl. Phys. 34, 442 (1995) A. Matsuda: Thin Solid Films 337, 1 (1999) K. Saito, M. Kondo, M. Fukawa, T. Nishimiya, W. Futako, I. Shimizu, A. Matsuda: Res. Soc. Proc. Mater. 507, 843 (1998) J. Koh, Y. Lee, H. Fujiwara, C. R. Wronski, R. W. Collins: Appl. Phys. Lett. 73, 1526 (1998) H. Fujiwara, M. Kondo, A. Matsuda: Surf. Sci. 497, 333 (2002) H. Fujiwara, Y. Toyoshima, M. Kondo, A. Matsuda: J. Non-Cryst. Solids 266-269, 38 (2000) H. Fujiwara, M. Kondo, A. Matsuda: Phys. Rev. B 63, 115306 (2001) S. Suzuki, M. Kondo, A. Matsuda: J. Non-Cryst. Solids 299-302, 93 (2002) H. Fujiwara, M. Kondo, A. Matsuda: Jpn. J. Appl. Phys. 41, 2821 (2002) A. Matsuda, K. Nomoto, Y. Takeuchi, A. Suzuki, A. Yuuki, J. Perrin: Surf. Sci. 227, 50 (1990) G. Ganguly, A. Matsuda: Phys. Rev. B 47, 3361 (1993) G. Ganguly, A. Matsuda: J. Non-Cryst. Solids 164166, 31 (1993) G. Ganguly, A. Matsuda: Jpn. J. Appl. Phys. 31, L1269 (1992) Y. Nasuno, M. Kondo, A. Matsuda: Tech. Digest of PVSEC-12. Jeju, Korea, (2001) 791 D. L. Staebler, C. R. Wronski: Appl. Phys. Lett. 28, 671 (1977) Ke. Saito, M. Sano, K. Matsuda, T. Kondo, T. Nishimoto, K. Ogawa, I. Kajita: Proc. WCPEC-2 Vienna, Austria (1998) p.351 J. Meier, P. Torres, R. Platz, S. Dubail, U. Kroll, J. A. Anna Selvan, N. Pellaton Vaucher, Ch. Hof, D. Fischer, H. Keppner, A. Shah, K. -D. Ufort, P. Giannoules: Mater. Res. Soc. Proc. 420, 3 (1996)
Amorphous and Microcrystalline Silicon
26.40
26.41
26.42
26.44 26.45
26.46 26.47
L. Guo, M. Kondo, M. Fukawa, K. Saito, A. Matsuda: Jpn. J. Appl. Phys. 37, L1116 (1998) M. Kondo, T. Nishimoto, M. Takai, S. Suzuki, Y. Nasuno, A. Matsuda: Tech. Digest of PVSEC-12 Jeju, Korea (2001) 41 T. Matsui, M. Kondo, A. Matsuda: Proc. WCPEC-3 Osaka, Japan (2003) 5O-A3-02 C. Niikura, M. Kondo, A. Matsuda: Proc. WCPEC-3 Osaka, Japan (2003) 5P-D4-03
595
Part C 26
26.43
K. Yamammoto, M. Yoshimi, T. Suzuki, Yu. Tawada, Y. Okamoto, A. Nakajima: Mater. Res. Soc. Proc. 507, 131 (1998) T. Nishimoto, M. Takai, H. Miyahara, M. Kondo, A. Matsuda: J. Non-Cryst. Solids 299-302, 1116 (2002) M. Takai, T. Nishimoto, T. Takagi, M. Kondo, A. Matsuda: J. Non-Cryst. Solids 266-269, 90 (2000) M. Takai, T. Nishimoto, M. Kondo, A. Matsuda: Appl. Phys. Lett. 77, 2828 (2000)
References
597
Ferroelectric M 27. Ferroelectric Materials
27.0.1 Definitions and Background Ferroelectric materials offer a very wide range of useful properties for the electronic engineer to exploit. As we will see, they are also a class of materials that is hard to define accurately in a single sentence. It is useful to start from the class of insulating materials that form dielectrics; in other words materials that will sustain a dielectric polarisation under the application of an electric field. There exists a set of these materials for which the crystal structure lacks a centre of symmetry. (If a crystal structure has a centre of symmetry, it means that for every atom in the structure there is a point in the unit cell through which inversion will bring one to the same type of atom.) A list of the non-centrosymmetric, or acentric, point groups is given in Table 27.1. All of the crystalline materials whose structures possess these point groups (with the exception of group 432) exhibit the phenomenon of piezoelectricity, which means that stress will generate a charge separation on the faces of
27.0.1 Definitions and Background ....... 27.0.2 Basic Ferroelectric Characteristics and Models .............................. 27.1 Ferroelectric Materials.......................... 27.1.1 Ferroelectric Oxides ................... 27.1.2 Triglycine Sulphate (TGS) ............ 27.1.3 Polymeric Ferroelectrics ............. 27.2 Ferroelectric Materials Fabrication Technology ......................................... 27.2.1 Single Crystals ........................... 27.2.2 Ceramics .................................. 27.2.3 Thick Films ............................... 27.2.4 Thin Films ................................ 27.3 Ferroelectric Applications ..................... 27.3.1 Dielectrics ................................ 27.3.2 Computer Memories .................. 27.3.3 Piezoelectrics............................ 27.3.4 Pyroelectrics ............................. References ..................................................
597 599 601 601 607 607 608 608 609 613 613 616 616 616 617 620 622
affect those of the devices in which they are exploited.
the crystal (the direct piezoelectric effect) and will undergo mechanical strain when subjected to an electric field (the converse piezoelectric effect). Both effects are widely exploited in electronic devices. A well-known example of a non-centrosymmetric material is the mineral α-quartz, which is used for the piezoelectric resonators employed for frequency filtering and electronic clocks. Table 27.1 Polar and acentric (non-centrosymmetric) point
groups Crystal system
Polar (acentric)
Nonpolar (acentric)
Triclinic Monoclinic Orthorhombic Trigonal Hexagonal Tetragonal Cubic
1 2, m mm2 3, 3m 6, 6mm 4, 4mm None
222 32 6¯ , 6¯ m2 4¯ , 422, 4¯ 2m 23, 4¯ 3m, 432
Part C 27
Ferroelectric materials offer a wide range of useful properties. These include ferroelectric hysteresis (used in nonvolatile memories), high permittivities (used in capacitors), high piezoelectric effects (used in sensors, actuators and resonant wave devices such as radio-frequency filters), high pyroelectric coefficients (used in infra-red detectors), strong electro-optic effects (used in optical switches) and anomalous temperature coefficients of resistivity (used in electric-motor overloadprotection circuits). In addition, ferroelectrics can be made in a wide variety of forms, including ceramics, single crystals, polymers and thin films – increasing their exploitability. This chapter gives an account of the basic theories behind the ferroelectric effect and the main ferroelectric material classes, discussing how their properties are related to their composition and the different ways they are made. Finally, it reviews the major applications for this class of materials, relating the ways in which their key functional properties
602
Part C
Materials for Electronics
Table 27.2 List of cations frequently found to form
perovskite-structured oxides and their ionic radii. Ionic radii taken from [27.5]
Part C 27.1
A-site cation
Ionic radius when [12]a by O2− (Å)
B-site cation
Ionic radius when [6]b by O2− (Å)
Na+ K+ Ba2+ Sr2+ Pb2+ Bi3+ Ca2+
1.32 1.6 1.6 1.44 1.49 1.11 1.35
Nb5+ Ta5+ Zr4+ Ti4+ Pb4+ Sc3+ Fe3+
0.64 0.68 0.72 0.605 0.775 0.73 0.645
a
“12-fold coordinated”; b “6-fold coordinated”
defined: rA + rO t=√ , 2(rB + rO ) where rX is the ionic radius of the X cation. The ideal cubic perovskite structure, where the ions are just touching each other, will possess t = 1. However, the structure will be stable with 0.85 < t < 1.05. A list of ions that commonly form perovskites, together with their ionic radii, is given in Table 27.2. The closer t is to unity, the more likely the structure will be to be cubic. Conversely, perovskites which have values of t < 1 show distorted structures that are frequently ferroelectric. Examples of some perovskites and their tolerance factors are listed in Table 27.3. This table also lists the structures formed by the compounds at room temperature, and whether or not they are ferroelectric. Some of the most interesting perovskites from the point of view of applications are BaTiO3 , PbTiO3 and KNbO3 . BaTiO3 is cubic above 135 ◦ C, but transforms to a tetragonal fer-
roelectric structure below this temperature. In this case, the Ba and Ti ions are displaced relative to the anion framework along one of the cubic 001 directions. This means that the polar axis has six choices for direction in the tetragonal phase. At 5 ◦ C there is a second-phase transition from the tetragonal to an orthorhombic phase, where the polarisation now appears due to cation displacements along one of the cubic 110 directions, for which there are 12 choices. Finally, at −90 ◦ C there is a transition to a rhombohedral phase with the cations being displaced along one of the cubic 111 directions, for which there are eight choices. In the case of PbTiO3 there is a single transition to a tetragonal phase at 490 ◦ C, again by cationic displacements along 100. Some perovskites show phase transitions that are not ferroelectric. For example, SrTiO3 shows a transition to a tetragonal phase at 110 K which involves linked rotations, or tilts, of the TiO6 octahedra about the cubic [100] direction. Tilting of the octahedra is a common feature of the phase transitions that occur in perovskites and can lead to very complex series of phase transitions, as has been observed for NaNbO3 . This type of structural modification and a commonly-used notation for it has been described in detail by Glazer [1972]. It is very easy to make solid solutions of the endmember perovskites, such as those listed in Table 27.3, and this has been used to great effect to provide materials with a wide range of properties. For example, Fig. 27.6 shows the temperature dependence of the relative permittivity of a BaTiO3 single crystal [27.6]. There is a peak at each transition where the value perpendicular to the polar axis reaches several thousand, making the material interesting for use in capacitor dielectrics. However, the temperature variation in such a material would make it useless. The formation of ceramic solid solutions of BaTiO3 with SrTiO3 , CaTiO3 or PbTiO3 allows the temperature dependence of permittivity to be controlled
Table 27.3 Some end-member perovskites and their properties Perovskite oxide
Tolerance factor
Structure at 20 ◦ C
Type
BaTiO3 SrTiO3 CaTiO3 PbTiO3 PbZrO3 NaNbO3 KNbO3 KTaO3 BiScO3 BiFeO3
1.06 1.00 0.97 1.02 0.96 0.94 1.04 1.02 0.83 0.87
Tetragonal Cubic Tetragonal Tetragonal Orthorhombic Monoclinic Tetragonal Cubic Rhombohedral Tetragonal
Ferroelectric Paraelectric Paraelectric Ferroelectric Antiferroelectric Ferroelectric Ferroelectric Ferroelectric Ferroelectric Ferroelectric
TC (◦ C) 135
490 235 −200 412 −260 370 850
608
Part C
Materials for Electronics
Part C 27.2
that the molecule has a net dipole moment perpendicular to its length. However, the molecules of polymer arrange themselves in the unit cell so that the dipoles cancel each other out. Form II is neither ferroelectric nor piezoelectric, but application of an electric field will convert it into form IIP , also called the δ-phase, in which the polymer molecules are arranged so that the unit cell has a net dipole moment. High-temperature annealing of either of these forms will produce form III (the γ -phase), which has a new TGTTTGTT configuration which also has a net dipole moment perpendicular to the long axis of the molecule and these arrange in a crystal structure which is also polar. Subjecting forms II or III to stretching or drawing will produce form I (the β-phase), which is an all-trans configuration (Fig. 27.12). It can be seen from Fig. 27.12 that of the three molecular configurations, the polar bonds all point most-nearly in the same direction and this is retained in the unit cell. Electrical poling makes this the moststrongly piezoelectric phase of PVDF. The stretching can be in a single direction, called uniaxial and usually achieved by drawing through rollers, or in two perpendicular directions, called biaxial and usually achieved
by inflating a tube of polymer. Strong electric fields are needed for poling and these are frequently applied by placing the polymer under a corona discharge. The formation of a copolymer of vinylidene fluoride with between 10% and 46% trifluoroethylene (TrFE) leads to a polymer which will crystallise directly into form I (the β-phase) from either melt or solution. This can be poled to produce a material which is as active as pure PVDF. The copolymers also show clear signs of a ferroelectric-to-paraelectric transition, such as exhibiting peaks in permittivity at TC , with a TC that depends on the amount of TrFE in the copolymer. Extrapolation to 0% TrFE implies a TC in PVDF of 196 ◦ C. PVDF is readily available and has achieved moderately widespread use as a piezoelectric sensor material. It is particularly useful where light weight and flexibility are important, or where very large areas or long lengths are needed. The P(VDF-TrFE) copolymers are not as readily available and are not widely used. Other polymers that have been shown to exhibit ferroelectric behaviour include the odd-numbered nylons, but these are only weakly piezoelectric and have not achieved any technological uses.
27.2 Ferroelectric Materials Fabrication Technology Ferroelectrics are used commercially in a very wide range of forms, from single crystals through polycrystalline ceramics and thin films to polymers. Hence, only a summary of the fabrication techniques can be presented here.
27.2.1 Single Crystals As has been shown above, ferroelectrics often have a wide range of complex compositions. This renders the problems of single-crystal growth much more difficult than for single-element crystals such as silicon. In many cases, the most technologically useful compositions are themselves solid solutions of complex ferroelectric end members. Frequently these do not melt congruently and sometimes one or more components of the melt will be volatile. Some of the growth techniques which are used are: Czochralski Growth Certain ferroelectric crystals melt congruently and can be pulled from the melt using the Czochralski technique. Examples include LiNbO3 and LiTaO3 . Both materials are widely used technologically. LiNbO3 is
used in surface acoustic wave (SAW) and electro-optic devices and LiTaO3 in pyroelectric infrared detectors, piezoelectric resonators, SAW and electro-optic devices. LiNbO3 melts congruently at 1240 ◦ C, but the congruently melting composition is not at the stoichiometric composition (Li : Ta = 1), but lies at around 49% Li2 O. Crystals pulled from such melts in platinum crucibles are perfectly adequate for piezoelectric and most optical applications. However, it is known that the stoichiometric composition possesses rather better optical properties than the congruent composition and there is now a premium-grade material available commercially. LiTaO3 melts congruently at 1650 ◦ C. Again, the composition is not at Li : Ta = 1, but is slightly Ta deficient. The growth temperature is above the melting point for platinum. The solution is to use crucibles made from iridium, which is very expensive. Also, IrO2 is volatile so the growth atmosphere must be N2 , which means that the crystals come out of the melt oxygen-deficient and must be embedded in LiTaO3 powder and annealed under O2 after growth to make them clear. Pt/Rh crucibles have been used to grow LiTaO3 crystals, but the Rh absorbed into the crystals makes them brown. Such crystals are acceptable for piezoelectric and pyroelectric, but not
610
Part C
Materials for Electronics
ceramics. These are used in many of the applications listed above, including as dielectrics and in piezoelectric, pyroelectric, PTCR and electro-optic devices. Many different ferroelectric ceramic fabrication technologies have been developed over the years, but they are all based around a similar fabrication sequence. The key points are discussed below. Note that as the vast majority of commercially exploited ferroelectrics are oxides, the discussion will centre around these materials.
• Part C 27.2
•
Raw-material selection: the usual starting point for a ceramic fabrication sequence is the selection of the raw materials. For oxide ferroelectrics, the raw materials are usually oxides or carbonates, but occasionally other compounds (e.g nitrates, citrates etc.) are used which will decompose when heated to form the oxides required. High purity (usually > 99.9% with respect to unwanted cations) is important for good reproducibility. Small quantities of dopants can have a major effect on the final electrical properties, as would be expected for any electronic material, but can also seriously affect the sintering characteristics and grain size of the final ceramic body. Note that high purity does not usually imply the kind of purity that would be needed for a semiconductor material. Indeed, ultra-high purity (> 99.99%) is often obtained by raw-material manufacturers by applying processes which seriously affect the reactivity of the oxide powders. A high degree of solid-state reactivity in the powders is important for the processes which follow. This is usually determined by a combination of raw-material particle size and specific surface area, although selecting the right crystallographic phase can be important. When using TiO2 , for example, it is usually found that the anatase phase is more reactive than rutile. These factors can be analysed for quality control purposes by using laser particle size analysis (taking care to break up loosely bound agglomerates by ultrasonic dispersion in water, with a dispersing agent prior to measurement), BET (Brunauer, Emmett and Teller)-specific gas absorption and X-ray powder diffraction respectively. The majority of ceramics are made by mixing together powdered raw materials, but occasionally solution mixing techniques are used and these will be discussed further below. Raw-material mixing: this is usually done in a ball mill consisting of a cylinder containing a mixture of small balls or cylinders made of steel, steatite, zircon or yttria-stabilised zirconia (YSZ). The oxide raw materials are accurately weighed into the ball mill,
•
•
together with a predetermined amount of a milling fluid (usually deionised water, but occasionally an organic fluid such as acetone). It is usual to add a dispersant to aid the breakup of agglomerates. The ball mill is then sealed and rotated to mix the ingredients. Precise milling conditions are determined by the materials being used, but the mixing time is usually of the order of a few hours. It is advantageous to use milling balls that are made of a material whose wear products will be reasonably innocuous in the final ceramic. For this reason, YSZ balls are preferred, as small amounts of iron and silica contaminants can have unwanted or deleterious effects on the properties of many ferroelectric ceramics. For similar reasons, the ball mills are frequently rubber lined. Many variations on this process have been explored. High-energy ball milling is receiving considerable attention. In this process, the milling balls are given very high energy by either aggressively vibrating the ball mill or by stirring them at high speed with a paddle. Raw materials trapped between the balls are both comminuted and, if the energy is sufficiently high, can be forced to react together. Crystalline raw materials can be made amorphous in this process. A significant amount of energy can be stored in the powders after this process so that subsequent sintering can be undertaken at lower temperatures. In an adaptation of this process, it can be done in a continuous flow-through mill, whereby the slurry is pumped through the high-energy mill, making it less of a batch process. Drying: the slurry that results from the mixing process is dried after the milling balls are removed. On a small scale, this can be done in an oven, but on a commercial scale, this is usually achieved by spray drying. Calcination: the purpose of this process is to react the raw materials into the required crystallographic phase. The dried powders are placed into a sealed crucible (usually high-purity alumina or zirconia), which is baked in a furnace at a temperature high enough to decompose any non-oxide precursors and cause a solid-state reaction between the raw materials, but not so high as to sinter the particles and form hard agglomerates that will be difficult to break up in subsequent processing. A simple example is the reaction between BaCO3 and TiO2 to form BaTiO3 : BaCO3 + TiO2 → BaTiO3 + CO2 ↑ . This reaction will go to completion at about 600 ◦ C. Note that a much higher temperature (> 1000 ◦ C) is
612
Part C
Materials for Electronics
Part C 27.2
•
– The ceramic body must be free to move during the sintering process, as there is significant linear shrinkage (about 15 to 18%). If the body is unable to slide over the surface on which it sits, this can lead to cracking, especially for large components. One solution to this problem is to sit the body on zirconia sand. The sintering process has been very well described elsewhere. It is possible to obtain very high densities (> 98%) by careful control of the sintering conditions. For Pb-containing ferroelectric ceramics, a small excess of PbO is usually added to compensate for PbO loss by evaporation. This also tends to act as a liquid-phase-sintering aid, lubricating the grains of ceramic as they slide over each other in the sintering process, and providing a surface-tension force that pulls the ceramic grains together. The PbO also acts as a solvent, aiding the movement of the ceramic components during sintering and further densifying the ceramic. It is possible to sinter such materials to transparency, which means that there is virtually zero porosity. Very high densities can also be obtained by hot-pressing, in which the green body is placed inside a ceramic punch and die-set and raised to the sintering temperature under a pressure of about 35 MPa. Alternatively, hot isostatic pressing (HIP) can be used. In this process the pressure transmitting medium is a high-pressure gas (usually argon, but if the ceramic is Pb-containing it is important to include a few percent of oxygen to prevent reduction of the ceramic). In this case pressures of 100 MPa or more can be used. If the ceramic is presintered so that there is no open porosity, then this can be achieved without the need for any container, but if there is open porosity, the body must be encapsulated in a suitable metal container, which will usually need to be a noble metal such as Pt. Electroding: good-quality electroding is essential for all ferroelectric devices for a variety of reasons. Any low-permittivity layer between the electrode and the ferroelectric material will manifest itself in a fall-off in capacitance and an increase in loss as the frequency of measurement is increased. Poor-quality electrodes, or even the wrong type of conductive material can lead to problems with device ageing, or even an inability for the device to function as intended. Most piezoelectric materials are supplied with a fired-on silver electrode, which is a mixture of silver powder, a finely divided glass frit and a fluxing agent. Obtaining the correct balance between metal and glass contents is important, as too high
•
a proportion of metal will lead to poor electrode adhesion while too high a proportion of glass will lead to poor electrode conductivity. Such electrodes are solderable with the use of appropriate fluxes. Sputtered or evaporated metal electrodes such as Ni or Cr/Au can also be used, as can metal electrodes deposited by electroless processes (e.g. Ni). The ohmic nature of the electrode contact to the ceramic is not usually important for highly insulating materials, such as piezoelectrics, but is important for semiconducting ceramics such as PTCR BaTiO3 . In this case it is usual to use Ni electrodes, which need to be annealed after deposition to develop the ohmic contact. In some cases it is necessary to fire on the electrode at the same time as ceramic sintering (cofired electrodes). This is particularly important where the electrodes are buried in the structure, as with MLC capacitors and actuators. Clearly, there are problems to solve here in terms of the potential oxidation or melting of the electrode material. The conventional materials to use in such electrodes have been noble metals such as palladium (sometimes alloyed with silver) or platinum, which are very expensive. There have been serious efforts to develop ferroelectric compositions that can be fired with base metal electrodes such as Ni. In the case of BaTiO3 -based dielectrics, this has entailed the development of heavily acceptor-doped compositions that can stand being fired in a neutral or slightly reducing atmosphere. In the case of MLC actuators, there has recently been some success in developing piezoelectric PZT compositions that can stand being fired in such atmospheres, using Cu as the electrode material. Poling: many devices made from ferroelectric ceramics (all piezoelectric and pyroelectric devices) require poling before they will develop useful properties. This entails applying a field that is significantly in excess of the coercive field (typically 3×), usually at an elevated temperature. It is not usually necessary to exceed the Curie temperature. For example, PZT ceramics with TC in the range 230–350 ◦ C can be poled by applying 35–kV /cm (depending on the type of PZT – see below – soft PZTs need lower poling fields than hard PZTs) at about 150 ◦ C, with the field kept applied while the workpiece is cooled to room temperature. It is usual to immerse the ceramic in a heated bath of oil (mineral or silicone) during the process. One disadvantage of this is that the ceramic then needs to be carefully cleaned after poling. Silicone oil can
Ferroelectric Materials
be very hard to remove completely and its presence as a residue will compromise electrode solderability. For this reason, some workers have developed a process whereby the ceramic is poled under SF6 gas. There is a rapid decay of properties after poling. This decay stabilises after a few hours, so it usual to wait at least 24 hours before electrical properties are measured.
27.2.3 Thick Films There has been considerable interest in the integration of thick (10–50 µm thick) films of ferroelectric materials with alumina and other types of substrates such as silicon, to complement the wide range of other thickfilm processes that are available, covering conductors, dielectrics, magnetic materials etc. There are many potential advantages to thick film processing for making certain types of sensor, especially the ability to use screen-printing for depositing the patterns of the ma-
terials required. Screen-printing involves using a sheet of wire mesh (the screen) that is coated with a photosensitive polymer. Exposure and development of the polymer allows selected areas to be removed, opening regions through which a paste of the required material can be pushed using a rubber blade or squeegee. The principle is simple, but there is a considerable amount of know-how in the formulation of the paste, which consists of the active material (in this case a ferroelectric powder such as PZT), an organic vehicle (a mixture of a solvent and polymer) and a glass frit. The screen is stretched over a former, and held close to, but not in contact with, the surface onto which the print is required. The paste is placed on the screen, and then spread over the screen with the squeegee, which prints the paste onto the substrate. Successive layers of different materials can be printed and cofired, provided there is good compatibility between them. The process has been well developed for piezoelectric films and adequate properties have been obtained from the films, although they are still well below the values that could be expected from a bulk ceramic material. (See review by Dorey and Whatmore [27.26] for further details.)
27.2.4 Thin Films The integration of high-quality thin films (< 0.1–5 µm thick) of ferroelectric materials onto substrates such as silicon has excited considerable interest for potential applications ranging from nonvolatile information storage to their use as active materials in microelectro-mechanical systems (MEMS), where they can potentially be used for microsensors and actuators. Almost all the interest has been in the use of oxide ferroelectrics, but there has been some interest in the use of P(VDF-TrFE) copolymer films. These can be spun onto electroded substrates from methyl ethyl ketone solution. They are dried at relatively low temperatures (< 100 ◦ C) and crystallised by annealing at 180 ◦ C for several hours [27.27]. Such films have been applied to pyroelectric devices. However, the activity coefficients which can be obtained from such films are much lower than those that can be obtained from oxide materials. A range of deposition techniques have been developed for growing ferroelectric oxide films, which are summarised below:
•
Chemical solution deposition (CSD): this term is applied to a wide range of processes that involve taking the metal ions into metalorganic solution, which is then deposited on the substrate by spinning, fol-
613
Part C 27.2
There are many variations on the above basic process route which have been researched. One of these is the use of solution techniques to prepare the oxide powders. The basic principle here is that if the cations are mixed in solution, then they will be mixed on the interatomic scale without the need for milling processes that can introduce impurities. (This is a matter of discussion, as the act of precipitation and decomposition can lead to separation of the components.) Many routes have been explored, including the use of inorganic precursors such as nitrates and metalorganic precursors such as oxalates, citrates or alkoxides and acetates. Some of these have achieved a degree of commercial success, although the use of solution routes is more complex and the raw materials much more expensive than the mixed oxide routes. The use of mixed barium titanium oxalates has been very successful in producing high-quality fine-grained barium titanate powder for use in the capacitor industry. The use of metal citrates (frequently called the Pechini process) has been successfully used on a research scale to prepare many different types of ferroelectric oxide, but this type of process has not been applied on a commercial scale. Metal alkoxides, such as titanium isopropoxide, are readily soluble in alcohols and will react quickly with water to precipitate a hydroxide gel. Workers have used mixtures of titanium and zirconium alkoxides with lead and lanthanum acetates to coprecipitate a mixed hydroxide gel that could be calcined and sintered to make transparent lead lanthanum zirconate titanate ceramics for electro-optical applications.
27.2 Ferroelectric Materials Fabrication Technology
614
Part C
Materials for Electronics
Part C 27.2
•
lowed by drying and annealing processes to remove the volatile and organic components and convert the layer into a crystalline oxide. There are two broad classes of CSD process: metalorganic deposition (MOD) and sol gel. The MOD processes usually involve dissolving metal complexes with long-chain carboxylic acids in relatively heavy solvents such as toluene. The carbon content of the precursors is quite high, so there is a good deal of thickness shrinkage during firing. MOD solutions tend to be quite stable with time and resistant to hydrolysis. Sol-gel processes use precursors such as metal alkoxides, acetates and β-diketonates in alcohol solution. (For example, a set of precursors to deposit PZT would be Ti isopropoxide, Zr n-propoxide and lead acetate). Alkoxide precursors are very susceptible to hydrolysis, and so careful control of moisture content during the sol synthesis is essential and stabilisers such as ethylene glycol are usually added to the solutions to extend the useable lifetimes of the sols. Whereas MOD solutions are true solutions, the sols are actually stable dispersions of metal oxide/organic ligand particles with a size of about 4–6 nm. Sols possess lower viscosities and tend to produce the oxide layer at a somewhat lower temperature than the MOD processes, but the individual layer thicknesses produced by a single spin tend to be lower. Single crack-free layers tend to be in the range 100–200 nm thick. CSD processes have the advantages that they are low cost, the composition can be easily changed and they produce very smooth layers. The processes are planarising and will not follow underlying surface topology, which can be a disadvantage. Also, the processes are not industry standard in that they are wet and tend to have many variations. Metalorganic chemical vapour deposition (MOCVD): this is a variation on the process that has been very successfully applied to the growth of group III–V semiconductor layers. The principle is simple: volatile metalorganic compounds are passed over a heated substrate, where they decompose to form a layer of the desired compound. The problem with the growth of ferroelectric oxides is that most of the available metal organic precursors are relatively nonvolatile at room temperature. There has, therefore, been a great deal of research into the available precursors for the compounds that are required. Metal alkyls (such as lead tetraethyl) are very volatile, but only available for relatively few of the metal ions of interest (Pb being the main one). They are also pyrophoric and highly toxic.
•
Some metal alkoxides, such as Ti isopropoxide, are suitable MOCVD precursors. Metal β-diketonates and related compounds such as tetramethyl heptane dionates (THDs) have received considerable attention as Ba and Sr precursors. All of these precursors need to be heated to give them suitable volatility and this means that the lines connecting the precursor source to the growth chamber need to be heated as well. Some of the precursors (especially THDs) are solids, which means that they are not really suitable for use in conventional bubbler-type sources. There has been considerable success in using solutions of these compounds in tetrahydrofuran (THF). The solutions are sprayed into a vaporiser that consists of a cylinder, containing wire wool or ball bearings, heated to a temperature at which the solution will flash-evaporate. A carrier gas is passed through the cylinder and this carries the precursor vapour into the growth chamber. The growth chamber is usually held at reduced pressure and a certain amount of oxygen is introduced to aid the oxide deposition. Frequently a radio-frequency (RF) or microwave plasma is also introduced to aid the growth of a high density film and reduce the required substrate temperature. The major problem with the MOCVD process for complex ferroelectric oxides which have many cation components is finding the right combination of precursors that will all decompose at the same substrate temperature (usually ca. 550–650 ◦ C) at a rate that will give the desired composition in the film. The process has been very successful in growing thin films of materials such as (Ba, Sr)TiO3 , with potential applications in the dynamic random-access memory (DRAM). The major advantage of MOCVD is that it is a truly conformal growth technique, with major advantages for semiconductor devices with complex topologies, but is a very expensive technique to set up because of the complex growth and control systems needed. Also, precursor availability is still a problem for many systems. Sputtering: a range of sputtering processes have been applied to the growth of ferroelectric thin films, including RF magnetron sputtering, direct-current (DC) sputtering and dual ion-beam sputtering. The RF magnetron process is probably the most popular. With all the processes, the major problem is one of obtaining the correct balance of cations in the growing film. Many different solutions have been found to this problem. In reactive sputtering, a composite metal target can be used. This can be made of segments of the metals to be sputtered (for exam-
Ferroelectric Materials
In all the techniques used for the growth of ferroelectric oxide thin films, the key issues are control of composition and the formation of the desired crystalline phase (usually perovskite) with the desired crystallinity (crystallite size, morphology and orientation). All of the ferroelectric perovskites have a tendency to crystallise into a non-ferroelectric fluorite-like pyrochlore phase at low temperatures. In the case of the CSD processes, this means that as the film is heated from room temperature, after it loses the organic components, it first forms an amorphous oxide which then crystallises into a nanocrystalline pyrochlore phase, finally forming the desired perovskite phase. The temperatures at which this will occur depend very much on the ferroelectric oxide that is being grown and the precise composition. In the case of PZT, the pyrochlore phase will form above about 300–350 ◦ C. The perovskite phase will start to form above about 420 ◦ C, depending upon the ratio of Zr : Ti in the solid solution. The compositions close to PbTiO3 will crystallise into perovskite much more readily than those close to PbZrO3 . In the case of a complex perovskite, such as Pb(Mg1/3 Nb2/3 )O3 or PbSc1/2 Ta1/2 O3 , the pyrochlore phase is much more stable and much higher temperatures (> 550 ◦ C) are needed to convert it to perovskite. Excesses of PbO will tend to favour the formation of perovskite, and deficiencies favour pyrochlore. Higher annealing temperatures will promote PbO loss and it is possible to get into a position, through PbO loss, where the pyrochlore becomes the most stable phase, even at high annealing temperatures. Residual pyrochlore phase invariably compromises the electrical properties of the films through reduced permittivity and piezoelectric/pyroelectric coefficients. The other growth techniques have the advantage that the films can be deposited onto heated substrates, at temperatures where they will grow directly into the perovskite phase (at least in principle), although there are many examples in the literature of films being deposited (e.g. by sputtering) at low substrate temperatures and converted to the desired perovskite phase by post-deposition annealing, in which case the same problems of pyrochlore formation apply. The control of film crystallinity (crystallite orientation and size) is important as it has a direct effect on the electrical properties. This is usually achieved through control of the crystallite nucleation. Sputtered Pt is frequently used as a substrate onto which ferroelectric thin films are grown. Like many metals, this will naturally grow with a (111) preferred orientation. It is face-centred cubic (FCC), with a lattice parameter of about 3.92 Å, which matches the lattice parameters of many of the ferroelectric perovskites, which are about
615
Part C 27.2
•
ple, Pb, Zr and Ti for PZT) and their relative areas changed to obtain the right composition in the film. Alternatively, multiple targets can be used and the substrate exposed to each one for different lengths of time, or the power applied to each one can be varied. In reactive sputtering, it is necessary to have an amount of oxygen in the sputtering gas (usually Ar). It is possible to sputter ferroelectric thin films from ceramic or mixed powder targets, but it is necessary to adjust the target composition to allow for different yields for each element. In any sputtering process there are many variables to adjust to optimise the process, including the sputtering power, and RF or DC substrate bias, which will affect the ion bombardment of the growing film, the sputtering atmosphere pressure and gas mixture and the substrate temperature. All of these can affect the film growth rate, composition, crystallite size and crystalline phases that are deposited, and the stress in the growing film. For this reason, the development of a sputtering process for a complex ferroelectric oxide can be a time-consuming business, and once a set of conditions has been arrived at for one particular composition, it cannot quickly be changed to accommodate new compositions. Dual ion-beam sputtering differs from the RF and DC processes in that a much lower background pressure is used, the material is sputtered from the target using an ion beam and a second lower-energy ion beam is used to stimulate and densify the growing film. The sputtering processes have the advantage of being well accepted industrially, as they are dry and can readily coat large-area substrates. Laser ablation: this process involves bombarding a ceramic target with a pulsed, focussed laser beam, usually from an ArF excimer source. The target is held under vacuum. A plasma plume is produced and the products ablated from the target are allowed to fall on a heated substrate. The advantages of the process are that there is usually good correspondence between the composition of the target and the growing film. Relatively small ceramic targets are acceptable for the process, and it is thus a good method for getting a rapid assessment of the properties of thin films of a given material. The disadvantages of the process are that the plasma plume will only coat a relatively small area of substrate, although there are now systems which use substrate translation to coat large areas, and particles can be ablated from the target, causing defects in the growing film.
27.2 Ferroelectric Materials Fabrication Technology
616
Part C
Materials for Electronics
4 Å. This means that, with appropriate process control, it is quite possible to get a highly orientated (111) ferroelectric film on Pt, with a crystallite size of about 100 nm. Changing the underlying nucleation layer can allow other orientations to be grown. For example, the use of thin films of TiO2 or PbO on top of the Pt electrode can induce a (100) orientation. It is important to
control the nucleation density of the perovskite phase. If this is allowed to become too low, than large circular grains several microns in diameter (called rosettes) can form, which tend to induce defects at their boundaries. (Further details on thin-film ferroelectric growth techniques can be found in the book by Pas de Araujo et al. [27.28]).
27.3 Ferroelectric Applications 27.3.1 Dielectrics Part C 27.3
As noted above, BaTiO3 possesses a very high permittivity close to TC . The inclusion of selected dopants can reduce TC and optimise the properties of the material for capacitor applications. The substitution of Sr2+ for Ba2+ , for example, will reduce it, so that at about 15% substitution it will occur at about 20 ◦ C. Substitution of Zr4+ for Ti4+ has a similar effect. The use of off-valent substitutions will have an effect upon resistivity and degradation characteristics, so that substituting La3+ on the A site will reduce resistivity at low concentrations. Substituting Nb5+ on the B site at the 5% level has been shown to confer resistance to degradation. The addition of Mn to the lattice has been shown to have a positive effect on dielectric loss. Control of grain size has also been shown to have a marked effect on dielectric properties. Reducing the grain size has the effect of increasing the concentration of domain walls per unit volume of ceramic, and thus increasing the domain-wall contribution to the permittivity. A reduction in grain size also brings about an increase in the unrelieved stress on the grains, which further increases the permittivity. Once the grain size falls below about 0.5 µm, the stress on the grains reduces their tetragonality and the permittivity falls. It is also possible to use heterogeneity in the ceramic grains to flatten the curve of dielectric constant versus temperature. A range of Electronic Industries Alliance (EIA) codes have been introduced to define the variation of capacitance with temperature. The X7 temperature range, for example, is −55–+125 ◦ C, while following this with the letter R would specify a capacitance change of no more than ±15%. Other dielectrics have been developed based on PMN that have higher peak permittivities, but worse temperature characteristics, capable of meeting a Z5U specification (+22 to −56% capacitance variation over the range 10–85 ◦ C) but not much better. There has been huge progress in MLC technology, with capacitors now available with
> 100 layers of submicron thickness and capacitances ranging from a few hundred pF to 100 µF. The majority of these now have base-metal (Ni) electrodes. The fabrication of the ceramic dielectrics for these is a very complex area and a great deal of technology has been developed to service a very large MLC capacitor market (615 Bn units in 2002, worth an estimated $ 8.4 Bn).
27.3.2 Computer Memories The storage of digital information is of great interest and growing technological importance. The ability of ferroelectrics to store information via the sense of the spontaneous polarisation has made them candidates for this application ever since the advent of the electronic computer. However, it is only with the development of the techniques for the growth of ferroelectric thin films onto silicon at relatively low temperatures (see above) that their use has become a reality. There are two ways in which ferroelectrics can be used in computer memories. The first is the replacement of the dielectric layer in dynamic random-access memories (DRAMs). Here, the motivation is to exploit the high permittivities exhibited by ferroelectric oxides to reduce the area of silicon required to store a single bit of information. The favoured materials here are based on (Ba, Sr)TiO3 , while the favoured technique for thin-film growth is plasma-enhanced MOCVD because of its ability to deposit conformal coatings. No DRAM devices using these thin films have yet reached the market place. The use of the switchable polarisation for the nonvolatile storage of information has received a great deal of research. A good deal of this work has been based upon the use of PZT thin films, grown by a variety of techniques, including CSD, RF sputtering and MOCVD. Initially, there were many problems to solve, including the decay of the switchable polarisation (ca. 35 µC/cm2 ) as the number of switching cycles increased (fatigue), the tendency for the polarisation in a bit to become less easily switched with time
620
Part C
Materials for Electronics
Table 27.6 Typical values for the piezoelectric, dielectric and elastic properties of some selected piezoelectric ceramic
materials (taken from [27.31]) Material type Stress-free relative permittivity (ε33 ) Dielectric loss tangent (tan δ ) d33 kp Mechanical quality factor Q m Curie temperature (TC )
I
III
V
VI
1275
1025
2500
3250
≤ 0.006
≤ 0.004
≤ 0.025
≤ 0.025
290 0.58 ≥ 500
215 0.50 ≥ 800
495 0.63 ≥ 70
575 0.64 ≥ 65
325
325
240
180
Part C 27.3
high permittivities because the small elements usually need to drive transmission lines with significant capacitance. There are other types of piezoelectric ceramics that are used for specialist applications. Modified PbTiO3 ceramics possess very low values of d31 and tend to be used for ultrasound array applications where small cross-coupling between adjacent elements is important. They also possess much higher response to hydrostatic stress than MPB PZT ceramics. Because of this, arrays of small blocks of this type of ceramic are used in flank array sensors in submarines. As noted above, lead metaniobate ceramics are used in high-temperature applications. Table 27.6 lists the piezoelectric and other properties of some selected piezoelectric ceramics. Single crystals of PZN-PT and PMN-PT have received considerable attention because of the very high piezoelectric d33 coefficients and k33 coupling factors that can be obtained and single-crystal plates of these are now commercially available. Table 27.7 lists some of the properties that have been measured from these materials, and compares them with a commercial soft PZT ceramic. Single crystals of LiNbO3 are widely used in surface acoustic wave devices. In these, interdigitated electrodes (IDE) are applied to one polished face of a crystal. Excitation of these with an RF field will cause the generation of piezoelectrically excited Rayleigh waves, which will propagate with very little attenuation. These can be detected by further sets of IDE placed on the same face of the crystal. Such devices are widely used in signal processing and filtering applications in mobile telecommunication applications. Thin films of piezoelectric materials, excited into thickness-mode resonance, are being explored for very-high-frequency (> 1 GHz) resonant filter applications.
Units
pC/N
◦C
27.3.4 Pyroelectrics The pyroelectric effect is now widely used in uncooled detectors of long-wavelength infrared radiation (IR). The principle behind the operation of these devices is very simple. The radiation to be detected is allowed to fall upon a thin chip of the pyroelectric material. The energy absorbed causes a change in temperature and the generation of a pyroelectric charge, which will cause the flow of current in an external circuit. This can be amplified and used, for example, to switch an alarm. The basic circuit of a pyroelectric detector is shown schematically in Fig. 27.17. The field-effect transistor (FET) amplifier needs to be close to the pyroelectric element, ideally in the same package. This is because the latter has a very high output impedance and thus is very noise sensitive. The pyroelectric signal is represented in this circuit as a current source, ip . ip is proportional to the rate of change of the element temperature with time. Pyroelectric devices do not have a DC response. They only see changes in the intensity of the radiation with time. This is a major advantage in many applications, where usually there is a requirement to detect changes in the radiation coming from a scene, rather than the average or unchanging background intensity. An example of this is the requirement to detect the radiation from a person coming into the field of view of an IR detector. Pyroelectric devices have several advantages over other radiation detectors. Their response is independent of the wavelength of the incident radiation, provided there is some means to absorb the radiation. Hence, pyroelectric radiation detectors have been used across the full range of the electromagnetic spectrum, from microwaves to X-rays. Basically a single pyroelectric detector design can be used for different wavelengths of radiation, simply by equipping the package with different windows coated with filters according to
622
Part C
Materials for Electronics
Part C 27
It is inert and relatively easy to handle. It is used in many single-element detectors. Ceramic materials such as those based on modified PbZrO3 (Mod PZ) or modified PbTiO3 (Mod PT) are widely used in low-cost detectors. Note that, although their FOM are worse than LT, they are low cost and their performance is perfectly adequate for many applications. The PVDF family (represented here by a P(VDF/TrFE70/30 copolymer) have good FV , but relatively low FD values compared with the other materials listed. They tend to be used in largearea detectors because of their low permittivities, low cost and the fact that they are readily made in very thin films with low thermal mass, which is an advantage in some circumstances. They have been demonstrated in linear arrays. It is advantageous for very small-area detectors (say < 100 µm square), such as those used in arrays, for the pyroelectric material to have a rel-
atively high permittivity (a few hundred) so that the detector can have a capacitance that matches the input capacitance of the FET amplifier (usually ca. 1 pF). The ceramic materials are well suited to this application for that reason. There has been considerable research into the use in thermal imaging arrays of ferroelectrics with TC close to room temperature under an applied bias field, which will provide an induced pyroelectric effect well above the normal TC . This has been called dielectric bolometer mode of operation and the best materials researched for this have been PST and (Bax Sr1−x )TiO3 solid solutions with x ≈ 0.35. These materials have relative permittivities of > 1000 under the operational conditions and very high pyroelectric coefficients which can give an effective FD some three times greater than can be achieved using conventional pyroelectric ceramics [27.35].
References 27.1 27.2 27.3 27.4 27.5 27.6 27.7 27.8 27.9 27.10 27.11
27.12 27.13 27.14 27.15 27.16 27.17 27.18
G. Busch: Ferroelectrics 74, 267 (1987) A. F. Devonshire: Phil. Mag. 40, 1040 (1949) M. E. Lines, A. M. Glass: Principles and Applications of Ferroelectric Materials (Clarendon, Oxford 1977) V. M. Goldschmidt: Geochemistry (Oxford Univ. Press, Oxford 1958) R. D. Shannon, C. T. Prewitt: Acta Cryst. B 25, 925 (1969) W. J. Merz: Phys. Rev. 76, 1221 (1949) J. M. Herbert: Ceramic Dielectrics and Capacitors (Gordon Breach, Philadelphia 1985) B. Jaffe, W. R. Cook Jr., H. Jaffe: Piezoelectric Ceramics (Academic, New York 1971) R. W. Whatmore: Ph.D. Thesis, Cambridge University, UK (1977) R. W. Whatmore, A. M. Glazer: J. Phys. C.: Solid State Phys. 12, 1505 (1979) B. Noheda, J. A. Gonzalo, A. C. Caballero, C. Moure, D. E. Cox, G. Sirane: Ferroelectrics 237, 541 (2000) G. A. Smolenskii, V. A. Isupov, A. A. Agranovskaya, S. N. Popov: Fiz. Tverd. Tela 2, 2906 (1960) N.-H. Chan, D. M. Smyth: J. Am. Ceram. Soc. 67, 285 (1984) N.-H. Chan, R. K. Sharma, D. M. Smyth: J. Am. Ceram. Soc. 65, 168 (1981) S. C. Abrahams, E. Buehler, W. C. Hamilton, S. J. Laplaca: J. Phys. Chem. Solids 34, 521 (1973) P. B. Jamieson, S. C. Abrahams, J. L. Bernstein: J. Chem. Phys. 48, 5048 (1968) M. E. Hagerman, K. R. Poeppelmeier: Chem. Mater. 7, 602 (1995) Y. J. Ding, X. D. Mu, X. H. Gu: J. Non-Lin. Opt. Phys. Mater. 9, 21 (2000)
27.19 27.20 27.21 27.22 27.23
27.24
27.25 27.26 27.27 27.28
27.29
27.30 27.31 27.32
27.33
D. Madgy, S. F. Ahsan, D. Kest, I. Stein: Arch. Otolaryng. Head Neck Surg. 127, 47 (2001) R. W. Whatmore: Rep. Prog. Phys. 49, 1335 (1986) H. Kawai: Jpn. J. Appl. Phys. 8, 967 (1969) J. G. Bergman, J. H. McFee, G. R. Crane: Appl. Phys. Lett. 18, 203 (1971) J. A. Ghambaryan, R. Guo, R. K. Hovsepyan, A. R. Poghosyan, E. S. Vardanyan, V. G. Lazaryan: J. Optoelectron. Adv. Mater. 5, 61 (2003) A. Dabkowski, H. A. Dabkowska, J. E. Greedan, W. Ren, B. K. Mukherjee: J. Cryst. Growth 265, 204– 213 (2004) R. Clarke, R. W. Whatmore: J. Cryst. Growth 33, 29– 38 (1976) R. A. Dorey, R. W. Whatmore: J. Electroceram. 12, 19 (2004) N. Neuman, R. Köhler: Proc. SPIE 2021, 35 (1993) C. Pas de Araujo, J. F. Scott, G. W. Taylor: Ferroelectric Thin Films: Synthesis and Basic Properties (Gordon Breach, Princeton 1996) J. F. Nye: Physical Properties of Crystals, Their Representation by Tensors and Matrices, (Oxford Univ. Press, Oxford 1957) ANSI/IEEE Standard on Piezoelectricity: IEEE Trans. UFFC 43, 717 (1996) MIL-STD-1376B(SH) (1995) US Navy Military Standard for Piezoelectric Ceramics R. W. Whatmore, R. Watton: Pyroelectric Materials and Devices. In: InInfrared Detectors and Emitters: Materials and Devices, ed. by P. Capper, C. T. Elliott (Chapman Hall, New York 2000) pp. 99–148 Y. Hosono, K. Harada, T. Kobayashi, K. Itsumi, M. Izumi, Y. Yamashita, N. Ichinose: Jpn. J. Appl. Phys. 41, 7084–8 (2002)
Ferroelectric Materials
27.34
S. Sherrit, H. D. Wiederick, B. K. Mukherjee: Proc. SPIE 3037, 158 (1997)
27.35
References
623
R. W. Whatmore, P. C. Osbond, N. M. Shorrocks: Ferroelectrics 76, 351 (1987)
Part C 27
625
28. Dielectric Materials for Microelectronics
Dielectric Mat
This chapter considers the role of dielectric materials in microelectronic devices and circuits and provides a survey of the various materials employed in their fabrication. We will examine the impact of scaling on these materials, and the various materials utilized for their dielectric behavior. Extensive reviews are available on the device characteristics for the reader to consult [28.4–7]. We will primarily confine the discussion here to Si-based microelectronic circuits. Dielectric materials are an integral element of all microelectronic circuits. In addition to their primary function of electrical isolation of circuit and device components, these materials also provide useful chemical and interfacial properties. The material (and result-
28.0.1 The Scaling of Integrated Circuits. 625 28.0.2 Role of Dielectrics for ICs ............ 629 28.1 Gate Dielectrics ................................... 28.1.1 Transistor Structure ................... 28.1.2 Transistor Dielectric Requirements in View of Scaling . 28.1.3 Silicon Dioxide .......................... 28.1.4 Silicon Oxynitride: SiOx Ny ........... 28.1.5 High-κ Dielectrics .....................
630 630 630 635 641 643
28.2 Isolation Dielectrics ............................. 647 28.3 Capacitor Dielectrics............................. 28.3.1 Types of IC Memory .................... 28.3.2 Capacitor Dielectric Requirements in View of Scaling...................... 28.3.3 Dielectrics for Volatile Memory Capacitors .... 28.3.4 Dielectrics for Nonvolatile Memory .............
647 647 648 648 649
28.4 Interconnect Dielectrics........................ 651 28.4.1 Tetraethoxysilane (TEOS) ............. 651 28.4.2 Low-κ Dielectrics ...................... 651 28.5 Summary ............................................ 653 References .................................................. 653 of the survey presented here are selected from work previously published by the author [28.1–3].
ing electrical) properties of dielectrics must also be considered in the context of the thin films used in semiconductor microelectronics, as compared to bulk properties. The dimensions of these dielectric thin films are determined by the device design of the associated integrated circuit technology, and these dimensions decrease due to a calculated design process called scaling.
28.0.1 The Scaling of Integrated Circuits The ability to reduce the size of the components of integrated circuits (ICs), and therefore the circuits themselves, has resulted in substantial improvements in device and circuit speeds over the last 30 years. Equally
Part C 28
Dielectrics are an important class of thinfilm electronic materials for microelectronics. Applications include a wide swathe of device applications, including active devices such as transistors and their electrical isolation, as well as passive devices, such as capacitors. In a world dominated by Si-based device technologies, the properties of thin-film dielectric materials span several areas. Most recently, these include high-permittivity applications, such as transistor gate and capacitor dielectrics, as well as lowpermittivity materials, such as inter-level metal dielectrics, operating at switching frequencies in the gigahertz regime for the most demanding applications. This chapter provides a survey of the various dielectric material systems employed to address the very substantial challenge associated with the scaling Si-based integrated circuit technology. A synopsis of the challenge of device scaling is followed by an examination of the dielectric materials employed for transistors, device isolation, memory and interconnect technologies. This is presented in view of the industry roadmap which captures the consensus for device scaling (and the underlying economics) – the International Technology Roadmap for Semiconductors. Portions
626
Part C
Materials for Electronics
important, this calculated size reduction permits the fabrication of a higher density of circuits per unit area on semiconductor substrates. The economic implication of this scaling was captured by G. Moore more than 40 years ago [28.8].
Part C 28
Moore’s Law Moore observed that the minimum cost of manufacturing integrated circuits per component actually decreases with increasing number of IC components, and thus with greater circuit functionality and computing power. This is obviously an important economic driving force, as the ability to increase the number of circuits per unit area would lead to a lower minimum cost, and thus higher market demand and more potential profit. Moreover, Moore noted that the rate of increase in the number of components for a given circuit function roughly doubled each year in the early 1960s, and predicted that it would continue to do so through 1975. In 1975, Moore revised this estimate of doubling time to 24 months due to the anticipated complexity of circuits [28.9]. The semiconductor industry has generally confirmed (and aligned to meet) these extrapolations, often referred to as Moore’s law, over the last 30 years. The extrapolation is often analyzed in the semiconductor industry, and the doubling period, which has varied between 17 and 32 months over the life of the industry, is now roughly 23 months [28.10]. Indeed the cost per transistor has decreased from ≈ 5 $/transistor in 1965 to less than10−6 $/transistor today [28.11]. Current advanced Si IC production technology results in the fabrication of well over 500 000 000 transistors on a microprocessor chip. Technology Roadmap The contemporary industry analysis encompassing this observation is presented in the International Technology Roadmap for Semiconductors (ITRS) where the extrapolations of the future technological (and economic) requirements for the industry are annually updated [28.12]. The current scaling trends indicate that the compound annual reduction rate (CARR) in device dimensions is currently consistent with the following equation:
1
1 2T −1 , (28.1) CARR(T ) = 2 where T is the technology node cycle time measured in years. Thus in two years, the rate of reduction is −15.9%. This corresponds to a scaling factor of ≈ 0.7 × from a given technology node to the next, or a factor of
≈ 0.5 × over the time of two technology nodes. Recent reviews and predictions for the limits of scaled integrated circuit technology continue to be available [28.13, 14]. Table 28.1 provides selected scaling targets from the ITRS through 2010 [28.12]. As may be seen, the industry roadmap now segregates scaling targets among three categories: microprocessor (MPU)/applicationspecific IC (ASIC) applications, low-operational-power applications, and low-stand-by-power applications. Higher-performance technologies, such as MPU/ASIC applications, require aggressive scaling, while lowstand-by-power applications require less-aggressive scaling. A key criteria to enable these technologies is the associated power management in the on and off state, hence leakage current remains an important distinction amongst the various roadmap applications. Performance and Scaling The concomitant reduction in device dimensions, such as transistor channel length, associated with increasing the number of components per unit area has resulted in a significant increase in processing performance – the speed at which computations can be done. For example, as scaling reduces the distance that carriers must travel in a transistor channel, the response time of the transistor as a digital switch also decreases (as long as sufficient mobility is maintained). Scaling has resulted in the speeds of microprocessors increasing from 25–50 MHz clock frequencies in the early 1990s (≈ 106 transistors) to 2.2 GHz in 2003 (≈ 108 transistors) [28.15]. Current predictions suggest that 20-GHz frequencies are possible for complementary metal oxide semiconductor (CMOS)-based microprocessors incorporating 109 transistors [28.11]. The various dielectric materials associated with the components impacts the overall performance of the corresponding IC technology. In the case of transistors, the gate dielectric is integral to the performance of transistor electrical characteristics such as the drive current Id . The interconnection performance of circuit elements is influenced by the dielectric material that isolates the various metal interconnection lines through the line-to-line capacitance. Memory elements incorporate very-lowleakage dielectric materials for charge-storage purposes. The behavior of these materials with component size reduction is thus an important design consideration in IC technology. Figure 28.1 shows the dependence of the delay time as a function of technology node (scaling) associated with conventional CMOS transistor gates interconnected with metal lines that are isolated with different di-
640
Part C
Materials for Electronics
91, 98]. It has also been noted that Pb -center defects are generated for unannealed, thermally stressed and radiation-damaged MOS structures, and moreover can account for roughly 50% of the density of interface defect states (Dit ) for Si/SiO2 interfaces [28.54, 99, 100]. It has been demonstrated that the interface state density can be directly proportional to the density of Pb centers (dangling bonds) for the SiO2 /Si(111) and SiO2 /Si(100) interfaces, as seen in Fig. 28.17. Recently, further ESR work has been performed to establish the detailed structure of the defect on Si(100) and Si(111) [28.101–108].
Part C 28.1
Mitigation of Defects for Thin SiO2 Synopses of the early MOS transistor work [28.109– 111] examining the importance of the dielectric– semiconductor interface are available [28.6, 43, 112]. Indeed, the close connection between interfacial chemical behavior and electrical device performance was investigated and realized in pioneering surface science work by Law and coworkers on the reaction of gaseous species with atomically clean Ge [28.113] and Si surfaces [28.114–116]. In particular, the relative interface state (dangling-bond) density, as measured by the areal density of surface reactions with technologically important species such as H2 O, H2 , O2 , CO, CO2 , provided important clues on the control of the Si/SiO2 interface and the resultant electrical properties reported 5–10 years later. The control of the density of interface defects through the chemical reaction of species, introduced mainly through gaseous exposure at elevated temperatures, has proven to be fruitful. As noted above, early surface science work [28.114] indicated the rapid reaction of H2 with the atomically clean Si surface. By definition, the atomically clean Si surface is saturated with dangling bonds. The reaction of these bonds with H2 results in the chemical passivation of the surface – that is, the reaction of the surface to eliminate the dangling bonds and produce a H-terminated Si surface. In the context of the MOS structure [28.47, 117], it was realized early that annealing the structure in ambient H2 resulted in beneficial transconductance performance [28.118–121]. The use of anneals in forming gas (N2 : H2 of various mixtures, typically 90–95%N2 : 10–5%H2 ) were originally developed to improve electrical contacts for the gate and source/drain regions of the MOSFET. Balk and Kooi established the effect of hydrogen ambients on the reduction of fixed charge in the Al/SiO2 /Si MOS structure [28.120, 122]. Subsequent studies demonstrated that anneals of the MOS structure in hydrogenic environments, typically at
400–500 ◦ C for 30–60 min, results in the passivation of dangling bonds at the interface [28.86, 101–106, 123– 126]. Hydrogen incorporation into the bulk of SiO2 can, however, also be detrimental to dielectric performance in MOS capacitors and FETs [28.54, 127]. For example, silicon bonded to hydroxyl (silanol) species have been identified with fixed charge in the oxide, resulting in undesirable, irreversible voltage shifts. This charge induced shift is shown in Fig. 28.18 for n-type and p-type MIS diode structures from their associated capacitance–voltage response [28.1,6,7]. (An analogous threshold-voltage shift would be observed in a transistor turn-on characteristic.) More complicated effects such as negative-bias temperature instability (NBTI), where an increase of the density of fixed charge (Q f ) and interface trap (Q it ) density is noted with time upon thermal stress and/or under negative bias, has been attributed to H2 O-induced depassivation of Si dangling bonds (i. e., generation of Pb centers) at the Si/SiO2 interface [28.54,128]. Defects generated by radiation damage have also been extensively investigated [28.54, 129] as well as interactions with annealing ambients such as vacuum [28.130] or SiO [28.124]. Dielectric Breakdown and Reliability of SiO2 As noted in Sect. 28.1.2, the reliability of dielectrics is obviously an important phenomenon to control. The scaling of microelectronic devices necessarily results in increased stress on the dielectric due to the higher electric fields placed across the dielectric film. As a result, power-supply voltages are also scaled to minimize the likelihood of catastrophic (hard) breakdown, which would generally result in complete failure in the associated integrated circuit. As noted by Hori, such a catastrophic breakdown phenomenon is dependent upon the presence of defects in the dielectric, and thus requires a statistical analysis of many devices (and therefore films) to enable a reliability prediction for the dielectric layer [28.18]. Evaluation of the breakdown is often performed under the conditions of constantfield stress until a time at which breakdown is observed [called time-dependent dielectric breakdown (TDDB)]. Breakdown fields for thermally grown SiO2 with thicknesses larger than ≈ 10 nm often exceed 10 MV/cm, providing outstanding insulator prosperities for microelectronic applications. Various models have also been developed to accelerate such testing to perform reliability predictions. A concise review of these is offered in the literature as well [28.18, 28]. Defects such as film nonuniformity, bond stress, surface asperities, contam-
644
Part C
Materials for Electronics
Table 28.3 Desirable properties for high-κ gate dielectrics (65-nm node) Physical property
Value/Criteria
Electrical property
Value/Criteria
Permittivity Band gap
15–25 > 5 eV
< 1 nm < 0.03 A/cm2
Band offset
> 1.5 eV
Thermodynamic stability to 1000 ◦ C Compatibility with metal electrodes Morphology control
When in direct contact with Si channel
Equivalent oxide thickness Gate leakage current (low power) Gate leakage current (high performance) CV dispersion
Mimimized extrinsic (pinning) defects
CV hysteresis
Minimal (meV)
Resistance to interdiffusion of constituents, dopants, and capping metals Suitable for high-volume production
VT (VFB ) shift (fixed charge, defects, trapping, etc.) Channel mobility
Minimal
Suitable control for patterning after processing/annealing
Interface quality
Deposition process Etching
< 103 A/cm2 Minimal (meV)
Near SiO2 universal curve Near SiO2 ; DIT ≈ 5 × 1010 /cm2 eV
Table 28.4 Comparison of relevant properties for selected high-κ candidates. Key: mono. = monoclinic; tetrag. =
tetragonal
Part C 28.1
Material
Dielectric constant (κ)
Band gap EG (eV)
SiO2 3.9 8.9–9.0 Si3 N4 7 4.8a − 5.3 Al2 O3 9 6.7h − 8.7 d Y2 O3 11 − 15 5.6–6.1d Sc2 O3 13d 6.0d ZrO2 22d 5.5a − 5.8d HfO2 22d 5.5d − 6.0 La2 O3 30 6.0 Ta2 O5 26 4.6a TiO2 80 3.05–3.3 ZrSiO4 12d 6d − 6.5 HfSiO4 12 6.5 YAlO3 16 − 17d 7.5d HfAlO3 10e − 18g 5.5–6.4f LaAlO3 25d 5.7d SrZrO3 30d 5.5d HfSiON 12–17i,j 6.9k ∗ (γ -Al O phase) has been recently reported [28.148], ∗∗ Onset of 2 3 crystallization depends upon Al content, a [28.149], b [28.150–152], c [28.153], d [28.146], e [28.154], f [28.153], g [28.155], h [28.156], i [28.157], j [28.158], k [28.159]
The compatibility of alternative dielectrics with metal gate electrodes is also an important consideration [28.1, 3, 147]. The interfacial reactions between some gate electrode metals and gate dielectric is thought to lead to extrinsic states due to Fermi-level pinning, which shifts the threshold voltages for transistors to
∆EC (eV) to Si
Crystal structure(s) (400–1050 ◦ C)
3.2–3.5b 2.4b 2.1a − 2.8b 2.3b
amorphous amorphous amorphous∗ cubic cubic mono., tetrag., cubic mono., tetrag., cubic hexagonal, cubic orthorhombic tetrag. (rutile, anatase) tetrag. tetrag.
1.2a − 1.4b 1.5b − 1.9c 2.3b 0.3a,b ≈ 0.05b 1.5b 1.5b
∗∗
2–2.3f
∗∗ ∗∗ ∗∗
2.9k
amorphous
a fixed value. Another clear challenge is compatibility with other process steps that entail substantial thermal budgets (≈ 1000 ◦ C, ≤ 10 s) for conventional CMOS. Future scaling may well require a modification (reduction) of such thermal budget desires to incorporate metal gate electrodes in CMOS, and thus may open
Dielectric Materials for Microelectronics
28.3 Capacitor Dielectrics
647
28.2 Isolation Dielectrics ical polishing properties are also important aspects that must be addressed in the fabrication process [28.12,28]. Scaling of integrate circuits will result in a decrease of the area available for these isolation trenches, and so the increasing aspect ratio of the trench depth to width requires considerable attention in regard to trench filling. The control of the shape of the top corner regions of the trench structures is also an area of concern due to highfield reliability as well as the formation of essentially a parasitic edge transistor. Initially, thermal oxidation methods were employed to round off the shape of the corner, but further scaling will require etch processing methods. The fabrication of transistor source/drain regions utilizing implantation processes also utilizes a dielectric spacer layer that surrounds the transistor gate region (Fig. 28.6). This spacer provides isolation between the gate and source/drain regions, and also permits the control of the depth (and therefore profile) of the implanted dopant species – a so-called self-aligned dopant implantation process. Dielectrics used for spacer technologies include deposited SiO2 and Si3 N4 , and the extent of the spacer dimensions from the gate is an important device parameter to control [28.18]. Further scaling of transistor structures will likely result in the need for elevated source/drain regions, and so process compatibility of the spacer material with the source/drain formation processes and high-κ dielectrics will become an important consideration [28.12].
28.3 Capacitor Dielectrics Capacitors are employed in a variety of integrated circuits including storage (memory) circuit elements and input/output coupling circuitry. Clearly, dielectrics are critically important in this application. In contrast to the MIS structure associated with transistors, capacitors are passive devices incorporating a metal–insulator–metal (MIM) structure. Early electrodes were composed of degenerately doped Si while more recent work focuses on integrating metals for modern devices.
28.3.1 Types of IC Memory A dominant memory technology for the IC industry includes dynamic random-access memory (DRAM) in which capacitors play the essential role of storing charge,
and thereby useful information. This type of memory element requires refreshing in order to maintain useful information, and the rate of refresh is fundamentally related to the dielectric associated with the capacitor structure and leakage of charge from that capacitor. As a result, this class of memory devices is called volatile. In contrast, a static random-access memory (SRAM) nonvolatile memory element required a capacitor which stores charge without the refresh requirement, and can preserve charge for many years. Again capacitor design must include the consideration of the dielectric [28.27]. In addition to DRAM capacitors, other types of capacitors are used in the back end of the transistor flow. These devices are MIM capacitors, and they typically reside between levels of metal interconnects, and
Part C 28.3
Dielectrics are also employed to electrically isolate various regions of an integrated-circuit technology. The dominant material for these applications is SiO2 . A method commonly employed to obtain such isolation in larger-scale IC technologies (generally with gate lengths larger than 0.25 µm) is the so-called local oxidation of silicon (LOCOS) technique, where regions of Si between various components are preferentially (thermally) oxidized [28.18, 28]. Such isolation oxides are typically several hundred nanometers thick and the tapered shape of the edge of the LOCOS isolation oxide near the transistor gate dielectric and source/drain region (often referred to as the bird’s beak) is an important region to control during the device fabrication at these gate lengths. Thinning of the dielectrics in this region results in breakdown reliability concerns, as the electric fields in this region can be quite high. A reoxidation process is often performed to improve the thickness and reliability of the SiO2 in this region as well. Scaling ICs beyond this gate-length regime however has required the placement of isolated regions utilizing deposited SiO2 trench structures, as seen in Fig. 28.6. As summarized by Wolf, extensive work has been done to control the shape of the trench walls and the SiO2 layer initially formed on these walls. Chemical vapor deposition methods are normally utilized for the dielectric deposition as this approach provides superior conformality in the trench structure. Filling the trench without void formation, controlling film stress, and chemical mechan-
Dielectric Materials for Microelectronics
rent density of less than 0.1 µA/cm2 so that less than ≈ 10% of the capacitor charge is lost during the associated refresh (recharge) cycle. Scaling the DRAM cell size has resulted in the consideration of a variety of dielectric materials [28.12, 27, 28].
Al2 O3 Aluminium oxide exhibits a dielectric constant of κ ≈ 9 and a significant band gap of 8.7 eV, as noted in Table 28.4. However, the rate of capacitor scaling and the charge storage per cell appears to require a dielectric constant significantly larger than this value. As a result, substantial research and development was also conducted to incorporate tantalum pentoxide into DRAM capacitor dielectrics. According to the 2003 ITRS, Al2 O3 will be utilized for DRAM capacitor structures to the 45-nm node. Ta2 O5 Amorphous tantalum pentoxide provides a dielectric constant of κ ≈ 25 with a concomitantly smaller band gap (≈ 4.4 eV). Ta2 O5 films are often deposited by CVD processes for conformality in stacked or trench capacitor structures. Metal–insulator–metal structures are required to preserve the maximum capacitance density, as reactions with polysilicon results in the formation of a thin SiO2 layer as discussed above in connection with gate dielectric materials. Moreover, the use of MIM structures permits the possibility of a Ta2 O5 crystalline microstructure, which enables a κ ≈ 50. Chaneliere et al. has summarized the research and development associated with Ta2 O5 films [28.179]. According to the 2003 ITRS, Ta2 O5 will also be utilized for DRAM capacitor structures to the 45-nm node.
649
Barium Strontium Titanate (BST) Considerable effort has also been exerted in the search for CMOS-compatible “ultra high-κ” dielectrics. Such materials are envisioned to be required for scaling at and beyond the 45-nm node [28.12]. CVD BST films have been a focus of these efforts in the recent past, with a dielectric constant of k ≈ 250. The movement of the Ti atom (ion) in the BST lattice structure results in a substantial contribution to the polarization of this materials system. Utilization of this material has required the use of noble-metal electrodes including Pt, Ru (RuO2 ) and Ir to control interfacial reactions. As a result, considerable process complexity is introduced into the manufacturing process. Alternative Dielectric Materials We also note that recent research on alternative gate dielectric materials, such as HfO2 and HfSiO, has also rekindled interest in these materials for capacitor applications. The prospect of better interfacial oxide formation control has been one motivating factor, although substantial further work is still required.
28.3.4 Dielectrics for Nonvolatile Memory As the name implies, nonvolatile memory devices retain their state whether power is applied to the device or not. A thorough description of such devices is provided by Hori [28.18]. For example, the electrically erasable programmable read-only memory (EEPROM) device requires an erase operation prior to programming (writing) new data to the device. To accomplish this, a stackedgate MOS structure is utilized where the intermediate gate is embedded in a dielectric – a so-called floating gate. The placement of a higher electric field to permit Fowler–Nordheim tunneling through such dielectrics to the floating gate is utilized to program arrays of these elements – called flash memory. Scaling and the reliability required for such nonvolatile memory devices has required the evolution from using SiO2 to SiOx N y . SiO2 and SiOx Ny For flash memory elements, the formation of nitrided SiO2 enables a suitable dielectric for reliable operation. The nitridation process, as described earlier, entails the exposure of an SiO2 dielectric to anneals with N2 , NH3 , or N2 O (or combinations of these), often under rapid thermal annealing conditions. Details of this process have been summarized by Hori [28.18]. Subsequent reoxidation of the dielectric is also employed to improve reliability properties.
Part C 28.3
SiO2 and SiOx Ny The earliest DRAM planar capacitors utilized SiO2 as the dielectric. Subsequent scaling into the Mbit regime required an increased capacitance density, and thus a higher dielectric constant. So-called oxide/nitride (ON) or oxide/nitride/oxide (ONO) dielectric stacks were incorporated [28.18]. As the names imply, the dielectric consisted of a stack of SiO2 and Si3 N4 layers. The incorporation of the Si nitride layer, typically by a deposition method such as chemical vapor deposition, results in an overall increase in the dielectric constant of the stack. Scaling the stacked capacitors has required the development of alternative dielectrics (Al2 O3 and Ta2 O5 ) at the 130-nm node. Nevertheless, according to the 2003 ITRS, Si3 N4 will be utilized for DRAM capacitor structures to the 45-nm node.
28.3 Capacitor Dielectrics
Dielectric Materials for Microelectronics
positions results in substantial distortion and therefore polarization [28.26, 181].
28.4 Interconnect Dielectrics
651
the remnant polarization [28.183–185]. Barriers for hydrogen permeation into the ferroelectric are an area of investigation as well.
Issues for Ferroelectric Materials. Substantial research
Phase-Change Memory An alternative to charge-storage devices, based upon a controlled phase change, is also now under consideration for scaled integrated circuits [28.186]. Chalcogenides, such as GeSbTe, have been utilized in compact-disk memory storage technology. In that technology, a laser heats a small volume of the material, resulting in a phase change between crystalline and amorphous states, which obviously changes the reflectivity of the exposed region. For the IC application, an electric current is passed through these materials to accomplish the phase-change effect, dramatically altering the resistance of the region. This utilization of alternative (non-dielectric) material is another example of new directions under consideration for IC scaling.
28.4 Interconnect Dielectrics As noted in Fig. 28.1, the performance of the integrated circuit, as measured by the time delay for signal propagation, also depends upon the interconnections between circuit elements. The scaling of CMOS has resulted in a substantial increase in interconnect metal lines throughout the IC chip, which make a major contribution to the delay time. The industry segments these levels into local (interconnection between neighboring devices), intermediate (metal 1 interconnection between neighboring circuits), and global (interconnection across the chip), as shown in Fig. 28.28. A cross section of a contemporary 65-nm-node IC is shown in Fig. 28.29 where eight layers of metallization and the associated dielectric isolation are evident. As discussed in Sect. 28.0.1, the RC time delay for the interconnect contribution to performance can be attributed to the metal lines and their isolation dielectrics (see Fig. 28.1). The resistivity of the lines has been reduced in the industry by recently adopting copper metallization processes in lieu of aluminium metallization in 1998. Further reductions in the delay time then require the consideration of the dielectric between the lines, as these essentially form a parasitic capacitor structure, and therefore low-κ dielectrics are required. It is noted that for the global interconnect level, new concepts such as RF or optical communication, will likely be needed for continued CMOS scaling.
28.4.1 Tetraethoxysilane (TEOS) For many years, CVD-deposited SiO2 provided adequate isolation for interconnection of ICs. This was frequently accomplished through the deposition of tetraethoxysilane (TEOS) and subsequent densification thermal treatments to render a dielectric constant of κ ≈ 4. Films produced in this manner were relatively easy to process and provided good mechanical strength. The incorporation of fluorine into these films [fluorinated silicate glass or (FSG)] succeeded in a reduction of the dielectric constant to κ ≈ 3.5–3.7 after considerable efforts. As F is among the most electronegative elements, the incorporation of F into the silica matrix renders the film less polarizable due to Si−F bond formation, and therefore results in a lower permittivity. Scaling CMOS however has driven the industry to consider interconnect dielectric materials with κ 4.
28.4.2 Low-κ Dielectrics As seen in Figures 28.28 and 28.29, several levels of dielectrics must be incorporated with the metallization schemes associated with ICs. As can be seen, dielectrics utilized in this back end of (fabrication) line (BEOL) portion of the IC fabrication process are also segmented into pre-, inter- and intra-metal dielectrics. Addition-
Part C 28.4
is underway to understand the reliability issues associated with ferroelectric memory devices. The retention of the polarization state is one area of investigation. It is observed that the polarization state decreases slowly over time (log-time decay behavior), even in the absence of an electric field, and the reasons are still poorly understood. Another area of concern is imprint, where a polarization state, if repeatedly poled to the same state, becomes preferred. Subsequent switching to the opposite state can result in relatively poor retention times. Again, the physical mechanism associated with this phenomenon is poorly understood. The role of hydrogen exposure is another area of investigation, where exposure of ferroelectric random-access memory (FeRAM) elements to hydrogen, commonly from forming gas during CMOS back-end processing, can result in the suppression of
Dielectric Materials for Microelectronics
for effective power dissipation in high-performance applications. According to the ITRS roadmap, deposited silicon oxides are envisioned to be employed for pre-metal dielectrics through the 45-nm node (≈ 2012). The introduction of Ni–silicide contacts, metal gate electrodes and high-κ dielectrics will certainly have an impact on the development of alternatives to these deposited oxides. Methyl/hydrogen silsesquioxane (MSQ/HSQ) appear to be under consideration for further development in this regard.
References
653
For inter/intra-metal dielectrics, a wide array of materials are envisioned, as seen in Table 28.5. Both FSG and organo-silicate glasses (OSG) are envisioned to address the requirements of these interconnect dielectrics to the 45-nm node. Thereafter, alternative materials (κ < 2.8) mentioned in Table 28.5 will need to be developed. Patterning (hard mask) and etch-stop dielectrics will continue to employ Si-oxides, Si-nitrides, Sioxycarbides, and Si-carbonitrides to the 45-nm node. Thereafter, alternative materials for patterning may be required.
28.5 Summary Recent years and roadmap predictions clearly place an emphasis on the development of new materials for the various dielectrics employed to achieve scaling. Researchers and technologists engaged in this endeavor must be able to span several disciplines to enable the successful integration of these new dielectric materials.
References 28.1 28.2 28.3 28.4 28.5 28.6 28.7 28.8 28.9 28.10 28.11 28.12 28.13 28.14
G. Wilk, R. M. Wallace, J. M. Anthony: J. Appl. Phys. 89(10), 5243 (2001) R. M. Wallace, G. Wilk: Critical Rev. Solid State Mater. Sci. 28, 231 (2003) R. M. Wallace: Appl. Surf. Sci. 231-232, 543 (2004) H.-S. P. Wong: ULSI Devices, ed. by C. Y. Chang, S. M. Sze (Wiley, New York 2000) Chap. 3 S. Wolf: Silicon Processing for the VLSI Era, Vol. 3 (Lattice, Sunset Beach 1995) E. Nicollian, J. Brews: MOS Physics and Technology (Wiley, New York 1982) S. M. Sze: Physics of Semiconductor Devices, 2nd edn. (Wiley, New York 1981) G. Moore: Electronics 38, 8 (1965). Also see: http://www.intel.com/labs/index.htm G. Moore: Tech. Dig. Int. Electron. Dev. Meet (IEEE, Washington, D.C. 1975) p. 11 P. E. Ross: IEEE Spectrum 40(12), 30 (2003) Intel: Expanding Moore’s Law; see: http://www. intel.com/labs/index.htm (2002) ITRS, see: http://public.itrs.net/ (2003) H. Iwai: Microelec. Eng. 48, 7 (1999) H. Iwai, H. S. Monose, S.-I. Ohmi: The Physics and Chemistry of SiO2 and the Si−SiO2 Interface, Vol. 4, ed. by H. Z. Massoud, I. J. R. Baumvol, M. Hirose, E. H. Poindexter (The Electrochemical Society, Pennington 2000) p. 1
28.15
28.16 28.17 28.18
28.19 28.20 28.21
28.22 28.23 28.24 28.25 28.26
G. Moore: No Exponential is Forever ... But We Can Delay “Forever”, keynote address at Int. Solids State Circuits Conference. See: http://www.intel.com/labs/eml/doc.htm (2003) S. C. Sun: IEEE Tech. Dig. Int. Electron. Dev. Meet. Washington, DC, 765 (1997) M. T. Bohr: IEEE Tech. Dig. Int. Electron. Dev. Meet. Washington, DC, 241 (1995) T. Hori: Gate Dielectrics and MOS ULSI’s, Series in Electronics and Photonics, Vol. 34 (Springer, Berlin 1997) A. Chatterjee, M. Rodder, I-C. Chen: IEEE Trans. Electron. Dev. 45, 1246 (1998) I-C. Chen, W. Liu: ULSI Devices, ed. by C. Y. Chang, S. M. Sze (Wiley, New York 2000) Chap. 10 R. Dennard, F. Gaensslen, H-N. Yu, V. L. Rideout, E. Bassous, A. R. LeBlanc: J. Solid State Circuits SC-9, 256 (1974) R. Dennard, F. Gaensslen, E. Walker, P. Cook: J. Solid State Circuits SC-14, 247 (1979) D. Frank, R. H. Dennard, E. Nowak, P. M. Solomon, Y. Taur, H.-S. P. Wong: Proc. IEEE 89, 259 (2001) S. Thompson, P. Packan, M. Bohr: Intel Technol. J. Q 3, 223–225 (1998) S. O. Kasap: Principles of Electrical Engineering Materials and Devices (McGraw–Hill, New York 2002) R. Ramesh: Thin Film Ferroelectric Materials and Devices (Kluwer, Boston 1997)
Part C 28
It should be evident that IC technology is critically dependent upon suitable dielectrics throughout the entire chip. Materials properties, and their resultant electrical properties, must be carefully evaluated throughout the research and development process associated with integrated circuit technology.
654
Part C
Materials for Electronics
28.27 28.28 28.29 28.30 28.31 28.32 28.33 28.34
28.35
28.36
Part C 28
28.37 28.38
28.39
28.40 28.41 28.42 28.43
28.44 28.45
28.46
28.47 28.48
28.49
28.50
D.-S. Yoon, J. S. Roh, H. K. Baik, S.-M. Lee: Crit. Rev. Solid State Mater. Sci. 27, 143 (2002) S. Wolf: Silicon Processing for the VLSI Era, Vol. 4 (Lattice, Sunset Beach 2002) S. Wolf: Silicon Processing for the VLSI Era, Vol. 1 (Lattice, Sunset Beach 1986) S. Wolf: Silicon Processing for the VLSI Era, Vol. 2 (Lattice, Sunset Beach 1990) B. Bivari: IEEE Tech. Dig. Int. Electron. Dev. Meet., 555 (1996) S. Banerjee, B. Streetman: ULSI Devices, ed. by C. Y. Chang, S. M. Sze (Wiley, New York 2000) Chap. 4 R. Rios, N. D. Arora: IEEE Tech. Dig. Int. Electron. Dev. Meet. San Francisco, 613 (1994) A. C. Diebold, D. Venables, Y. Chabal, D. Muller, M. Weldon, E. Garfunkel: Mater. Sci. Semicond. Proc. 2, 104 (1999) Z. H. Lu, J. P. McCaffrey, B. Brar, G. D. Wilk, R. M. Wallace, L. C. Feldman, S. P. Tay: Appl. Phys. Lett. 71, 2764 (1997) Y.-C. King, C. Hu, H. Fujioka, S. Kamohara: Appl. Phys. Lett. 72, 3476 (1998) K. Yang, Y.-C. King, C. Hu: Symp. VLSI Tech. Tech. Dig. Papers, Kyoto, Japan, 77 (1999) C. Y. Wong, J. Y. Sun, Y. Taur, C. S. Oh, R. Angelucci, B. Davari: IEEE Tech. Dig. Int. Electron. Dev. Meet. San Francisco, 238 (1988) E. M. Vogel, K. Z. Ahmed, B. Hornung, W. K. Henson, P. K. McLarty, G. Lucovsky, J. R. Hauser, J. J. Wortman: IEEE Trans. Electron. Dev. 45, 1350 (1998) M. L. Green, E. P. Gusev, R. Degraeve, E. L. Garfunkel: J. Appl. Phys. 90, 2057 (2001) D. K. Schroder: Semiconductor Material and Device Characterization, 2nd edn. (Wiley, New York 1998) R. Hummel: Electronic Properties of Materials, 2nd edn. (Springer, New York 1993) W. R. Runyan, K. E. Bean: Semiconductor Integrated Circuit Processing Technology (AddisonWesley, New York 1990) S. T. Pantilides: The Physics of SiO and its Interfaces (Pergamon, New York 1978) G. Lucovsky, S. T. Pantilides, F. L. Galeener: The Physics of MOS Insulators (Pergamon, New York 1980) C. R. Helms, B. E. Deal: The Physics and Chemistry of SiO and the Si−SiO Interface (Plenum, New York 1988) P. Balk (ed): The Si−SiO2 System, Mater. Sci. Monogr. (Elsevier, New York 1988) p. 32 C. R. Helms, B. E. Deal: The Physics and Chemistry of SiO and the Si−SiO Interface, 2 (Plenum, New York 1993) H. Z. Massoud, E. H. Poindexter, C. R. Helms: The Physics of SiO and its Interfaces – 3, Vol. 96-1 (Electrochemical Society, Pennington 1996) R. A. B. Devine: The Physics and Technology of Amorphous SiO (Plenum, New York 1988) p. 2
28.51
28.52
28.53
28.54 28.55
28.56
28.57 28.58 28.59 28.60 28.61 28.62 28.63 28.64 28.65 28.66
28.67 28.68 28.69
28.70 28.71 28.72 28.73 28.74
28.75
E. Garfunkel, E. Gusev, A. Vul’: Fundamental Aspects of Ultrathin Dielectrics on Si-based Devices, NATO Science Series, Vol. 3/47 (Kluwer, Nowell 1998) R. A. B. Devine, J.-P. Duraud, E. Dooryhee: Structure and Imperfections in Amorphous and Crystalline Silicon Dioxide (Wiley, New York 2000) H. Z. Massoud, I. J. R. Baumvol, M. Hirose, E. H. Poindexter: The Physics of SiO and its Interfaces – 4, Vol. PV2000-2 (Electrochemical Society, Pennington 2000) C. R. Helms, E. H. Poindexter: Rep. Prog. Phys. 57, 791 (1994) G. Dolino: Structure and Imperfections in Amorphous and Crystalline Silicon Dioxide, ed. by R. A. B. Devine, J.-P. Duraud, E. Dooryhee (Wiley, New York 2000) Chap. 2 L. W. Hobbs, C. E. Jesurum, B. Berger: Structure and Imperfections in Amorphous and Crystalline Silicon Dioxide, ed. by R. A. B. Devine, J.-P. Duraud, E. Dooryhee (Wiley, New York 2000) Chap. 1 A. Bongiorno, A. Pasquarello: Appl. Phys. Lett. 83, 1417 (2003) F. Mauri, A. Pasquarello, B. G. Pfrommer, Y.G. Yoon, S. G. Louie: Phys. Rev. B 62, R4786 (2000) W. H. Zachariasen: J. Am. Chem. Soc. 54, 3841 (1932) P. Balk: J. Nanocryst. Sol. 187, 1–9 (1995) F. J. Grunthaner, P. J. Grunthaner: Mater. Sci. Rep. 1, 65 (1986) A. Bongiorno, A. Pasquarello: Mater. Sci. Eng. B 96, 102 (2002) A. Stirling, A. Pasquerello: Phys. Rev. B 66, 24521 (2002) G. Hollinger, F. R. Himpsel: Phys. Rev. B 28, 3651 (1983) G. Hollinger, F. R. Himpsel: Appl. Phys. Lett. 44, 93 (1984) F. J. Himpsel, F. R. McFeely, A. Taleb-Ibrahimi, J. A. Yarmoff, G. Hollinger: Phys. Rev. B 38, 6084 (1988) T. Hattori: Crit. Rev. Solid State Mater. Sci. 20, 339 (1995) F. Rochet, Ch. Poncey, G. Dufour, H. Roulet, C. Guillot, F. Sirotti: J. Non-Cryst. Solids 216, 148 (1997) J. H. Oh, H. W. Yeom, Y. Hagimoto, K. Ono, M. Oshima, N. Hirashita, M. Nywa, A. Toriumi, A. Kakizaki: Phys. Rev. B 63, 205310 (2001) D. A. Muller, T. Sorsch, S. Moccio, F. H. Baumann, K. Evans-Lutterodt, G. Timp: Nature 399, 758 (1999) I. J. R. Baumvol: Surf. Sci. Rep. 36, 1 (1999) J. J. Lander, J. Morrison: J. Appl. Phys. 33, 2089 (1962) F. W. Smith, G. Ghidini: J. Electrochem. Soc. 129, 1300 (1982) K. Wurm, R. Kliese, Y. Hong, B. Röttger, Y. Wei, H. Neddermeyer, I. S. T. Tsong: Phys. Rev. B 50, 1567 (1994) J. Seiple, J. P. Pelz: Phys. Rev. Lett. 73, 999 (1994)
Dielectric Materials for Microelectronics
28.76
28.102 K. L. Brower: Phys. Rev. B 42, 3444 (1990) 28.103 A. Stesmans, V. V. Afanas’ev: J. Appl. Phys. 83, 2449 (1998) 28.104 A. Stesmans, V. V. Afanas’ev: J. Phys. Condens. Matter 10, L19 (1998) 28.105 A. Stesmans, V. V. Afanas’ev: Micro. Eng. 48, 116 (1999) 28.106 A. Stesmans, B. Nouwen, V. Afanas’ev: Phys. Rev. B 58, 15801 (1998) 28.107 E. H. Poindexter, P. J. Caplan: Insulating Films on Semiconductors, ed. by M. Schulz, G. Pensl (Springer, Berlin, Heidelberg 1981) p. 150 28.108 M. Shulz, G. Pensl: Insulating Films on Semiconductors (Springer, New York 1981) 28.109 W. H. Brattain, J. Bardeen: Bell Syst. Tech. J. 13, 1 (1953) 28.110 W. L. Brown: Phys. Rev. 91, 518–527 (1953) 28.111 E. N. Clarke: Phys. Rev. 91, 756 (1953) 28.112 H. R. Huff: J. Electrochem. Soc. 149, S35 (2002) 28.113 J. T. Law: J. Phys. Chem. 59, 67 (1955) 28.114 J. T. Law, E. E. Francois: J. Phys. Chem. 60, 353 (1956) 28.115 J. T. Law: J. Phys. Chem. 61, 1200 (1957) 28.116 J. T. Law: J. Appl. Phys. 32, 600 (1961) 28.117 P. Balk: Microelectron. Eng. 48, 3 (1999) 28.118 E. Kooi: Philips Res. Rep. 20, 578 (1965) 28.119 P. Balk: Electrochem. Soc. Ext. Abstracts 14(109), 237 (1965) 28.120 P. Balk: Electrochem. Soc. Ext. Abs. 14(111), 29 (1965) 28.121 P. Balk: J. Electrochem. Soc. 112, 185C (1965d) 28.122 E. Kooi: Philips Res. Rep. 21, 477 (1966) 28.123 A. Stesmans: Appl. Phys. Lett. 68, 2076 (1996) 28.124 A. Stesmans, V. Afanas’ev: Micro. Eng. 36, 201 (1997) 28.125 K. L. Brower, S. M. Myers: Appl. Phys. Lett. 57, 162 (1999) 28.126 A. Stesmans: Phys. Rev. B 48, 2418 (1993) 28.127 A. G. Revesz: J. Electrochem. Soc. 126, 122 (1979) 28.128 G. J. Gerardi, E. H. Poindexter: J. Electronchem. Soc. 136, 588 (1989) 28.129 T. R. Oldham, F. B. McLean, H. E. Jr. Boesch, J. M. McGarrity: Semicond. Sci. Technol. 4, 986 (1989) 28.130 A. Stesmans, V. Afanas’ev: Phys. Rev. B 54, 11129 (1996) 28.131 E. Wu, B. Linder, J. Stathis, W. Lai: IEEE Tech. Dig. Int. Electron. Dev. Meet. Washington, DC, 919 (2003) 28.132 D. A. Buchanan: IBM J. Res. Devel. 43, 245 (1999) 28.133 Y. Wu, G. Lucovsky, Y.-M. Lee: IEEE Trans. Electron. Dev. 47, 1361 (2000) 28.134 M. Hillert, S. Jonsson, B. Sundman: Z. Metallkd. 83, 648 (1992) 28.135 D. M. Brown, P. V. Gray, F. K. Heumann, H. R. Philipp, E. A. Taft: J. Electrochem. Soc. 115, 311 (1968) 28.136 E. H. Poindexter, W. L. Warren: J. Electrochem. Soc. 142, 2508 (1995) 28.137 J. T. Yount, P. M. Lenahan, P. W. Wyatt: J. Appl. Phys. 74, 5867 (1993)
655
Part C 28
J. Seiple, J. P. Pelz: J. Vac. Sci. Technol. A 13, 772 (1995) 28.77 Y. Wei, R. M. Wallace, A. C. Seabaugh: J. Appl. Phys. 81, 6415 (1997) 28.78 E. P. Gusev, H. C. Lu, T. Gustafsson, E. Garfunkel: Phys. Rev. B 52, 1759 (1995) 28.79 B. E. Deal, A. S. Grove: J. Appl. Phys. 36, 3770 (1965) 28.80 J. D. Plummer: Silicon oxidation kinetics-from Deal-Grove to VLSI process models. In: The Physics of SiO and its Interfaces – 3, Vol. 96-1, ed. by H. Z. Massoud, E. H. Poindexter, C. R. Helms (Electrochemical Society, Pennington 1996) p. 129 28.81 P. Balk: Trans. IEEE 53, 2133 (1965) 28.82 G. Abowitz, E. Arnold, J. Ladell: Phys. Rev. Lett. 18, 543 (1967) 28.83 B. E. Deal, M. Sklar, A. S. Grove, E. H. Snow: J. Electrochem. Soc. 114, 266 (1967) 28.84 E. Arnold, J. Ladell, G. Abowitz: Appl. Phys. Lett. 13, 413 (1968) 28.85 R. R. Razouk, B. E. Deal: J. Electrochem. Soc. 126(9), 1573–1581 (Sept. 1979) 28.86 P. J. Caplan, E. H. Poindexter, B. E. Deal, R. R. Razouk: J. Appl. Phys. 50, 5847 (1979) 28.87 P. J. Caplan, E. H. Poindexter, B. E. Deal, R. R. Razouk: The Physics of MOS Insulators, ed. by G. Lucovsky, S. T. Pantilides, F. L. Galeener (Pergamon, New York 1980) p. 306 28.88 J. H. Weil, J. R. Bolton, J. E. Wertz: Electron Paramagnetic Resonance: Elementary Theory and Practical Applications (Wiley, New York 1994) 28.89 P. J. Caplan, J. N. Helbert, B. E. Wagner, E. H. Poindexter: Surf. Sci. 54, 33 (1976) 28.90 E. H. Poindexter, P. J. Caplan: Prog. Surf. Sci. 14, 201 (1983) 28.91 E. H. Poindexter, P. J. Caplan: J. Vac. Sci. Technol. A 6, 390 (1988) 28.92 J. F. Conley: Mater. Res. Soc. Symp. Proc. 428, 293 (1996) 28.93 J. F. Conley, P. M. Lenahan: A review of electron spin resonance spectroscopy of defects in thin film SiO2 on Si. In: The Physics of SiO2 and its Interfaces – 3, Vol. 96-1, ed. by H. Z. Massoud, E. H. Poindexter, C. R. Helms (Electrochemical Society, Pennington 1996) p. 214 28.94 P. M. Lenahan, J. F. Conley: J. Vac Sci. Technol. B 16, 2134 (1998) 28.95 A. G. Revesz, B. Goldstein: Surf. Sci. 14, 361 (1969) 28.96 Y. Nishi: J. Appl. Phys. 10, 52 (1971) 28.97 I. Shiota, N. Miyamoto, J-I. Nishizawa: J. Appl. Phys. 48, 2556 (1977) 28.98 E. H. Poindexter, E. R. Ahlstrom, P. J. Caplan: The Physics of SiO2 and its Interfaces, ed. by S. T. Pantilides (Pergamon, New York 1978) p. 227 28.99 G. J. Gerardi, E. H. Poindexter, P. J. Caplan, N. M. Johnson: Appl. Phys. Lett. 49, 348 (1986) 28.100 D. Sands, K. M. Brunson, M. H. Tayarani-Najaran: Semicond. Sci. Technol. 7, 1091 (1992) 28.101 K. L. Brower: Phys. Rev. B 38, 9657 (1988)
References
656
Part C
Materials for Electronics
Part C 28
28.138 K. Kushida-Abdelghafar, K. Watanabe, T. Kikawa, Y. Kamigaki, J. Ushio: J. Appl. Phys. 92, 2475 (2002) 28.139 G. Lucovsky, T. Yasuda, Y. Ma, S. Hattangady, V. Misra, X.-L. Xu, B. Hornung, J. J. Wortman: J. Non-Cryst. Solids 179, 354 (1994) 28.140 S. V. Hattangady, H. Niimi, G. Lucovsky: Appl. Phys. Lett. 66, 3495 (1995) 28.141 S. V. Hattangady, R. Kraft, D. T. Grider, M. A. Douglas, G. A. Brown, P. A. Tiner, J. W. Kuehne, P. E. Nicollian, M. F. Pas: IEEE Tech. Dig. Int. Electron. Dev. Meet. San Francisco, 495 (1996) 28.142 H. Yang, G. Lucovsky: Tech. Dig. Int. Electron. Dev. Meet. Washington, DC, 245 (1999) 28.143 J. P. Chang, M. L. Green, V. M. Donnelly, R. L. Opila, J. Eng Jr., J. Sapjeta, P. J. Silverman, B. Weir, H. C. Lu, T. Gustafsson, E. Garfunkel: J. Appl. Phys. 87, 4449 (2000) 28.144 R. M. Wallace, G. Wilk: Mater. Res. Soc. Bull.,, 192 (March 2002) also see this focus issue for reviews of other aspects on gate dielectric issues 28.145 H. Iwai, S. Ohmi, S. Akama, C. Ohshima, A. Kikuchi, I. Kashiwagi, J. Taguchi, H. Yamamoto, J. Tonotani, Y. Kim, I. Ueda, A. Kuriyama, Y. Yoshihara: IEEE Tech. Dig. Int. Electron. Dev. Meet. San Francisco, 625 (2002) 28.146 D. G. Schlom, J. H. Haeni: Mater. Res. Soc. Bull. 27(3), 198 (2002)and refs. therein 28.147 Y-C. Yeo: Thin Solids Films 462-3, 34 (2004)and references therein 28.148 S. Guha, E. Cartier, N. A. Bojarczuk, J. Bruley, L. Gignac, J. Karasinski: J. Appl. Phys. 90, 512 (2001) 28.149 S. Miyazaki: J. Vac. Sci. Technol. B 19, 2212 (2001) 28.150 J. Robertson, C. W. Chen: Appl. Phys. Lett. 74, 1168 (1999) 28.151 J. Robertson: J. Vac. Sci. Technol. B 18, 1785 (2000) 28.152 J. Robertson: J. Non-Cryst. Solids 303, 94 (2002) 28.153 H. Y. Yu, M. F. Li, B. J. Cho, C. C. Yeo, M. S. Joo, D.-L. Kwong, J. S. Pan, C. H. Ang, J. Z. Zheng, S. Ramanathan: Appl. Phys. Lett. 81, 376 (2002) 28.154 E. Zhu, T. P. Ma, T. Tamagawa, Y. Di, J. Kim, R. Carruthers, M. Gibson, T. Furukawa: IEEE Tech. Dig. Int. Electron. Dev. Meet. (IEEE, Washington, D.C. 2001) p. 20.4.1. 28.155 G. D. Wilk, M. L. Green, M.-Y. Ho, B. W. Busch, T. W. Sorsch, F. P. Klemens, B. Brijs, R. B. van Dover, A. Kornblit, T. Gustafsson, E. Garfunkel, S. Hillenius, D. Monroe, P. Kalavade, J. M. Hergenrother: IEEE Tech. Dig. VLSI Symp. Honolulu, 88 (2002) 28.156 H. Nohira, W. Tsai, W. Besling, E. Young, J. Petry, T. Conard, W. Vandervorst, S. De Gendt, M. Heyns, J. Maes, M. Tuominen: J. Non-Cryst. Solids 303, 83 (2002) 28.157 M. R. Visokay, J. J. Chambers, A. L. P. Rotondaro, A. Shanware, L. Colombo: Appl. Phys. Lett. 80, 3183 (2002) 28.158 M. S. Akbar, S. Gopalan, H.-J. Cho, K. Onishi, R. Choi, R. Nieh, C. S. Kang, Y. H. Kim, J. Han,
28.159
28.160 28.161
28.162
28.163 28.164
28.165
28.166
28.167 28.168
28.169 28.170
28.171
28.172
28.173
28.174 28.175
28.176
S. Krishnan, J. C. Lee: Appl. Phys. Lett. 82, 1757 (2003) K. Torii, T. Aoyama, S. Kamiyama, Y. Tamura, S. Miyazaki, H. Kitajima, T. Arikado: Tech. Dig. VLSI Symp. Honolulu, 112 (2004) M. V. Fischetti, D. A. Nuemayer, E. A. Cartier: J. Appl. Phys. 90, 4587 (2001) Z. Ren, M. V. Fischetti, E. P. Gusev, E. A. Cartier, M. Chudzik: IEEE Tech. Dig. Int. Electron. Dev. Meet. Washington, DC, 793 (2003) R. Chau, S. Datta, M. Doczy, B. Doyle, J. Kavalieros, M. Metz: IEEE Elecron. Dev. Lett. 25, 408 (2004) G. D. Wilk, R. M. Wallace: Appl. Phys. Lett. 74, 2854 (1999) M. Quevedo-Lopez, M. El-Bouanani, S. Addepalli, J. L. Duggan, B. E. Gnade, M. R. Visokay, M. J. Bevan, L. Colombo, R. M. Wallace: Appl. Phys. Lett. 79, 2958 (2001) S. Guha, E. P. Gusev, H. Okorn-Schmidt, M. Copel, L. Å. Ragnarsson, N. A. Bojarczuk: Appl. Phys. Lett. 81, 2956 (2002) M. Quevedo-Lopez, M. El-Bouanani, S. Addepalli, J. L. Duggan, B. E. Gnade, M. R. Visokay, M. Douglas, L. Colombo, R. M. Wallace: Appl. Phys. Lett. 79, 4192 (2001) R. M. C. de Almeida, I. J. R. Baumvol: Surf. Sci. Rep. 49, 1 (2003) R. M. Wallace, R. A. Stolz, G. D. Wilk: Zirconium and/or hafnium silicon-oxynitride gate,US Patent 6 013 553; 6 020 243; 6 291 866; 6 291 867 (2000) G. D. Wilk, R. M. Wallace, J. M. Anthony: J. Appl. Phys. 87, 484 (2000) A. L. P. Rotondaro, M. R. Visokay, J. J. Chambers, A. Shanware, R. Khamankar, H. Bu, R. T. Laaksonen, L. Tsung, M. Douglas, R. Kuan, M. J. Bevan, T. Grider, J. McPherson, L. Colombo: Symp. VLSI Technol. Tech. Dig. Papers, Honolulu, 148 (2002) M. Quevedo-Lopez, M. El-Bouanani, M. J. Kim, B. E. Gnade, M. R. Visokay, A. LiFatou, M. J. Bevan, L. Colombo, R. M. Wallace: Appl. Phys. Lett. 81, 1609 (2002) M. Quevedo-Lopez, M. El-Bouanani, M. J. Kim, B. E. Gnade, M. R. Visokay, A. LiFatou, M. J. Bevan, L. Colombo, R. M. Wallace: Appl. Phys. Lett. 82, 4669 (2003) M.-Y. Ho, H. Gong, G. D. Wilk, B. W. Busch, M. L. Green, W. H. Lin, A. See, S. K. Lahiri, M. E. Loomans, P. I. Räisänen, T. Gustafsson: Appl. Phys. Lett. 81, 4218 (2002) Y-C. Yeo, T-J. King, C. Hu: J. Appl. Phys. 92, 7266 (2002) I. S. Jeon, J. Lee, P. Zhao, P. Sivasubramani, T. Oh, H. J. Kim, D. Cha, J. Huang, M. J. Kim, B. E. Gnade, J. Kim, R. M. Wallace: IEEE Tech. Dig. Int. Electron. Dev. Meet. (IEEE, San Francisco 2004) P. C. Fazan: Integr. Ferroelectr. 4, 247 (1994)
Dielectric Materials for Microelectronics
28.177 H. Schichijo: ULSI Devices, ed. by C. Y. Chang, S. M. Sze (Wiley, New York 2000) Chap. 7 28.178 P. J. Harrop, D. S. Campbell: Thin Solid Films 2, 273 (1968) 28.179 C. Chaneliere, J. L. Autran, R. A. B. Devine, B. Balland: Mater. Sci. Eng. R 22, 269 (1998) 28.180 S. S. Chung, P.-Y. Chiang, G. Chou, C.-T. Huang, P. Chen, C.-H. Chu, C. C.-H. Hsu: Tech. Dig. Int. Electron. Dev. Meet. (IEEE, Washington, D.C. 2003) p. 26.6.1. 28.181 G. F. Derbenwick, A. F. Isaacson: IEEE Circuits Dev., 20 (2001) 28.182 B. De Salvo: Tech. Dig. Int. Electron. Dev. Meet. (IEEE, Washington, D.C. 2003) p. 26.1.1.
References
657
28.183 A. R. Krauss, A. Dhote, O. Auciello, J. Im, R. Ramesh, A. Aggarwal: Integr. Ferroelectr. 27, 147 (1999) 28.184 J. Im, O. Auciello, A. R. Krauss, D. M. Gruen, R. P. H. Chang, S. H. Kim, A. I. Kingon: Appl. Phys. Lett. 74, 1162 (1999) 28.185 N. Poonawala, V. P. Dravid, O. Auciello, J. Im, A. R. Krauss: J. Appl. Phys. 87, 2227 (2000) 28.186 S. Lai: Tech. Dig. Int. Electron. Dev. Meet. (IEEE, Washington, D.C. 2003) p. 10.1.1 28.187 S. Thompson: IEEE Tech. Dig. Int. Electron. Dev. Meet. (IEEE, San Francisco 2002) p. 765 28.188 M. Bohr: 65 nm Press Release, August 2004, www.intel.com/research/silicon
Part C 28
659
Thin Films
29. Thin Films
The earliest use of thin films by mankind is probably in the application of glazes to brickwork and pottery. Tin glazing was discovered by the Assyrians and was used to coat decorative brickwork. Glazed brick panels have been recovered from various archeological sites, with a fragment from Nimrud being dated to about 890 B.C. Various alternative types of glaze have since been developed, and are used both to overcome the effects of porosity in pottery and for decorative purposes. The importance of thin films in optics was first recognised in the 17th century by Hooke, Newton and others. In 1675
29.1 Deposition Methods ............................. 661 29.1.1 Physical Deposition Methods ...... 661 29.1.2 Chemical Deposition Methods ..... 677 29.2 Structure ............................................ 29.2.1 Crystallography ......................... 29.2.2 Film Structure ........................... 29.2.3 Morphology ..............................
682 682 683 688
29.3 Properties ........................................... 692 29.3.1 Optical Properties ...................... 692 29.3.2 Electrical Properties ................... 696 29.4 Concluding Remarks ............................ 708 References .................................................. 711 media boundaries, reflectance and transmittance, matrix methods and the application of these techniques to the design of antireflection coatings, mirrors and filters. The dependence of electrical conductivity (or resistivity) and the temperature coefficient of resistivity in metallic thin films is discussed, in particular the models of Thomson, Fuchs–Sondheimer and the grain-boundary model of Mayadas–Shatzkes. For insulating and semiconducting thin films the origin and effects of several high-field conduction processes are examined, including space-charge-limited conductivity, the Poole–Frenkel effect, hopping, tunnelling and the Schottky effect. Finally, some speculations regarding future developments are made.
Newton described observations of colours in thin films of transparent material and from geometrical considerations was able to calculate effective film thickness and correlate this with the periodicity of observed colours. The films in question were typically of air or water in the space between two glass surfaces having different radii of curvature. The phenomena described are seen most conveniently as Newton’s rings, where an optically flat glass surface is in contact with a convex glass surface having a large radius of curvature. Under monochromatic illumination in reflection, a series of concentric
Part C 29
This chapter provides an extended introduction to the basic principles of thin-film technology, including deposition processes, structure, and some optical and electrical properties relevant to this volume. The material is accessible to scientists and engineers with no previous experience in this field, and contains extensive references to both the primary literature and earlier review articles. Although it is impossible to provide full coverage of all areas or of the most recent developments in this survey, references are included to enable the reader to access the information elsewhere, while the coverage of fundamentals will allow this to be appreciated. Deposition of thin films by the main physical deposition methods of vacuum evaporation, molecular-beam epitaxy and sputtering are described in some detail, as are those by the chemical deposition methods of electrodeposition, chemical vapour deposition and the Langmuir– Blodgett technique. Examples of structural features of some thin films are given, including their crystallography, larger-scale structure and film morphology. The dependence of these features on the deposition conditions are stressed, including those required for the growth of epitaxial films and the use of zone models in the classification of the morphological characteristics. The main optical properties of thin films are reviewed, including the use of Fresnel coefficients at
660
Part C
Materials for Electronics
Part C 29
coloured √ rings√were √ observed, the radii being proportional to 1, 2, 3, etc. Although the wave nature of light and interference phenomena were not universally accepted at that time (especially by Newton) these observations, and others where the radii of the rings were seen to be larger for red than for violet light, established one of the most important properties of thin films. Since these early observations of thin film properties, they have been found to display not only characteristic and distinctive optical behaviour, but also mechanical, electrical and magnetic properties. These properties underpin many of their present-day industrial applications, such as antireflection coatings and optical filters, surface acoustic wave devices, electronic components (both discrete and integrated) such as resistors, capacitors, thin-film transistors and other active devices, magnetic data storage and superconductors. Notwithstanding this wide range of properties and applications, it should be stressed that there is no watertight definition of the thickness below which a film becomes thin. The reason for this is primarily because different properties scale differently with thickness. Eckertov´a [29.1] has pointed out that it is permissible to say in general that the physical limit is determined by the thickness below which certain described anomalies appear, but that this differs for different physical phenomena. For instance a film which is optically thin may be of the order of the wavelength of light (≈ 500 nm) although some electrical properties are determined by the mean free path of conduction electrons (≈ 50 nm for metals) or by the thickness at which a given applied voltage produces an electric field which leads to high-field (nonlinear) behaviour. Generally speaking a thin film has a thickness of less than 1 µm, and is deposited on the surface of a substrate by one of several distinct deposition methods [29.2]. In keeping with the remit of this Handbook, the emphasis in this chapter is on the electrical and optical properties of thin films, as these are clearly the most important in the field of electronic and optoelectronic materials. It is not the intention to dismiss thermal and mechanical properties as irrelevant in this sphere, but to stress that, although they may both also have an influence on the electrical and optical properties, they are both mainly dependent on the material itself. Mechanical properties of thin films have been reviewed by Hoffman [29.3] and also by Campbell [29.4]. However, the structure of thin films often has a crucial effect in determining other thin-film properties and, unlike the thermal and mechanical properties, is very largely determined by the film deposition method and conditions. For these reasons sections on both the struc-
ture and deposition methods of thin films are included in this review. The basic structure of the chapter, following this brief introduction, covers the various aspects of thin films starting from their deposition methods, through their structures to their optical and electrical properties. There is no attempt to cover all of these aspects comprehensively; in a chapter such as this there is sufficient space to cover only the most important techniques and properties. In Sect. 29.1 the major deposition methods are described, such as physical processes like evaporation and sputtering, and chemical methods including chemical vapour deposition (CVD) and the Langmuir– Blodgett technique for molecular films. In Sect. 29.2 some examples of the crystalline structure of various types of films are examined. These can be relatively simple in the case of evaporated metal films, whereas compound films (such as compound semiconductors) can exhibit a variety of different structural forms depending on the deposition conditions and the thickness. Films prepared from larger molecules, such as the organic phthalocyanines having molecular weights of the order of 500, can also show several quite complex structures. In addition to the crystal structure per se, which is normally determined using X-ray, electron or neutron diffraction techniques, the morphologies of thin films often show interesting features, which are observed using electron microscopy or one of the newer scanningprobe imaging techniques such as scanning–tunnelling microscopy (STM) or atomic force microscopy (AFM). Section 29.3 covers the basic optical and electrical properties of thin films. The optical properties covered in Sect. 29.3.1 are largely the result of the electromagnetic wave nature of light, leading to the interference phenomena which were first observed in the 17th century. Films of suitable thickness and refractive index may be used in simple antireflection coatings, and quite complex multilayer film structures are used as optical filters. In Sect. 29.3.2 electrical properties are described. These include electrical conductivity (or resistivity) in relatively high-conductivity materials such as metals, where the conductivity depends not only on the carrier concentration and bulk mean free path, but is also modified by the effects of scattering at one or both of the film surfaces and internal grain boundaries. Lateral conduction in discontinuous (island) films where there are significant potential barriers between highly conducting regions has been investigated by Neugebauer and Webb [29.5], although this not discussed in the present work. This mechanism is reviewed elsewhere in the literature [29.1, 6]. Various nonlinear conduction pro-
Thin Films
Many of the topics coved in this chapter are explained in more detail in various books. The Handbook of Thin Film Technology [29.12], although published over three decades ago, has an enormous amount of detail concerning basic thin-film phenomena, most of which is still of interest today. Another very useful resource is the series of volumes originally entitled Physics of Thin Films and later simply Thin Films (recent volumes retitled Thin Films and Nanotechnology) published by Academic Press. Volume 25 of this series [29.13] contains an index for all the earlier volumes covering the years 1963–1998. Detailed references to several articles from both these sources are given in the references. Also of particular interest in the materials field is the Handbook of Thin Film Materials [29.14]. The reader is also referred to several other books covering the general field of thin films and technology [29.1, 15–19]. Other books covering more restricted areas of thin-film technology (e.g. optical properties, electronics) are referred to in the appropriate sections. The SI system of units is used throughout, and where original work was presented in non-SI units, these have normally been converted. Other customary units, such as the electronvolt, are used where appropriate. The main emphasis in this chapter is on the physics of the deposition processes and the optical and electrical properties, and on their relationships with film structure, while keeping mathematical details to a minimum. As mentioned earlier, only the most important methods and properties are covered, although references to techniques omitted and fundamental factors are included to aid the acquisition of a deeper understanding, where this is required, and to appreciate the applications of thin films in those areas not covered elsewhere in this volume.
29.1 Deposition Methods The deposition methods described in this section are those most commonly used. The physical methods of vacuum evaporation and sputtering are perhaps the most controllable, giving the best-quality films. In particular, molecular-beam epitaxy (MBE) is capable of providing very high-quality films. Examples of chemical methods, which are somewhat less flexible and limited to particular types of films, are also given. Perhaps the most important of these is CVD, but as will be seen the particular route chosen depends on the chemical properties of the material to be deposited.
29.1.1 Physical Deposition Methods These could perhaps be described as physical vapour deposition (PVD) methods, since they involve direct deposition from the vapour phase, normally under reduced pressure. There are two main classes which are considered. The first of these is vacuum evaporation, which takes place at a low background pressure, i. e. high vacuum (HV) of the order of 10−4 Pa, although MBE systems normally operate at pressures significantly below this level. Secondly we have sputtering, which relies on the ejection of atoms or molecules from the surface
661
Part C 29.1
cesses arise as the result of the high electric fields that can be applied across thin films; for example a film of thickness 100 nm having a potential difference of only 1 V applied between its surfaces, would experience a field of 1 × 107 V/m, which approaches the dielectric breakdown strength of many materials. Finally in Sect. 29.4 the main points or the discussion are summarised and some speculations concerning future trends are given. Much of the technology associated with thin-film deposition and growth is explicitly excluded from this discussion. The measurement of film thickness, both during deposition (monitoring) or post-deposition, is not covered, although good accounts are given in the literature [29.7, 8]. Furthermore the condensation and growth mechanisms of thin films are also omitted [29.9,10], although the structure and other properties are largely influenced by these. Many of the up-to-date materials properties and device applications are covered elsewhere in this volume. The reader is referred to Chapt. 14 for details of epitaxial growth techniques and to Chapt. 17 for structural characterisation. Optical properties and characterisation are discussed in Chapt. 3, while the corresponding materials and devices are addressed in Chapt. 37. Sensors and transducers, which are frequently based on various thin-film techniques (both inorganic and organic) are described in Chapts. 39 and 54. In the area of electronics, much current effort is in the areas of molecular electronics as described in Chapt. 53. Indeed the present author has predicted that many of the high-field conduction effects commonly observed in thin films will, in due course, also be detected in nanostructures in a suitably modified form [29.11].
29.1 Deposition Methods
Thin Films
terials, which require very low vapour pressures and high melting points. It is a well-known result from the kinetic theory of gases, that the molecules of a gas at a pressure p impinge on surfaces at a rate p Ni = (29.4) (2πmkB T )1/2
Ne =
αv ( p∗ − ph ) (2πm e kB Te )1/2
.
(29.5)
Under equilibrium conditions ph = p∗ and there is no net evaporation; however, under nonequilibrium conditions, especially when ph p∗ , the evaporation rate can be considerable. Values of the coefficient αv have been tabulated in the literature for a selection of different elements and compounds [29.27]. Equipped with vapour pressure and evaporation coefficient data, it is straightforward to estimate the evaporation rate using (29.5). The molecular deposition rate NR represents the number of evaporated molecules deposited on the substrate per unit area per second. It is clearly proportional to the evaporation rate Ne , depending on the geometry of the evaporation/deposition system. NR is simply related to the thickness deposition
663
rate R(m/s) by NR =
ρR , me
(29.6)
where ρ is the density of the depositing film. An indication of the film quality is given by the impingement ratio K , representing the ratio of the rate at which ambient gas molecules impinge on the substrate to the rate of evaporated molecules depositing on the substrate. Hence K=
Ni NR
(29.7)
From (29.4) and (29.6) it is clear that K ∝ p/R for a given material. In order to reduce contamination by gas incorporation into the film, it is therefore necessary to operate at a low background gas pressure with a relatively high deposition rate. A simple calculation for copper shows that for R = 1 nm/s, NR ≈ 8.5 × 1019 m−2 s−1 . If we require K < 10−3 for impinging oxygen molecules then the maximum value of Ni allowed is 8.5 × 1016 m−2 s−1 , which from (29.4) corresponds at room temperature to a pressure of about 3 × 10−6 Pa. Such a pressure is in the range of ultra-high vacuum (UHV), and is not attainable in normal vacuum deposition systems. Increasing the deposition rate is the normal method of lowering K without moving into UHV, as is used in MBE. This may in principle be achieved either by increasing the evaporation rate by increasing Te to raise p∗ , or by increasing the proportionality constant between Ne and NR by shortening the distance between the evaporant and the substrate. A useful table of values of K for various combinations of chamber pressure and deposition rate is given by Eckertov´a [29.1]. There is in principle a second limitation on the level of the background pressure in a vacuum system which is also derived from kinetic theory. By using a Maxwell–Boltzmann distribution function for the molecular velocities in a gas, it can be shown that the mean free path between collisions in the gas λ is given by [29.22] λ=
kB T √ , pπδ2 2
(29.8)
where δ is the effective molecular diameter of a gas molecule. Values of δ are tabulated in the literature [29.21], and range from about 0.22 nm (He) to 0.49 nm (Xe). From (29.8) λ is inversely proportional to the pressure p, and this general relationship is shown for He, O2 and Xe in Fig. 29.2. Since the values of δ do not vary greatly for different gases, the λ values for all common gases fall
Part C 29.1
where Ni (m−2 s−1 ) is termed the impingement rate, m is the mass of a gas molecule, k is Boltzmann’s constant and T is the absolute temperature. In principle this equation applies equally well to residual gas molecules (N2 and O2 ) in an evaporation chamber, as well as to evaporant molecules in the vapour phase. It was noted previously that the equilibrium vapour pressure of a material largely determines the maximum evaporation rate. Hertz [29.25] observed for the case of mercury that evaporation rates were proportional to the difference between the equilibrium vapour pressure p∗ and the reverse hydrostatic pressure ph exerted at the surface of the evaporant. The maximum evaporation rate occurs when ph = 0, and is equal to the impingement rate a vapour of the evaporant would exert at its equilibrium vapour pressure. This is given by an expression similar to (29.4), with pressure p∗ , evaporation temperature Te and mass of evaporant molecule m e . This rate is rarely achieved, not only due to the hydrostatic pressure ph , but also to a molecular reflection phenomenon proposed by Knudsen [29.26], which takes place at the evaporant surface. It was proposed that only a certain fraction αv (the evaporation coefficient) of molecules make the transition from the condensed to the vapour phase. The net molecular evaporation rate, Ne , is then given by the Hertz–Knudsen equation
29.1 Deposition Methods
Thin Films
Before describing the practical details of the evaporation process, it should be mentioned that, whatever type of evaporation process is used, some consideration needs to be given to the substrate. There are three basic types of substrate commonly used: glasses, single crystals and polycrystalline ceramics. Ceramics are particularly useful for high-temperature deposition processes. Although the substrate material may be predetermined (e.g. silicon wafers for microelectronics, optical glass for coatings on lenses, etc.), there are frequently factors which can be selected to increase the probability of obtaining good-quality films. The substrate planarity is important, in order to reduce the effect of defects. Electrical resistivity should in general be high in order to minimise surface leakage currents. Thermal properties include a consideration of the coefficient of expansion, which should be comparable to that of the deposited film to reduce the probability of thermally induced stresses in the films. Thermal conductivity should be high for films whose applications will entail significant power dissipation. A full description of thin-film substrates and the associated problems of substrate cleaning are discussed in detail by Brown [29.32]. Resistive evaporation is the most commonly used evaporation technique, although it is unfortunately not suitable for certain applications. It entails the resistive heating of an evaporation source, by passing a high current either through the source itself or through an adjacent heater. There are a large number of practical evaporation sources for various applications which are described in the literature [29.1, 22, 28]. The main requirement for any evaporation source is that the source itself will not emit its own vapour at the operating temperature. If metallic sources are used, the equilibrium vapour pressure of the metal must be negligible in comparison to that of the evaporant. A glance at Fig. 29.1 shows that the refractory metals (such as Pt, Ta and W) have equilibrium vapour pressures very low compared to about 1 Pa at temperatures up to about 2000 K, and are therefore suitable for evaporation of many materials. Carbon and oxides of aluminium or magnesium may also be used in evaporation, although owing to their low electrical conductivity refractory metal heaters are often used in conjunction with these. However, at elevated temperatures the oxides themselves may dissociate, with the liberated oxygen reacting with the evaporant to form its own oxide. For this reason the refractory metals cannot themselves be evaporated from oxide crucibles. Furthermore carbon may react with metals to form carbides well below 2000 K. Having chosen a suitable evaporation source, the vacuum deposition
665
Part C 29.1
a sphere and the substrates placed on the surface. For a surface source a uniform thickness distribution is obtained if both the source and the substrates are placed on the surface of a sphere [29.28]. Other systems for obtaining uniform thickness are based on the use of either extended sources, or substrates rotating around a central axis with respect to an off-centre source, such that variations in the thickness average out. Holland and Steckelmacher [29.28] have addressed ring sources in addition to strip and cylindrical sources evaporating onto a plane-parallel substrate. The ring source has also been treated by von Hippel [29.29] and the small surface source evaporating onto a rotating plane parallel substrate has been discussed by Macleod [29.30]. Expressions for ring and large surface sources are given by Glang [29.22]. A ring source can be considered to be a narrow ring of radius b, which is usually placed parallel to a flat substrate. This introduces an additional controllable variable which influences the thickness distribution. Thickness distributions may be obtained by calculating the distribution arising from an element of the ring source and then summing over all elements in the ring. For small b/h the thickness distribution reduces to that of the small surface source. For b/h larger than about unity the uniformity is also poor as the maximum in the distribution moves away from the central axis towards the source radius. However, for intermediate ranges, in particular b/h of about 0.7–0.8 there is considerable uniformity in thickness up to l/h of about 0.6. This is also illustrated in Fig. 29.3, where the curve R shows the thickness distribution for a narrow ring source with b/h = 0.75, calculated from the formula given by Glang [29.22]. Extended disc source thickness distributions may be obtained by integrating the thickness distribution derived for a narrow ring source from radius zero to radius b, but in general the thickness uniformity is not as good as for ring sources. Expressions for ring source of finite width can be determined by subtracting the disc source distribution for the inner radius from that of the outer radius. Diagrams illustrating the thickness distributions for several sets of ring and disc sources are given by Glang [29.22]. Behrndt [29.7] gives further details and references for such sources, and a discussion of the results of using combinations of several rod-like sources. Further attempts to minimise thickness variations have resulted in the evolution of various planetary deposition systems, so called because the rotating substrate plane is itself rotated around a vertical axis. A typical example of such a system and its performance is given in the literature [29.31].
29.1 Deposition Methods
666
Part C
Materials for Electronics
Part C 29.1
chamber is pumped down to the required background pressure and deposition may commence. The temperature of the source is increased such that the vapour pressure increases to a value consistent with a reasonable evaporation and hence deposition rate. The substrate is normally shielded using a metallic shutter until the required deposition rate is established, when the shutter is removed. The deposition rate is usually monitored by one of several methods [29.7, 22]. The quartz crystal method entails depositing the evaporant material on a vibrating quartz crystal, whose frequency varies linearly with the thickness of material deposited [29.33, 34]; the deposition rate is obtained by electronically differentiating the thickness signal with respect to time. Optical thickness monitoring methods are also used, particularly in applications where films are required for optical purposes, and require films having an optical thickness of multiples of λ/4, where λ is the wavelength for which the optical film is designed [29.7, 22]. These thickness multiples correspond to maxima and minima in reflected light intensity, which can easily be monitored during deposition. When the required film thickness is attained, a shutter is again used to curtail further film deposition and the evaporation process is stopped. Sequences of several film layers may be deposited by using a suitable sequential masking system [29.35]. Apart from simple vacuum evaporation there are also several other types of evaporation, which entail using alternative methods to heat the evaporant, or cater for various problems encountered in the deposition of alloys and compounds. In electron-beam evaporation the evaporant material is placed in a ceramic hearth, which is bombarded by high-energy electrons The main advantage of this method is that considerably higher temperatures of above 3000 K may be obtained than with resistive evaporation methods. This allows evaporation of the refractory metals and also elements such as boron and carbon (which sublimes). Other advantages are the evaporation of reactive metals such as Al, which are prone to contamination due to alloying, and situations where high purity is required. For non-elemental (multicomponent) films there are several methods which may be employed. The main problem with such films is that, because of differences between the vapour pressures of the evaporant components, the Hertz–Knudsen expression of (29.5) predicts that the compound will evaporate noncongruently, i. e. with different evaporation rates for each element, and the deposited film will not in general replicate the composition of the evaporant. The problem is compounded
because the proportion of each component present will therefore vary throughout the evaporation process, and the overall composition of the deposited films will vary throughout its thickness. In the evaporation of alloys, each component establishes its own vapour pressure at a given temperature, which may be predicted by Raoult’s law for an ideal solution. This states that the vapour pressure established by each individual component is proportional to the mole fraction of the component present. Under these circumstances it is relatively simple to adjust the proportions of the alloy components to achieve the required film composition. However, complications occur in nonideal solutions, where the interactions between different types of atoms vary and an empirical parameter known as the activity coefficient, a, is defined. Knowing this it is possible to determine the vapour pressure for the component and hence the evaporation and deposition rates. Activity coefficients for various important systems, such as nichrome (a Ni– Cr alloy), are given in the literature [29.36]. However, as noted previously the mole fractions present in the evaporant are time-dependent and the composition of the films will vary during deposition. A parameter K has been determined, which if maintained close to unity should result in congruent evaporation throughout the entire deposition process. Further details are given by Zinsmeister [29.37]. Additional comments on the evaporation of both nichrome and permalloy alloys are given by Glang [29.22]. On evaporation of compounds, a wealth of chemical reactions can occur, which leads to a variety of different evaporation mechanisms. Frequently more than one reaction may operate simultaneously. Four simple generic reactions have been listed by Glang [29.22], which include many of the materials which can be successfully evaporated. We consider only compounds AB composed of the elements A and B, and denote the phase of the material by s (solid), l (liquid) or g (gas). Some compounds (solids or liquids) do not dissociate on evaporation and the reaction can be expressed simply as AB(s, l) → AB(g) .
(29.12)
There is then only the single vapour phase AB(g) produced. Other compounds dissociate on evaporation into two different vapour species. One reaction which is typical of the evaporation of chalcogenides and some simple oxides is given by 1 AB(s) → A(g) + B2 (g) 2
(29.13)
Thin Films
i
where Pi represents the vapour pressure for each of the various components of the vapour expressed in standard atmospheres and the νi are the molecular coefficients. K p is related to the thermodynamic parameter ∆G 0 , the standard change in Gibbs free energy per mole for the reaction, by the expression ∆G 0 = −RT ln K p ,
(29.17)
where R is the universal gas constant. Free-energy data is tabulated for many materials in the literature [29.23, 39] and the use of (29.16) and (29.17) allows realistic estimates of the equilibrium vapour pressures to be determined and the evaporation rates estimated. Further discussions are given by Glang [29.22]. Nevertheless, although the theoretical framework is in place for the controlled evaporation of compounds, the exact type of dissociation and decomposition are not known in all cases, and for compounds consisting of three or more elements this problem is compounded. Some materials are therefore not amenable to direct evaporation from a single source. For these cases various special evaporation techniques have been developed, all of which aim to replicate the composition of the evaporant in the deposited film, by ensuring that the different molecules arrive at the substrate in the desired proportions and at a constant rate. Reactive evaporation is a process whereby one component of the desired film is evaporated resistively in the normal manner, while the other component is present in the evaporation chamber in the form of a gas. This method is most commonly used where oxide films are required and oxygen gas present in the chamber combines with a metal at the substrate to form the oxide. The impingement ratio K , given by (29.7) is optimised so that evaporant and gas molecules reach the substrate in a predetermined ratio. Oxides of the common metals have been deposited by this method, including those of Al, Cr, Cu and Fe; additionally tantalum and titanium oxides have been prepared for dielectric applications. Reactive evaporation has also been used for compounds other than oxides. For instance, stoichiometric CdS films have been obtained by the evaporation of CdS in a sulphur vapour [29.40]. The sulphur vapour has the effect of discouraging the appearance of nonstoichiometric Cdrich films which occur when CdS is evaporated alone. Nitrides may also be deposited in some cases by the use of a nitrogen atmosphere. The utility of this technique has been demonstrated in the reactive evaporation of silicon oxide, SiOx , by Timson and Hogarth [29.41]. The evaporant is a mixture of the monoxide, the dioxide and possibly also free silicon. A sequence of films, varying in composition between SiO and SiO2 as determined by electron spin resonance studies were obtained, depending on the ratio of the deposition rate to the oxygen pressure R/ p. A useful nomogram for use in reactive evaporation is given by Glang [29.22]. Co-evaporation, sometimes known as the threetemperature technique, involves the simultaneous evaporation of two or more materials from separate evap-
667
Part C 29.1
whereas the group IV dioxides tend to dissociate according to the reaction 1 AB2 (s) → AB(g) + B2 (g) . (29.14) 2 In compounds of elements whose volatilities are significantly different, frequently one element enters the vapour phase while the other remains in the solid (or possibly liquid) phase. The compound decomposes into its different elements, with the simplest reaction of this type being 1 AB(s) → A(s) + B2 (g) . (29.15) 2 Examples of materials evaporating without dissociation following (29.12) are the simple oxides GeO, SiO, SnO, the fluorides CaF2 and MgF2 , and PbS. In general the evaporation of these materials can therefore be considered as analogous to those of the elements, and where available simple p∗ –T data give the vapour pressure. Materials which follow (29.13) are primarily the II–VI chalcogenides, in particular the cadmium compounds CdS, CdSe and CdTe, and several simple oxides such as BaO, BeO, CaO, MgO, NiO and SrO. A full review of the electrical and structural properties of the cadmium chalcogenides is given by Gould [29.38]. Equation (29.14) is followed by many of the group IV dioxides, such as SiO2 , SnO2 , TiO2 and ZrO2 , which dissociate into their lower oxides and molecular oxygen. Decomposition, as described by (29.15) is followed by most of the metallic borides, carbides and nitrides [29.22]. Other modes of decomposition, such as those for the technologically important III–V compounds, are also described in this reference. Provided the relevant reaction for the evaporation process is known [e.g. as given by Eqs. (29.12–29.15)] it is possible to determine the equilibrium vapour pressure using standard thermodynamic tables. The law of mass action may be used to determine the equilibrium state of the chemical reaction, and for evaporation, the pressures of the various phases present contribute to a temperaturedependent equilibrium constant K p , which is given by 8 K p = (Pi )νi (29.16)
29.1 Deposition Methods
Thin Films
S(E) =
Ns , Nion
(29.18)
where Nion is the impingement rate of incident ions and Ns is the sputtering rate of the target. Its primary
variation is with the incident ion energy E. However, it also depends on the atomic properties of the incident ions and the target atoms. In the following discussion Z 1 and m 1 refer to the atomic number and mass of the incident ions, and Z 2 and m 2 refer to the corresponding quantities for the target material. The dependence of sputtering yield on the atomic number of the incident ions is quite striking, as shown in Fig. 29.5 [29.46]. This shows the variations of S(E) for 45-keV incident ions onto targets of silver, copper and tantalum. Maxima in S(E) occur corresponding to the noble gases Ne, Ar, Kr, and Xe. This dependence is one reason why the noble gases are most commonly used as the incident ion species, the second being that their inclusion into deposited films is unlikely to cause significant problems, due to their chemically inactive nature. A number of theories have been developed to predict the dependence of sputtering yield S(E) on the incident beam energy E. Keywell [29.47] assumed that the incident ions interacted with the sputtering target as if they were hard spheres, with the incident ion energy decreasing exponentially with the number of collisions. A discussion of this and other early models is given in the literature [29.45,48]. More recent models [29.49,50] have taken account of the scattering of incident ions by the atomic nucleus, and screening effects due to the electron cloud are also included, based on the Thomas– Fermi potential. This predicts a characteristic screening length a, which depends on the atomic numbers of the incident and target atoms, Z 1 and Z 2 , respectively. The screening length is given by a0 , (29.19) a = 2/3 2/3 (Z 1 + Z 2 )1/2 where a0 = 5.29 × 10−11 m is the Bohr radius of the ground state of the hydrogen atom. Another parameter which is often invoked in sputtering models is the energy transfer coefficient, γ . For an incident ion of mass m 1 and energy E, impacting on a stationary target atom of mass m 2 , the maximum energy E max the target atom will attain is given by E max = γ E ,
(29.20)
where γ=
4m 1 m 2 . (m 1 + m 2 )2
(29.21)
In most collisions the incident ion will lose some energy to the target lattice, resulting in the acquisition of an energy less than E max by the target atom. γ has a maximum value of unity for m 1 = m 2 , but
669
Part C 29.1
position of high-melting-point and dielectric materials. Sputtering is essentially the removal of particles from the surface of a target of the deposition material, by the action of incident energetic particles (normally positive ions). It is generally considered that the sputtering mechanism is the result of the transfer of momentum from the incident particles to the target atoms, some of which become dislodged. Energy acquired by other atoms interacting with the dislodged atoms can be sufficient to overcome the surface binding energy potential barrier. The net effect of a large number of atomic interactions is for a certain proportion of the target to be released (or sputtered) from the surface. Sputtered atoms typically have energies much higher than their evaporated counterparts. For low-energy sputtering with incident energy 1 keV, an ejected particle may have an energy of 10 eV, since typically 1% of the incident energy is transferred to each sputtered atom. In contrast, the thermal (kT ) energy of an atom evaporated from a source at 2000 K is less than 0.2 eV. Such considerations have implications regarding the sticking coefficients for deposited materials (i. e. the probability that an atom reaching the substrate condenses on it), which is generally higher for higher-energy atoms. Sputtering is limited by a threshold energy, below which it will not occur for a given ion/target combination. Threshold energies for a wide range of incident ions and target materials are of the order of 20–30 eV; experimental values for some common ion/target combinations are given by Wehner and Anderson [29.45]. These workers have pointed out that the threshold energy appears to be approximately four times the latent heat of sublimation per atom, equivalent to the surface binding energy. However, for practical sputtering considerations, incident energies are normally at least a few hundred electronvolts, well above the threshold energy. The efficiency of sputtering, measured by the sputtering yield, is also very low at low incident ion energies. The sputtering yield is the most important sputtering parameter, and its variation with the target material and the incident ion energies is of major concern in both theories of sputtering and in practical sputtering deposition techniques. Sputtering yield S(E) is defined as the ratio of the number of sputtered atoms to the number of incident ions. Hence
29.1 Deposition Methods
Thin Films
σp N 2/3 (1 + n 1/2 ). An estimate of the number of atoms ¯ displaced per primary knock-on is E/2E d [29.52] of ¯ which half, or E/4E , will be directed towards the surd face. The total sputtering yield S(E) is then given by the product of the probability of producing primary knockons and the number of displaced atoms produced by each. Hence ! " ln(E/E s ) 2/3 2/3 1 ¯ S(E) = σp E N 1+ 4E d ln 2 (29.23)
S(E) = ΛαNSn (E) ,
(29.24)
where Λ is termed the material factor, and depends only on the target material. α is a dimensionless factor, effectively depending only on the ratio of the target atom
671
to incident ion masses m 2 /m 1 . The factor α is given for various different conditions in the literature [29.48, 50]. As in the model of Pease [29.49], N represents the number of atoms per unit volume in the target. Sn (E) is the nuclear scattering cross section, depending on the interaction probability between the incident ions and the target atoms at a given energy. The material factor Λ arises in the sputtering yield expression as a result of integrating the number of sputtered atoms emitted in all directions with all possible energies. According to Sigmund, the material factor Λ=
3 1 , 4π 2 NC0 E s
(29.25)
2 , λ is a constant approximately where C0 = 12 πλ0 aBM 0 equal to 24, and aBM = 2.19 × 10−11 m is the Born– Meyer potential characteristic screening radius. E s represents the surface barrier energy, as in the model of Pease. Making these substitutions into (29.24) gives a more useful formula for the sputtering yield [29.45] as
S(E) =
1 αSn (E) 2 E 16 π 3 aBM s
(29.26)
which applies both for low- and high-energy sputtering. An expression for E ∗ , the boundary between low-energy and high-energy sputtering, is given in the literature [29.50], and is typically a few hundred electronvolts, depending on the atomic masses and atomic numbers of the incident ions and the target atoms. For low-energy sputtering (E < E ∗ ) the nuclear scattering cross section can be approximated by 2 Sn (E) = C0 E max = 12 πλ0 aBM E max ,
(29.27)
where E max = γ E from (29.20). Substituting into (29.26) yields 3 αγ E . (29.28) 4 π 2 Es Hence for low-energy sputtering S(E) is directly proportional to the sputtering energy, where α and γ effectively depend only on the ratio m 2 /m 1 and E s is a constant for the target material. For higher incident ion energies (E > E ∗ ) the Thomas–Fermi, rather than the Born–Mayer interaction potential is applicable, and a simple approximation for Sn (E) is not available. It is therefore necessary to determine its value from tables or graphical data, calculating S(E) directly from (29.26). Incident ion energies E and nuclear scattering cross sections Sn (E) may be expressed in terms of reduced or Thomas–Fermi variables ε and sn (ε) [29.48,50]. sn (ε) is a universal function S(E) =
Part C 29.1
where the expression for n in (29.22) has been substituted. In (29.23) for the sputtering yield, N, E d and E s are constants depending on the target material and E d is normally determined from radiation damage experiments. However, σp and E¯ are energy-dependent variables, which depend on the atomic numbers Z 1 and Z 2 , the Thomas–Fermi screening length a, and the maximum target-atom energy E max . It is not appropriate to give these expressions here, but it is worth mentioning that different expressions are applicable in different incident ion energy ranges, defined by E < L A , L A < E < L B , and L B < E. Further details concerning the threshold energies L A and L B are given in [29.49, 51]. Calculations of sputtering yield predicted by this model are reasonably consistent with experiment, showing a sharp increase above a certain threshold energy which is determined by E s , a broad maximum and a relatively slow decrease at higher energies [29.49]. The model of Sigmund [29.50] represents a comprehensive theory of sputtering, particularly for singleelement solids, although this has led to a certain degree of complexity in its general form. The model has been revised and extended [29.48] and tested against a considerable amount of data produced by many different workers [29.53]. The emphasis in this section is to briefly outline the model, to provide a basis for the calculation of S(E) at normal incidence for low- and high-energy sputtering, and to give useful references to the required data. Various approximations made and some of the conditions of validity are omitted. Being a multiple-collision process, sputtering may be treated by using the formalism of transport theory, and in the Sigmund model the Boltzmann transport equation is solved. The sputtering yield at normal incidence is given by
29.1 Deposition Methods
672
Part C
Materials for Electronics
Part C 29.1
of ε, depending on the details of the screened Coulomb function used [29.48]. The detailed dependence of sn (ε) on ε utilised in the Sigmund model is that given by Lindhard et al. [29.54]. For ease of computation E TF , the Thomas–Fermi energy unit has been calculated for various incident ion/target combinations [29.48]. E TF represents the ratio E/ε, and thus ε and hence sn (ε) may be obtained. Sn (E) may then be determined from the reduced value. Agreement between predicted and measured sputtering yields as a function of incident ion energy are good. Andersen and Bay [29.53] have reviewed sputtering yield measurements at normal incidence for a considerable number of incident ion and target species, and generally concluded that sputtering yields for high-yield materials (e.g. Ag, Au, Cu, Zn) are predicted well by the theory, while for low-yield materials (e.g. Nb, Ta, Ti, W) it was over-estimated by a factor of up to three. A fuller discussion, to which the reader is referred, shows that the model breaks down for certain combinations of Z 1 , Z 2 and E due to the existence of inelastic collisions, nonisotropic and nonlinear effects (spikes) in the collision cascade and unallowed-for surface effects. The regions of validity of the model are neatly presented in terms of a three-dimensional (Z 1 , Z 2 , E) space, and illustrate the fact that (29.26) is an amazingly good prediction for a large number of (Z 1 , Z 2 , E) combinations of practical interest. Sputtering yields may be determined experimentally by measuring the decrease in mass of a sputtering target. Normally such experiments are performed using ion-beam sputtering, in a fairly high-vacuum environment. The target area bombarded by the beam is small, and fairly low sputtering rates are achieved, but it has the advantage that ion energies are accurately given by the accelerating potential. The methods of sputtering normally used for the preparation of thin films are based on the establishment of a population of positive ions in a low-pressure gaseous environment. Such techniques depend on the establishment of a glow discharge, and require a considerably higher operating pressure, whereas ion-beam sputtering does not require the presence of gas molecules in the deposition chamber, and can therefore be used under UHV conditions, where gaseous contamination effects are minimised. A complicating factor, which often has to be taken into account, is that some incident ions may become embedded in the target, thus tending to increase its mass. The net loss of material from the target ∆m is then the difference between the mass of material sputtered and the mass gain of the target. If Nion and Ns are the incident ion impinge-
ment rate and the atomic sputtering rate of the target respectively (29.18), and m 1 and m 2 are the incident ion and target atom masses, it is simple to calculate the mass loss and increase of a given target with bombarded area A sputtered for a time t. The loss of mass is given by Ns m 2 At, and the increase in mass is given by Nion Γ m 1 At, where Γ is the probability that an incident ion becomes embedded in the target. Hence ∆m = Ns m 2 At − Nion Γ m 1 At
(29.29)
or S(E) =
∆m Ns m1 = . +Γ Nion m 2 Nion At m2
(29.30)
Frequently the second term on the right-hand side of this equation is negligible, and the sputtering yield is then given by the first term only. This is particularly applicable for large ion doses, while for intermediate doses a detailed empirical knowledge of the value of Γ is required. For low ion doses the approximation Γ = 1 − R0 , where R0 is an ion reflection coefficient, may be used [29.53]. It is often useful to express the sputtering yield in terms of the ion current at the cathode Ic = eNion A, where e is the electronic charge and the sputtering yield is then given by [29.1] S(E) ≈
e∆m . m 2 Ic t
(29.31)
Measurements of the ion current, target mass loss and the sputtering time are then all that are necessary to calculate S(E). Although strictly speaking the above expressions are only applicable for sputtering performed with ions of a single energy, they may also be applied to a first approximation for practical sputtering methods using the glow discharge. Although ion-beam sputtering is a very useful technique for measuring sputtering yields and comparing with theoretical predictions, it is not normally used for routine film deposition, owing to the need for UHV conditions, the low sputtering rate obtained and the associated expense. Virtually all sputtering methods used for the deposition of thin films utilise the glow discharge phenomenon. When a gas at reduced pressure is subjected to an applied voltage, usually in the range of a few hundred to several kilovolts, any free electrons will be accelerated in the field, acquiring energy and ionising the gas molecules to produce a plasma. Under the influence of the field the positively ionised gas molecules are accelerated towards the cathode and free electrons towards the anode. The gas pressure required for the establishment of such a discharge is of the order
Thin Films
to be performed at lower pressures was the introduction of triode sputtering. In this technique, the discharge is maintained by using an additional source of electrons, so that it is not totally dependent on secondary electron emission from the cathode. Electrons are supplied by an additional thermionic emitter, consisting of a filament through which a current is passed. The electron concentration in the plasma can be readily controlled by varying the anode potential or the filament current, while the ion current is directly controlled by the target potential. A further innovation usually employed in triode sputtering systems is the use of a coil to set up a magnetic field, which forces electrons from the emitter to travel in spiral paths, thus greatly increasing the distance travelled from the emitter before they are collected by the anode. This enhances the ionisation probability α, and thus the supply of positive ions. It should be emphasised that both diode and triode sputtering may not be used for the sputtering of insulators – a very important class of materials. Since positive ions are responsible for the sputtering process, these need to be neutralised by electrons from the external circuit. In the case of insulators a positive charge builds up at the surface of the target, and this charge cannot leak away through the insulator. Sputtering ceases when the positive charge causes the potential at the target surface to approach that of the plasma. Various methods, such as bombarding the target surface with electrons, have been attempted to solve this problem, but in general with little success. Glow discharge sputtering of insulators is generally therefore performed reactively, or with alternating radio-frequency (RF) fields, as described later. As with evaporated films, there are a number of problems associated with the deposition of alloys and compounds. The best theories of sputtering yields are typically inaccurate by a factor of two or so. Empirical determinations are normally required for films of controlled composition to be deposited. Nevertheless, sputtering of multicomponent or compound targets is frequently all that is necessary to obtain films varying only marginally from the target composition. Maissel [29.57] suggests that during the initial sputtering run an altered region is formed on the surface of the target, which is deficient in the target component having the highest sputtering yield. Thus although stoichiometric films will not normally be deposited during the initial sputtering run, such films may generally be deposited during subsequent runs, since the deficiency in the higher sputtering rate material compensates for its greater removal rate [29.51, 57]. Minor differences between the compositions of the film and target do still
673
Part C 29.1
of a few pascals, which in turn is determined by the molecular concentration required to maintain the mean free path within suitable limits to initiate and sustain the discharge. The basic principles of the glow discharge are well known and documented [29.55, 56] and need not concern us here. However, it should be noted that the positive ions tend to accumulate in front of the cathode (the cathode-fall region), across which most of the applied voltage is dropped. Thus the electric field between the cathode and the anode is distinctly nonlinear, and most of the energy acquired by the ions in their journey to the cathode is obtained in the cathode-fall region. It is relatively simple to set up a self-sustained glow discharge, although the behaviour of the discharge depends in a fairly complex manner on the pressure, the applied voltage and the geometry of the system. Inert gases such as argon are generally used, unless the sputtered film relies on a reaction between the sputtering gas and the sputtered material to produce the required compound film. Once the discharge is established, the cathode is continuously bombarded by energetic ions, and provided the energy of these ions is sufficient, sputtering of the cathode will occur. Thus if the target is sufficiently conductive, all that is necessary is to make the cathode of the target material. Substrates on which deposition will occur are normally placed parallel to the target at the anode so that the sputtered atoms need only cover a short distance before deposition. The energy of the sputtered atoms when they reach the substrate is considerably higher than in the case of evaporation, leading to better adhesion. Furthermore, sputtered compounds are normally deposited without dissociation, and therefore the stoichiometry of the target material is usually preserved in the deposited film. This basic form of sputtering is normally termed diode sputtering, since only two electrical connections are required. Basic diode sputtering operates reasonably satisfactorily, but has a major disadvantage in that the sputtering rate Ns depends directly on the impingement rate of the incident ions Nion and thus also on the discharge current. At low gas pressures the supply of ions is limited and thus the discharge current and the sputtering rate are low. Moreover, at sufficiently low pressures the electrons do not ionise a sufficient number of gas atoms to sustain the glow discharge. This low-pressure limit is in fact a major drawback in diode sputtering, since enforced operation at higher pressures above about 3 Pa results in significant film contamination. For this reason sputtering was for many years rejected in favour of evaporation for thin-film deposition. A major improvement in sputtering technology, which enabled sputtering
29.1 Deposition Methods
674
Part C
Materials for Electronics
Part C 29.1
occur, but are normally the result of oxidation or of the evaporation of one component at high temperatures. When compounds are sputtered the incident ion energies are often sufficient to break the chemical bonds, again leading to a deficiency in one component. Reactive sputtering and co-sputtering are specifically designed to give greater flexibility during the deposition of multicomponent films. Reactive sputtering involves the sputtering of a target using a sputtering gas which is amenable to chemical combination with the target material. The gas used may be either solely the reactive species, or a mixture of the reactive species and an inert sputtering gas, such as argon. Clearly the process is suitable for preparation of certain insulators which cannot be prepared by the previously mentioned sputtering techniques. Deposition rate depends primarily on the target sputtering yield, through the energy of the incident sputtering ions, the gas pressure and the distance between the target and the substrate. For example, Pernay et al. [29.58] have concluded that the deposition rate is primarily determined by a reduced electric field E ∗ , given by E/ p, where E is the mean electric field between the cathode and the anode, and p is the gas pressure. When a copper target was sputtered in a mixture of oxygen and argon, either Cu2 O, CuO or Cu were deposited depending on the value of E ∗ and the oxygen concentration [29.59]. Reactive sputtering has been used mainly to deposit oxide films which have insulating or semiconducting properties. Among the common oxides that have been studied are those of aluminium, cadmium, copper, iron, silicon, tantalum, tin and titanium. Oxides of niobium, thorium, vanadium and zirconium have also been investigated, as have the rare-earth oxides of hafnium, lanthanum and yttrium. The other major non-oxide compounds which have been investigated are the nitrides, with silicon nitride, Si3 N4 , receiving most attention due to its utility both as an insulator and in variants of the silicon planar process for integrated-circuit fabrication. This material has been prepared using a Si3 N4 target and a mixture of argon and nitrogen as the sputtering gas [29.60]. Carbides and sulphides have also been sputtered reactively. In all cases it is imperative that the stoichiometry of the deposited films is determined using the normal chemical techniques, and the deposition parameters adjusted until the required composition is attained. A very useful review of reactive sputtering, covering both the mechanisms and the primary reactive sputtering techniques, has been given by Westwood [29.61]. Co-sputtering has certain advantages from the point of view of the preparation of films whose components
have different sputtering yields. Segregation of components on cooling can lead to a nonstoichiometric composition of material in the target, and differences in sticking coefficients between components can lead to the deposited composition differing from that arriving at the substrate. Co-sputtering has the advantage that the proportion of each sputtered components may be controlled during deposition, and may readily be adjusted in response to varying conditions to ensure stoichiometry of the deposited film. Co-sputtering may be performed with two or more conventional sputtering targets operating simultaneously for each component, each directed towards a common substrate. Another method of co-sputtering is again to use conventional targets, but with the substrate alternately subjected to the sputtered material from each target. This may be achieved by mounting the substrate on a disc which rotates in front of the targets. Alternatively a specially designed target assembly may be used such that the components arrive at the substrate in their stoichiometric proportions; the potentials of the components may be independently controlled to compensate for differing sputtering yields and sticking coefficients. Generally a plane-parallel substrate arrangement, with the target large in comparison to the target–substrate distance is employed, which maximises the uniformity of the film thickness. Sinclair and Peters [29.62] have described some target-electrode assemblies which have proven suitable for co-sputtering, including a concentric ring and disc assembly and an interdigitated design. Each of these allows independent control of the voltage applied to the two parts of the electrode assemblies and thus control over the composition of the sputtered films. Although the spatial dependence of the electric field in such assemblies is complex, and the deposition rate of one component may be influenced by the potential applied to the electrode for the other component, it is relatively straightforward to calibrate such a source for different materials, and to compensate for such effects by varying the acceleration potentials accordingly. It is clear that, in general, impurities present in the gaseous phase may be incorporated into the deposited films. The fraction f i of the impurity species i trapped within a film is given by [29.57] fi =
si Ni si Ni + NR
(29.32)
where si and Ni (m−2 s−1 ) are respectively the sticking coefficient and impingement rate of the impurity species and NR is the molecular deposition rate of the required film material; f i can be reduced by increas-
Thin Films
29.1.2 Chemical Deposition Methods There are many different chemical methods for preparing thin films, but by their nature many of these are restricted to one or perhaps a few different materials, related to a specific chemical reaction or series of reactions. It is therefore only possible to categorise some
677
general techniques and to give examples for various particular materials. Campbell [29.75] divided chemical methods into two classes: those depending on an electrical source of ions, such as electroplating and anodisation, and those requiring a chemical reaction, usually, but not exclusively involving a chemical vapour as in the CVD method. A further technique, which has found favour in recent years, is the deposition of molecular films using the Langmuir–Blodgett technique. Brief examples of these are given below. Electrodeposition By electrodeposition, we include all methods which involve passing an electric current through a solution such that a film of a material, usually a metal or an oxide, is deposited on one of the electrodes immersed in the solution. Electrolytic deposition, or electroplating, is the deposition of a metal by this method. According to Campbell [29.75, 76], of the 70 metallic elements, 33 can be electroplated successfully, although of these only 14 are deposited commercially. The metallic film is deposited on the cathode, and its thickness and the time in which this occurs are determined by the two laws of electrolysis:
1. The mass of the deposit is proportional to the quantity of electricity passed. 2. The mass of material deposited by the same quantity of electricity is proportional to the electrochemical equivalent, E. This can be expressed as W (29.34) = JtEα , A where W is the mass deposited on a cathode of surface area A, J is the current density, t is the time of deposition and α is the current efficiency, or ratio of the expected to the theoretical mass deposited. Its value is generally in the range 0.5–1.0. The previous expression can be rewritten in terms of the film deposition rate, R (or thickness d per unit time t), by noting that the film density ρ = W/Ad. Combining this with (29.34) gives R=
d JEα = . t ρ
(29.35)
When a high current is passed through a suitable electrolyte, metallic ions move towards the cathode under the influence of the applied electric field. The mass deposited, and the deposition rate are given by (29.34) and (29.35), and may easily be estimated if E and ρ are known. These are tabulated for the 14 metals commonly
Part C 29.1
tively confined to the racetrack, unwanted sputtering from the substrate and the walls of the chamber are reduced, as is the substrate temperature. The gas pressure required to sustain the discharge is also reduced, owing to the greater ionisation efficiency. A further improvement in the technique of magnetron sputtering may be obtained by the use of an unbalanced magnetron, as described by Window and Savvides [29.73]. In spite of its excellent high deposition rate, magnetron sputtering generally produces films which have a columnar structure. This leads to the presence of voids, both within grains and at grain boundaries. Low-energy ion bombardment has been shown to improve adatom mobility, allowing atoms to migrate on the depositing surface and filling some of the voids [29.72]. Various computer simulations described in this reference have illustrated the importance of ion beams in depositing dense, void-free films. It is possible to generate an ion current in the plasma by using an unbalanced magnetron where the inner and outer magnets are deliberately unbalanced from the ideal magnetron configuration. Further details concerning the field characteristics of unbalanced magnetrons and of the unbalanced magnetron technique are given in the literature [29.72, 73]. The method has been used to successfully deposit various types of film, including those intended for electrical and optical applications, corrosion protection, and wear and abrasion resistance. A fuller discussion of materials prepared using this method is given by Rohde [29.72]. Magnetron sputtering may also be used in conjunction with other sputtering techniques. A combination of RF and magnetron sputtering is frequently used, for example for Co:M alloys where M represents Al, Si, Ti, Cr, Fe, Ni, Cu, Zr, Nb, Mo, Ag, Ta or W [29.74], and also for the deposition of silicon nitride films [29.60]. Thus sputtering has developed from a relatively simple DC technique capable only of the deposition of conductors at a relatively low rate, to encompass both conductors and insulators (RF sputtering) and with sputtering rates suitable for high-throughput industrial processes (magnetron sputtering). Improvements in film morphology have been accomplished by the use of unbalanced magnetron sputtering.
29.1 Deposition Methods
678
Part C
Materials for Electronics
deposited by this method [29.75]. Deposition rates can be very high, depending on the current drawn through the electrolyte. For example, silver will deposit at a rate of about 1 nm/s for a current density of 10 A/m2 , rising to 1 µm/s at 10 000 A/m2 [29.75]. Further details of the deposition of alloys are given in a standard text [29.77], while the growth and structures of electrodeposits are described by Lawless [29.78]. A second technique which is used for the deposition of oxides (or less commonly for other compounds such as nitrides), is that of anodisation. As its name implies, films are deposited on the anode of the parent metal, following a chemical reaction. The chemical equations describing the anodisation process are given below [29.75]: M + nH2 O → MOn + 2nH++ 2n e− (at the anode), 2n e− + 2nH2 O → nH2 ↑+2nOH− (at the cathode), (29.36)
Part C 29.1
where M represents the metal and n is an integer specifying the oxide deposited. The oxide grows in an amorphous form at the metallic anode surface, while hydrogen is evolved at the cathode. The electrolyte is typically an aqueous solution of water containing a dilute acid. In general a constant current is passed through the cell and film thickness is proportional to the time elapsed. Films grown under constant-voltage conditions, show a decreasing deposition rate with time, as the current falls to zero when the voltage dropped across the film increases to the total applied voltage. Thus the maximum thickness of the film is determined by the voltage used. Growth rates for anodic films under constant-current conditions are of the same order as those for the PVD methods of evaporation and sputtering. Aluminium and tantalum anodisation at a current density of 20 A/m2 gives growth rates of the order of 1 nm/s [29.76]. Considerably more detailed discussions of anodic oxide films in particular are given in the literature [29.79, 80]. These cover considerably more details of the types of films that may be successfully prepared, their structures, characterisation methods and copious references to related work. Chemical Vapour Deposition (CVD) Chemical vapour deposition is a method whereby a volatile compound reacts, with other gas species, to produce the required compound on the substrate. CVD does not require operation under vacuum, as with the PVD processes of evaporation, MBE and sputter-
ing. Moreover, it is capable of producing both silicon and gallium arsenide (GaAs) semiconductor films, and to grow these on substrates of the same material as high-quality epitaxial layers (homoepitaxy). For these reasons it has found wide acceptance in semiconductor processing. High deposition rates relative to PVD deposition methods are possible, and controlled doping performed during the deposition process is relatively straightforward. Objects having quite complex shapes can be coated relatively easily, since the film deposition does not depend on line-of-sight geometry as in the case of evaporation and to a lesser extent sputtering. There are however some formidable disadvantages with the technique. Generally much higher substrate temperatures are required in CVD than for the other methods. The reactive gases used in the deposition process and/or the reaction products can be extremely toxic, explosive or corrosive, requiring considerable investment in safety features. This may well be justified in high-throughput semiconductor production processes, but uneconomic for smaller-scale development work, where PVD methods are preferable. Corrosion and effects of unwanted diffusion, alloying and chemical reactions at the substrate surface may occur under the high operating temperatures, and masking of substrates is particularly difficult [29.81]. In principle CVD may utilise any chemical reaction which produces the required material, and therefore the equipment used for the production of a given material is likely to differ from that required for another material. Nevertheless, there are several different classes of reaction which are used in CVD processes which are described in the literature [29.16, 18, 19, 75, 76]. Some of these are outlined below. Pyrolysis or thermal decomposition of a gaseous compound entails passing a vapour over a heated substrate, which causes decomposition and the condensation of a stable solid. This has been used primarily in the production of silicon from SiH4 and nickel from nickel carbonyl. Oxidation is frequently employed to provide SiO2 in silicon processing. Halides of the required metal oxide are reacted with steam to give the oxide and a hydrogen halide. In nitriding the steam is replaced with ammonia (NH3 ), and nitrides such as Si3 N4 may be generated. Reduction reactions use hydrogen gas instead of steam or ammonia in the preparation of silicon and refractive metals such as tantalum or molybdenum from their halides. In disproportionation, a reversible reaction of the type A + AB2 2AB
(29.37)
682
Part C
Materials for Electronics
29.2 Structure
Part C 29.2
In this section some examples of the structures of various types of thin films are described. By the structure, we mean mainly the crystallographic form in which the film is deposited, and also factors associated with this, such as the mean size of crystallites and their orientations. However, the gross morphology of films is also included, and this covers features generally larger than the grain size. The basic type of film, i. e. epitaxial, polycrystalline or amorphous, is usually deduced by diffraction methods (X-ray, electron or neutron), although we will mainly be concerned with the first of these only. Thus a brief description of the method of X-ray crystallography is first given, followed by examples of different types of films that have been observed. Finally some examples of the larger-scale morphology are described. Several reviews of film structure have been given previously [29.98–100], as well as more generalised discussions in most of the texts covering thin films, to which the reader is referred. However, it should be noted that the large number of variables encountered in thin-film deposition processes (e.g. substrate temperature, deposition rate, angle between vapour stream and substrate) permit films of differing structures to be deposited under nominally similar conditions. It is always wise, therefore, before interpreting measurements of any thin-film properties (such as the electrical or optical properties) to first fully determine the structure of the films, and to take this into account in any interpretation.
29.2.1 Crystallography In general films tend to deposit from the vapour phase in one or more of their documented bulk-crystal structures. In crystals, the spatial arrangement of the atoms exhibits a symmetry, which can be replicated throughout threedimensional space by repetition of a basic unit, called the primitive unit cell. The unit cell of any crystal structure may be specified by the magnitudes of three vectors a, b and c representing the unit cell lengths, and the angles α, β and γ between the three vectors. Symmetry considerations allow all crystals to be classified into seven crystallographic structures, namely the cubic, tetragonal, orthorhombic, hexagonal, trigonal, monoclinic and triclinic forms, of which only the first three are orthogonal. Conditions on the equality or otherwise of the lengths a, b and c and the angles between them determine into which type the structure of a given material falls. In three dimensions the atoms arrange themselves in planes, with gaps between the planes known as the
planar spacing, dhkl . In any perfect crystal there are many sets of crystal planes that may be identified. The possible planar spacings are given by different expressions, depending on the crystal structures. For example, in the cubic structure (a = b = c, α = β = γ = 90◦ ) 1 2 dhkl
=
h 2 + k2 + l 2 . a2
(29.39)
In the tetragonal structure (a = b = c, α = β = γ = 90◦ ) 1 2 dhkl
=
h 2 + k2 l 2 + 2 a2 c
(29.40)
and in the hexagonal structure (a = b = c, c⊥a, γ = 120◦ ) 4 h 2 + hk + k2 l2 1 = (29.41) + 2 . 2 2 3 a c dhkl In these equations a, b and c represent the lengths of the sides of the primitive unit cell, and h, k and l are integers. Similar, but more complex, equations exist for the other crystal structures which have less symmetry. For bulk crystals having unit cell sides of the order of nanometres, the crystal planes act as three-dimensional diffraction gratings, which reflect an incident beam of radiation according to Bragg’s law, λ = 2dhkl sin θ ,
(29.42)
where λ is the wavelength of the radiation, and θ is the angle between the incident beam and the reflecting planes. The values of λ for X-rays, electrons and neutrons may be chosen such that they are of the same order as dhkl , and therefore diffraction will occur at suitable angles. These angles are those which satisfy Bragg’s law, and are therefore determined by the radiation wavelength λ and by the integer values (h, k and l) applying to the sets of reflecting planes present. (hkl) then specifies the set of reflecting planes, and also the orientation. For perfect crystals, reflections only occur at certain well-defined angles. If the crystal is rotated with respect to the incident beam of radiation, the deviation angle between the incident and reflected beam is 2θ. If the material, instead of being perfect, is made up of a large number of differently oriented microcrystallites, i. e. it is polycrystalline, the diffraction method will pick up reflections from a large number of individual crystallites, and therefore provide data on all the reflecting planes present. A particular set of planes will only reflect at
Thin Films
a specific value of 2θ, and by observing the reflecting angles the planar spacings dhkl can be determined. By reference to standard tabulated data (such as the International Tables of Crystallography), which give details of the reflections and intensities expected for most crystalline materials, a considerable amount of information can be determined. This includes the nature of the phases present, their preferred orientations and a measure of the microcrystallite size. X-ray diffraction is the most commonly used technique. The penetration depth of X-rays can be quite significant, and if the film is too thin they can penetrate down to the substrate. Thus X-ray diffraction often gives information on the substrate as well as the film. If this is to be avoided, the film thickness needs to be increased or the X-rays directed at a low glancing angle with respect to the substrate surface. Electrons have a considerably lower penetration ability, and therefore give information only on the immediate surface region. Neutron diffraction is used particularly in the investigation of magnetic films.
29.2.2 Film Structure
Substrate temperature during deposition and subsequent thermal history after deposition can have a profound effect in determining the initial structure, orientation and subsequent phase changes. Some examples of these effects are included in the following discussion. In the case of epitaxial films, a large number of factors determine whether the film is indeed epitaxial, and if so the crystalline orientation that will result. The main concepts that underlay the growth of epitaxial films are the equilibrium thermodynamics of the growing nuclei, the evaporation process as described by the Hertz–Knudsen expression (29.5), surface kinetics and mobility on the substrate and the growth of defects [29.101]. The interdependence and interaction of these various processes, which are generally all temperature-dependent, lead in many cases to a particular value of temperature (usually called the epitaxial temperature) only above which epitaxy can take place. Depending on the relative difference between the unit cell dimensions of the substrate and the films (often quantified as the misfit) there is frequently a considerable stress between them, which is released by a network of imperfections (dislocations). Details of the epitaxial growth are strongly related to various theories of nucleation. There are several of these, based on the characteristics of microscopic nuclei on the substrate. These grow during the deposition process, and if the conditions are suitable, can result in the deposition of epitaxial films. In the capillarity model [29.9, 27] the nuclei become stable when they reach a particular size (the critical nucleus). Above this size the nucleus is stable and continues to grow. However, in this case the size of the critical nucleus is of the order of 100 atoms or more. An alternative theory of nucleation has been proposed for critical nuclei consisting of only a few atoms [29.102, 103]. In this model certain geometries have been postulated for the critical nucleus under different conditions of supersaturation and substrate temperature. The rate of nucleation is given by ∗ R n I = Ra02 N0 γN ∗ 0 (n + 1)Q ad − Q D + E n ∗ × exp (29.43) . kB T In this expression the symbols have the following meanings: R is the impingement rate of atoms on the substrate, a0 is a characteristic jump distance of the atoms across the substrate surface, N0 is the concentration of adsorption sites, γ = h/kB T is the vibrational frequency, where T is the substrate temperature, and n ∗ represents the
683
Part C 29.2
Apart from the detailed type of crystal structures observed, it is useful to divide the structures of thin films into three main categories, according to their crystallographic perfection (or lack of it). Epitaxial films are essentially perfect films grown on a substrate surface with all the atoms arranged predictably in their correct crystallographic positions. There may be certain defects, such as vacancies, interstitial atoms or impurities, but the deviation from perfection should be relatively small. Furthermore, there may be some slight deviations in the unit cell dimensions from those of the corresponding bulk material, owing to idiosyncrasies of the deposition method or the substrate surface, and in particular to stresses built up in the film during deposition. Various methods have been devised to deposit epitaxial films, such as molecular-beam epitaxy (MBE) and vapour-phase epitaxy, both of which are used in the semiconductor industry for preparation of high-quality films. Non-epitaxial films are frequently polycrystalline, having a large number of grains, oriented in different directions, and separated by grain boundaries. The grain size is heavily influenced by the deposition conditions, and can have a profound effect on secondary properties, such as the electrical conductivity, in which grain boundaries influence the electron mean free path. Finally, amorphous films have no long-range order, being noncrystalline, with only short-range order apparent.
29.2 Structure
Thin Films
p) and perpendicular (denoted by s) to the plane of incidence. Therefore there are two reflection coefficients r1p and r1s , and two transmission coefficients, t1p and t1s . The 1 in the subscripts in this notation refers to the first interface, i. e. between the materials with refractive indices n 0 and n 1 in this case. More complex systems will have more than one interface, where 2, 3, etc., signify the second or third interfaces. The Fresnel coefficients for reflection are [29.1, 15] n 1 cos ϕ0 − n 0 cos ϕ1 , r1p = n 1 cos ϕ0 + n 0 cos ϕ1 n 1 cos ϕ1 − n 0 cos ϕ0 r1s = , (29.48) n 1 cos ϕ1 + n 0 cos ϕ0 and those for transmission are 2n 0 cos ϕ0 , t1p = n 1 cos ϕ0 + n 0 cos ϕ1 2n 0 cos ϕ0 . (29.49) t1s = n 1 cos ϕ1 + n 0 cos ϕ0 Clearly these coefficients reduce to
A similar expression for the energy transmittance T at the boundary can also be derived from t, but in this case the incident and transmitted beams are in different media, and the expression is not simply r 2 , but includes the ratio n 1 /n 0 . Full details are given elsewhere [29.18, 138]. We consider now the slightly more complex situation of a thin film of thickness d1 and refractive index n 1 deposited on a substrate of refractive index n 2 . In this case the value of n 0 for the incident medium will usually be the free-space value of unity. There will be multiple reflections as shown in Fig. 29.19b. It is clear that the total amplitude of the reflected beam is given by summing the amplitudes of the individual reflections into the incident medium, while the total amplitude of the transmitted beam is obtained by summing the amplitudes of the transmitted components into the substrate.
693
In traversing the film of thickness d1 , the radiation undergoes a change in phase, which is accounted for by a phase thickness δ1 , given by 2π (29.52) n 1 d1 cos ϕ1 , λ where λ is the wavelength in vacuum. In each case the summations lead to infinite series. These involve exp(−i2δ1 ) for reflection, where the beam traverses the film an even number of times, and exp(−iδ1 ) for transmission, where the beam traverses an odd number of times. The results of these summations give the amplitude reflectance R and transmittance T , respectively, as r1 + r2 exp(−2iδ1 ) R= (29.53) 1 + r1r2 exp(−2iδ1 ) δ1 =
and T=
t1 t2 exp(−iδ1 ) 1 + r1r2 exp(−2iδ1 )
(29.54)
In this case r1 and t1 represent the Fresnel coefficients between n 0 and n 1 , and r2 and t2 the coefficients between the media n 1 and n 2 . Depending on whether we are considering parallel or perpendicular polarisation (p or s) the relevant Fresnel coefficients from (29.48) and (29.49) should be used in these expressions. Equation (29.53) and (29.54) refer to the ratios of the amplitudes of the reflected and transmitted beams to that of the incident beam. In terms of the beam energies, the reflectance R and the transmittance T may be defined as the ratios of the reflected and transmitted beam energies to that of the incident energy. The energies of the reflected and transmitted beams are given by n 0 RR∗ and n 2 TT ∗ respectively, where R∗ and T ∗ represent the complex conjugates of R and T . We then obtain R=
r 2 +r 2 +2r1r2 cos 2δ1 n0 RR∗ = 1 2 22 n0 1 + r1 r2 + 2r1r2 cos 2δ1
(29.55)
for the reflectance, and T=
t12 t22 n2 ∗ n2 TT = n0 n 0 (1 + 2r1r2 cos 2δ1 + r12r22 ) (29.56)
for the transmittance. Although these latter two expressions are reasonably compact, when the four Fresnel coefficients are substituted they become somewhat unwieldy. However, for the important case of normal incidence, where (29.50) applies, and where (29.52) simplifies to δ1 = (2π/λ)n 1 d1 , they reduce to still fairly
Part C 29.3
2n 0 n1 − n0 , t= (29.50) r= n1 + n0 n1 + n0 for the case of normal incidence where all the cosine terms become unity. There is no difference in this case between the coefficients for the p and s components. An expression for the energy reflectance at the interface R can be obtained by evaluating rr ∗ , where r ∗ is the complex conjugate of r. For nonabsorbing films r is real and this reduces to r 2 , and gives for normal incidence n1 − n0 2 R= . (29.51) n1 + n0
29.3 Properties
Thin Films
of (29.50), and in the expression for the phase thickness of (29.52) at normal incidence allows the amplitude reflectance R to be obtained. The energy reflectance R can be obtained by substituting the complex expressions for r and δ directly into (29.53) and evaluating RR∗ . For more than two or three layers the results become intensely unwieldy, and matrix methods as mentioned below are considerably more useful. A simple example of the use of the complex refractive index will suffice. If we take the case of an incident beam in a nonabsorbing medium such as air with refractive index n 0 , incident upon an absorbing medium with complex refractive index n1 = n 1 − ik1 , the amplitude reflectance can be determined by replacing n 1 by n 1 − ik1 in (29.50) and evaluating rr ∗ . In this case the energy reflectance at the boundary becomes R=
(n 0 − n 1 )2 + k12 (n 0 + n 1 )2 + k12
(29.61)
695
where δr is the phase thickness and nr is the refractive index of the r-th layer. The electric and magnetic field intensities in the adjacent (r − 1)-th layer, Er−1 and Hr−1 , are related to those in the r-th layer Er and Hr by Er−1 Er (29.63) = Mr . Hr−1 Hr Repeated application of (29.63) to a stack of layers enables E 0 and H0 in the incident medium (n 0 ) to be expressed in terms of the field intensities in any other layer of the stack. Knowing the n values for each of the various layers of the stack, a characteristic matrix (also 2 × 2) for the entire stack is obtained by repeated matrix multiplication of the individual layer matrices, and allows E 0 and H0 to be obtained in terms of the transmitted field intensities at the opposite side of the stack. The energy reflectance is then easily obtained. Similar matrices to those in (29.62) may also be defined for the case of absorbing films, although it is then usual to work in terms of the layer admittance η, defined as the ratio of the transverse components of the magnetic to the electric vectors [29.138]. A relatively simple example of an interesting system is a stack of layers of equal optical thickness, but with alternating high (H) and low (L) refractive indices [29.140]. If the optical thicknesses correspond to λ/4 (a quarter-wave stack), there is a highly reflective region at the wavelength λ, with other highly reflecting regions at 2λ, 3λ, etc. The reflectance value becomes higher the more layers there are in the stack, and approaches unity for a few layers. If the refractive index of the incident medium is n 0 , that of the substrate n S , and those of the high- and low-index regions n H and n L , respectively, the energy reflectance for an even number of layers 2s(n L next to substrate) is given by nS f − n0 2 R2s = (29.64) nS f + n0 where f = (n H /n L )2s . For an odd number of layers (2s + 1), with n H next to the substrate, the energy reflectance becomes 2 n 2H f − n 0 n S . (29.65) R2s+1 = n 2H f + n 0 n S The bandwidth of the highly reflecting region depends on sin−1 [(n L − n H )/(n L + n H )], and thus is limited by the refractive indices available. Bandwidth can be increased by using a stack with layer thicknesses increasing in geometrical progression.
Part C 29.3
in contrast to the result of (29.51) for a nonabsorbing medium. In general, in absorbing films, the planes of constant phase are not parallel to the planes of constant amplitude for the electromagnetic wave disturbances. Only for the special case of normal incidence is this true, and thus in absorbing films it is usual to consider normal incidence only. The general case of oblique incidence is discussed in the standard texts on the optics of thin films [29.137, 138]. The behaviour of the energy reflectance and transmittance depend on the optical constants n and k. We have seen that in transparent films, R and T oscillate with the film thickness due to interference effects. In absorbing films with small k values, the oscillatory behaviour remains, but the amplitudes of the maxima decrease with increasing films thickness. For higher absorption values, particularly in the case of metals, intensity maxima are not observed, and an exponential decrease in transmittance with film thickness is seen. Of particular use in the case of thin film stacks containing several layers is the matrix formalism, which allows the reflection and transmission properties to be determined. A 2 × 2 matrix characterises each layer of the stack, and allows the electric and magnetic field strengths to be expressed in terms of those of the adjacent layer. For transparent films this is given for the r-th layer in the form [29.140] cos δr i sin δr /nr (29.62) Mr = , inr sin δr cos δr
29.3 Properties
696
Part C
Materials for Electronics
Part C 29.3
In addition to antireflection and highly reflecting coatings, various types of optical filters can be designed. Perhaps the simplest is the Fabry–Perot interference filter, which consists of two highly reflecting stacks, separated by a layer of optical thickness λ/2. This results in a very narrow transmission band at wavelength λ, with a rejection region on either side. Filter designs are usually based on computer evaluations of the optical matrices. These include band-pass, bandstop and edge filters. These are well beyond the scope of the present article and the reader is referred to the literature [29.30, 138, 141, 142]. In this section some of the basic optical properties have been described, with the primary emphasis on nonabsorbing films at normal incidence. Several interesting film arrangements have been described, again for nonabsorbing films. Implicit to any system there is some absorption, but this can be accounted for if both the optical constants are known. Usually the design process involves the manipulation of several layer matrices, but this is handled effectively by modern computer technology. The optical properties of highly absorbing films are described by Abel`es [29.143], who also considers inhomogeneous films. Other useful references on the theory and detailed optical properties of various aspects of thin films may be found in the literature: theory and calculations [29.144], antireflection coatings [29.145], filters [29.142, 146, 147] and mirrors [29.148].
29.3.2 Electrical Properties The electrical properties of thin films are one of their most important physical features, and differ from those of the corresponding bulk material for various reasons. Electrical properties cover a particularly wide field, and in this section only two important examples are covered. The first of these is the lateral conductivity (or corresponding resistivity) of thin metallic films. In metals we are usually concerned only with one type of charge carrier, electrons, and thus variations in conductivity σ due to the presence of both carrier types (such as compensation effects) are not considered. The main cause of deviations from the bulk material conductivity values is curtailment of the bulk electron mean free path λ0 by the dimensions of the film. Clearly, if the film thickness d < λ0 , many instances of electron scattering occur at the film surfaces, thus reducing the effective electron mean free path and decreasing the conductivity. Modern theories also take into account electron scattering by individual grain boundaries as well as by the film surfaces. The second example of electrical properties in thin films
is that of high-field conduction in insulators and semiconductors. Electric fields in thin films may approach the dielectric breakdown strength, and under these conditions the usual ohmic behaviour is not followed, but a range of different limiting conduction processes may take place. Conduction in semiconductors at somewhat lower electric fields is not discussed here, but is covered in detail elsewhere in the literature [29.1, 15, 18, 149]. Semiconductor conductivity is a broad subject, underlying the operation of many different electronic devices. We might note that the conductivity of semiconductors in their surface regions (and therefore also in semiconductor thin films) differs from that of the bulk material owing to depletion or accumulation regions at the surfaces, and that the application of a surface electric field forms the basis of several semiconductor devices, such as metal–oxide–semiconductor (MOS) transistors. Furthermore, the mobility of carriers in semiconductors frequently follows similar variations to those of the conductivity in metallic films, for essentially the same regions, in that the surface and/or grain boundary scattering significantly influence the mobility and thus the conductivity. Electrical conductivity in metallic films The electrical conductivity in bulk metals σ0 is given generally by an expression of the form
σ0 =
ne2 λ0 , mv
(29.66)
where n is the concentration of free electrons, e is the electronic charge, λ0 the bulk electron mean free path, m the electron effective mass and v the electron Fermi velocity. This expression results from the free-electron gas theory of metals, derived from the work of Drude, Lorentz and Sommerfield. The main feature of this result is that the electrical conductivity is proportional to the mean free path, and that if the mean free path is curtailed by any means, then the conductivity will be reduced, all other considerations remaining unaltered. A further consideration is the well-known result termed Matthiessen’s rule, ρ0 = ρd + ρph (T ) ,
(29.67)
where the bulk resistivity ρ0 (= 1/σ0 ) is given by the sum of contributions from static defects and impurities ρd and from phonons ρph (T ). The latter contribution effectively isolates the main temperature-dependent effect from other scattering mechanisms, unless they too are temperature-dependent. Temperature-dependent
698
Part C
Materials for Electronics
Part C 29.3
Figure 29.21 shows the dependence of ρf /ρ0 (= σ0 /σf ) on k over the range 0.1–10 for the curve labelled p = 0 (diffuse scattering). Here it is clear that the resistivity drops to the bulk value for thick films. Various approximations for (29.72) are frequently quoted to aid computation in specific thickness ranges. For thick films (k 1) σf ρ0 3 = ≈ 1− (29.74) . σ0 ρf 8k This clearly shows that as k → ∞, σf → σ0 . For thinner films (k 1), Campbell [29.153] quotes ρ0 3k 1 σf = ≈ (29.75) ln + 0.4228 σ0 ρf 4 k and for very thin films Sondheimer [29.154] gives ρ0 3k 1 σf = ≈ (29.76) ln . σ0 ρf 4 k Equations (29.70), (29.71), (29.75) and (29.76) give some confidence in the general approach, since they are all of comparable form, with the righthand sides each consisting of a constant × k × [ln(1/k) + another constant]. Fuchs also considered the case where the electron scattering at the surfaces was not totally randomised, and that a constant proportion of electrons p are scattered specularly. p is termed the specularity parameter, where p = 0 for the case of totally diffuse scattering and p = 1 for totally specular scattering. Clearly in the latter case the film surfaces do not affect the conductivity, and σf reduces to the bulk value. Figure 29.21 also shows the variation of ρf /ρ0 for p = 0.5 (intermediate between diffuse and specular scattering) and p = 1 (totally specular scattering). Again for the case of some specular scattering there are limiting expressions for thick films (k 1) and thin films (k 1), given respectively by σf ρ0 3(1 − p) = ≈ 1− (29.77) σ0 ρf 8k and σf ρ0 3k 1 = ≈ (1 + 2 p) ln + 0.4228 , σ0 ρf 4 k (29.78)
where p is small in (29.78). For totally diffuse scattering, where p = 0, these two expressions reduce to the previous results of (29.74) and (29.75). An alternative expression for thin films is also given by Sondheimer [29.154], σf ρ0 3k 1 + p 1 = ≈ (29.79) ln . σ0 ρf 4 1− p k
This reduces to (29.76) for p = 0, and for small k and p is consistent with (29.78). Both Lucas [29.155] and Juretschke [29.156, 157] have extended the specularity analysis by assuming a different specularity parameter for each side of the film, i. e. p and q. This is of course quite reasonable, in that the specularity parameter of the lower (substrate) surface is likely to be heavily influenced by the substrate surface. The thick- (k 1) and thin-film (k 1) approximations in this case are then σf ρ0 3 p+q = ≈ 1− (29.80) 1− σ0 ρf 8k 2 and σf ρ0 3k (1 + p)(1 + q) 1 = ≈ (29.81) ln , σ0 ρf 4 (1 − pq) k respectively. For identical surfaces with p = q, these expressions reduce to (29.77) and (29.79) respectively. Tabulated values of ρf /ρ0 are given by Chopra [29.18] as functions of k for various combinations of p and q. The whole topic of the size-dependent electrical conductivity in thin metallic films and wires is thoroughly reviewed by Larson [29.158], but omitting the important work on grain-boundary scattering of Mayadas and Shatzkes [29.159]. This is, however, covered in a more recent book reviewing size effects in thin films generally [29.160], which also includes useful tabulated conductivity data. As mentioned above, an important development in size-effect models came about with the inclusion of grain-boundary scattering [29.159]. In a bulk sample, the individual grains are normally considerably larger than the bulk mean free path λ0 , and do not therefore affect the conductivity. However, in thin films, the grain sizes and orientations depend on the preparation method and conditions. Typical grain sizes are of the same order as λ0 and therefore scattering at their boundaries will influence the conductivity. Mathematically, the model takes account of the fact that grains tend to grow in a columnar structure, with the axes normal to the film plane. Single grains usually extend between both film surfaces, and thus their upper and lower boundaries do not affect the conductivity. Mayadas and Shatzkes argue that only grain boundaries normal to the film plane influence the conductivity. The grain boundaries are represented by δ-function potentials, and are used in the model in the solution of the Boltzmann transport equation, subject to suitable boundary conditions. The model is developed in terms of a dimensionless parameter α, given by λ0 R α= (29.82) , D 1− R
700
Part C
Materials for Electronics
This useful result implies that σf ρ0 αT f = = σ0 ρf αT 0
(29.90)
and that for the case of thicker films the ratio of the filmto-bulk temperature coefficient of resistivity is equal to the ratio of film-to-bulk conductivity. For the case of thinner films (k 1), the situation is complicated by the fact that ρs is also temperature-dependent, because the mean free path decreases with increasing temperature and becomes significant for small k. Various calculated data for αT f are given elsewhere in the literature [29.6, 18, 153, 158, 160], to which the reader is referred for a fuller discussion. Discussions concerning the applicability of the various models described above to several types of metallic film are also given in some of these references, and a wider coverage including additional contributions to the size effect models, which cannot be included in the present work, is given in the book by Tellier and Tosser [29.160].
Part C 29.3
High-field conduction in insulating and semiconducting thin films Here electrical conductivity is considered for the case where a voltage is applied across an insulating or semiconducting thin film prepared in a sandwich configuration between metallic electrodes. This situation is in direct contrast to that described in the previous section, in that the intrinsic film conductivity is significantly lower, and the electric field applied can be extremely high, even when only low voltages are applied. The latter point is clearly illustrated by the fact that in a film of thickness 1 µm subjected to a voltage of only 10 V, the mean electric field established across the film is 107 V/m, approaching the dielectric breakdown strength of many materials. Several high-field conduction mechanisms that may in principle occur in thin dielectric films have been thoroughly reviewed in the literature [29.164]. These have also been observed in relatively wide-band-gap semiconducting films. These processes are discussed later in this section, together with the appropriate current density–voltage expressions which aid in their identification and analysis. First, however, it is useful to discuss the types of electrical contact which may be applied to dielectric films, since the dominant conduction process is frequently determined by the type of electrical contact and its interfacial properties. Simmons [29.164] has demonstrated that the type of electrode used significantly influences the conduction processes which may be observed; the various conduction mechanisms were classified as either bulk-limited
or electrode-limited. For the former the charge carriers are generated in the bulk of the material and the electrodes merely serve to apply a potential which generates a drift current. In the latter, at the interface between the electrode and the insulator or semiconductor, a potential barrier to the flow of charge is established, which limits the current. Contacts themselves are said to be either ohmic or blocking, with an intermediate neutral contact. Blocking contacts are conventionally known as Schottky barriers. In principle, the type of contact formed depends on the relative work functions of the insulator or semiconductor ψi and that of the metal contact ψm . Excellent energy-band diagrams of the various types of contact are given in the literature [29.164], and are reproduced elsewhere in descriptions of contacts to cadmium compound films [29.38] and to nanostructures [29.11]. In equilibrium the vacuum and the Fermi levels are continuous across the interface, and with no applied voltage the Fermi level also must be flat, in order that no current flows. Away from the interface in the bulk of the material, the energy difference between the vacuum and Fermi levels will be equal to the work function ψi , and it can easily be seen that the potential barrier height at the interface ϕ0 is given by ϕ0 = ψm − χ ,
(29.91)
where χ is the film electron affinity and ψm is the metal work function. However, it is the type of contact rather than its precise barrier height which determines the type of conductivity observed. We consider primarily electrons as the charge carriers, as it has been argued that for insulators conduction by holes may normally be ignored, owing to relatively low mobilities and to immobilisation by trapping effects. Similar arguments are also applicable in the case of wide-band-gap semiconductors, and thus for both insulators and some semiconductors ntype conductivity is usual. For p-type conductivity, the conditions concerning the relative values of the work functions are reversed [29.165]. Ohmic contacts occur for electron injection when ψm < ψi . Electrons are injected from the electrode into the insulator or semiconductor in order to comply with thermal equilibrium requirements. They are subsequently located within the insulator or semiconductor conduction band and penetrate a distance λ0 below the interface, forming a region of negative space charge which is termed an accumulation region. Upwards band bending of the conduction band occurs in the accumulation region, beyond which the dielectric is shielded from the electrode. The accumulation region acts as a charge reservoir, and can supply electrons to the
Thin Films
701
law, V (29.92) , d where n 0 is the thermally generated carrier concentration (electrons), e is the electronic charge, µ the mobility and d the film thickness. When the injected electron concentration exceeds that of the thermally generated concentration the SCLC current becomes dominant. SCLC current density–voltage relationships are derived by taking into account both the drift and diffusion currents, and solving Poisson’s equation. This results in an expression for the electric field as a function of distance from the interface. Charge-carrier traps normally exist within the insulating or semiconducting film, and these are effective in mopping up or immobilising most of the injected electrons. For shallow traps located at a discrete energy E t below the conduction band edge the SCLC current density is given by [29.164] J = n 0 eµ
9 V2 J = εr ε0 θµ 3 , (29.93) 8 d where εr is the relative permittivity of the material, ε0 the permittivity of free space and θ is the ratio of free to trapped charge. This expression predicts that J ∝ V 2 and J ∝ d −3 , and the search for these relationships is an important tool in identifying SCLC dominated by a discrete trap level. For perfect materials which do not contain traps, θ is replaced by unity in (29.93). The ratio of free to trapped charge is given by [29.167] Nc Et θ= exp − (29.94) , Nt(s) kB T where Nc is the effective density of states at the conduction band edge, Nt(s) is the shallow trap concentration located at the discrete energy level, kB is Boltzmann’s constant and T is the absolute temperature. A transition between ohmic conductivity and SCLC takes place when the injected carrier concentration first exceeds the thermally generated carrier concentration. An expression for the transition voltage Vt at which this occurs is obtained by simultaneously solving (29.92) and (29.93) to yield Vt =
8en 0 d 2 . 9θεr ε0
(29.95)
SCLC of this type, showing square-law J–V behaviour, has been observed in many types of thin films. In SbS3 dielectric films, with bismuth oxide ohmic contacts, the current was at first ohmic, before a transition to SCLC [29.168]. Current density was also found to be
Part C 29.3
material as required by the bias conditions. It has been pointed out by Simmons [29.164] that the conductivity is limited by the rate at which electrons can flow into the bulk of the material, and is therefore bulk-limited. Conversely, blocking contacts or Schottky barriers occur when ψm > ψi . In this case the initial flow of charge is reversed, with electrons flowing from the insulator or semiconductor to the metal electrode. In contrast to the case for ohmic contacts, this results in a positive space-charge region near the interface in the insulator or semiconductor, which, owing to the absence of electrons, is termed a depletion region. An equal and opposite negative charge is induced on the electrode, and the interaction between the two charges establishes a local electric field near the interface. In this case the conduction band in the insulator or semiconductor bends downwards, and the free-electron concentration in the interfacial region is much lower than in the bulk of the film material. The rate of flow of electrons is thus limited by the rate at which they can flow over the interfacial barrier, and the conductivity is electrode-limited. Although, in principle, the type of contact established depends on the relative values of ψm and ψi , in reality such considerations are usually inadequate in predicting the type of contact formed, because of the existence of surface states. These are due either to the effects of unsaturated bonds and impurities at the interface [29.166] or to the departure from periodicity in the structure at the interface. It is very difficult to accurately predict a priori the presence and concentration of surface states. If a significant concentration of surface states does exist, (29.91) is inapplicable and the type of contact and the conduction process are determined almost entirely by their presence. In the remainder of this section the bulk-limited conduction processes are first considered, where the conductivity does not depend on the barrier height at the interface. Following this the electrode-limited processes are considered, where the barrier height becomes a significant feature in the current density–voltage (J–V ) equations. A particularly important conduction process in insulating and semiconducting thin films is that of spacecharge-limited conductivity (SCLC). For SCLC to occur there is a requirement that the injecting electrode is an ohmic contact. Thus there is a charge reservoir available in the accumulation region, and electrons do not need to be excited over a potential barrier. At low voltages the thermally generated carrier concentration exceeds the injected concentration, and the current density J is proportional to the applied voltage V , following Ohm’s
29.3 Properties
Thin Films
(29.100)
Other useful results enabling data to be extracted from these plots are that for the gradient, given by d(log10 J ) εr ε0 V (29.101) = Tt log10 d(1/T ) ed 2 Nt(e) and for the intercept log10 J0 on the log10 J axis, which is eµNc V (29.102) log10 J0 = log10 d This set of equations has been used to determine mobility and trap concentration in several different materials showing this form of SCLC, including copper phthalocyanine, where these quantities were measured as
functions of the ratio of evaporation background pressure to deposition rate [29.189]. In another example the method was used to determine these quantities in semiconducting CdTe films [29.190]. We might mention that Rose [29.167] also explored the possibility of a uniform trap distribution, in addition to discrete energy levels and the exponential distribution. In this case J ∝ V exp(tV ), where t is a temperature-dependent constant, but this is observed far less frequently than the square-law and power-law behaviour described above. An example is in the case of electrodeposited p-type CdTe films [29.191], where Rose’s expression was followed and the trap concentration per unit energy range was 1021 eV−1 m−3 . It was also observed in early work on copper phthalocyanine films with ohmic Au electrodes [29.192]. The discussion above for all types of SCLC considers carrier injection of a single type only. Double injection, when one electrode is ohmic for electrons and the other ohmic for holes, is covered in detail in the text by Lampert and Mark [29.193] on current injection in solids, and is also discussed by Lamb [29.166]. The second bulk-limited conduction process to be discussed is the Poole–Frenkel effect, which is essentially the field-assisted lowering of the Coulombic potential barrier ϕ between electrons located at impurity sites and the edge of the conduction band. Electrons in such centres are unable to contribute to the conductivity until they overcome the potential barrier ϕ and are promoted into the conduction band. For an applied electric field F the potential is reduced by an amount eFx where e is the electronic charge and x is the distance from the centre. For high electric fields the lowering of the potential can be significant. Such a variation is illustrated in the literature, usually for the case of a donor level located an energy E d below the bottom of the conduction band [29.164]. The potential energy of the electron in the Coulombic field is −e2 /4πεr ε0 x, and there is also another contribution to the potential energy, −eFx, resulting from the applied field F. The combined effect of both these contributions to the potential energy is that there is a maximum in the potential energy for emission at a distance xm from the centre. The effective potential barrier for Poole–Frenkel emission is then lowered by an amount ∆ϕPF , which depends on the electric field according to the relationship ∆ϕPF = βPF F 1/2 , where βPF =
e3 πεr ε0
(29.103)
1/2 (29.104)
703
Part C 29.3
J–V dependence is shown in Fig. 29.23 [29.180] for three different film thicknesses, and is typical of many results on various different materials showing an exponential trap distribution. Similar exponential trap distributions have also been identified in some CdSe films [29.184–186], notwithstanding the earlier results which indicated discrete trap levels [29.173, 174]. The differences between these two classes of results appear to depend on the different deposition conditions and processing used. Exponential trap distributions are extremely prevalent in phthalocyanine films, and were originally investigated by Sussman [29.187] for copper phthalocyanine films with ohmic Au electrodes. The material was shown to be p-type, and exhibited exponent values n in the J–V characteristics in the range 2.6–4.0. The predicted thickness dependence for this type of conductivity was also observed. Many other phthalocyanine films have subsequently shown this type of conductivity, and data on these are tabulated and compared in the literature [29.111]. Total trap concentrations for the exponential distributions are in the range 6 × 1020 –9.3 × 1026 m−3 . Using the above Eqs. (29.96–29.98) as a starting point, it was shown by the present author [29.188] that for SCLC dominated by an exponential trap distribution, measurements of J as a function of temperature at constant applied voltage in the SCLC region are sufficient to determine both the mobility and the trap concentration. If the data are plotted in the form log10 J against 1/T the curves should be linear, and when extrapolated to negative values of 1/T , should all intersect at a common point irrespective of the applied voltage. The coordinates of this point are given by 2 e µdNc Nt(e) 1 1 log10 J = log10 ; =− . εr ε0 T Tt
29.3 Properties
Thin Films
ers had not considered that Poole–Frenkel conductivity was apparent in the same system [29.206], preferring an interpretation based on two different Schottky barrier widths. Both Schottky and Poole–Frenkel behaviour were observed in oxygen-containing lead phthalocyanine films with two Au electrodes [29.207]. Hopping is a third bulk-limited conduction process which is observed in thin films. This type of conductivity is observed particularly in noncrystalline materials, and is thoroughly discussed in various texts concerned with this topic [29.121, 208]. In this type of material the lack of long-range order results in a phenomenon known as localisation, where the energy levels do not merge into a continuum, particularly in the band-tail regions at the edge of the energy bands. Electrons are transported through the material in a series of jumps or hops from one localised energy level to another. The hopping process can occur between the localised energy levels even when only small amounts of thermal energy are available, because the localised levels are normally very closely spaced in energy. For this reason, the process can take place at very low temperatures when other processes are energetically impossible. Mott and Davis [29.121] argued that the conductivity σ exhibits different behaviour in different temperature regions. At higher temperatures thermal excitation of carriers to the band edges is possible and extended-state or free-band conductivity can take place, while at lower temperatures, where less thermal energy is available, hopping may occur. Different varieties of hopping may take place, and these are distinguished by the length of the hop. Nearest-neighbour hopping is self-explanatory, while in variable-range hopping the hops are on average further than to the nearest neighbour, but the energy difference between the states involved is lower. In the case of variable-range hopping the conductivity has been shown to follow a relationship of the form [29.208] A 1/4 σ = σ0 exp − (29.108) T where σ0 and A are constants. This relationship is known as the Mott T 1/4 law, since a plot of log σ against T −1/4 shows a linear characteristic with negative slope. Hopping conduction has been observed in many materials, and is extensively reviewed in the literature [29.121, 208, 209]. The T −1/4 law is observed in amorphous Ge [29.210], with the results of Walley and Jonscher [29.211] also showing this behaviour [29.121]. There is also an example of its appearance in amorphous carbon films [29.212]. AC hopping effects have been observed in several cadmium chalcogenides, and this
705
Part C 29.3
conductivity was bulk-limited rather than electrodelimited, and therefore eliminating the Schottky effect. Poole–Frenkel conductivity has also been observed in several semiconducting cadmium compounds, such as RF-sputtered CdS films [29.200, 201] and more widely in CdTe films [29.194, 195, 202]. In Al−CdTe−Al samples, Poole–Frenkel-type conductivity was consistently observed over the temperature range 0–108 ◦ C. These results are typical of Poole–Frenkel behaviour, and are illustrated in Fig. 29.24 [29.194]. However, the value of the experimental field-lowering coefficient increased from 4.95 × 10−5 eV m1/2 V−1/2 at 0 ◦ C to 7.7 × 10−5 eV m1/2 V−1/2 at 108 ◦ C, and was about twice the theoretical βPF for CdTe. The Schottky effect was eliminated, as the results did not appear to depend on the electrode workfunction when the Al electrodes were replaced with either In or Ag. These workers adopted the suggestion of Jonscher and Ansari [29.198] that electrons can hop between sites as the result of thermal activation; on this assumption good agreement was found with the predicted βPF , with an activation energy between hopping sites of 0.15 eV. Very similar results to these were also observed subsequently [29.195], and again the measured value of β exceeded the theoretical Poole–Frenkel value. This coefficient was also calculated from the gradients of the ln J–V 1/2 characteristics as a function of temperature, and consistent values were obtained. It was suggested that the similarity between the results of this work and the earlier work [29.194] was related to individual centres experiencing varying electric fields, i. e. the electric field was nonuniform. Equation (29.107) was proposed to account for this effect, and has been successful in accounting for the enhanced coefficients sometimes obtained. Poole–Frenkel conductivity was also identified in p-type CdTe, which also shows a change in conductivity type from SCLC to Poole–Frenkel conductivity [29.203]. This conduction mechanism has also been observed in other cadmium compounds, namely CdSe [29.186] and Cd3 As2 [29.204]. In phthalocyanine films several instances of this effect have been reported. In oxygendoped copper phthalocyanine films with a Au and an Al electrode, slightly enhanced values of β were obtained and attributed to a nonuniform electric field, whereas in annealed films the field appeared to be uniform [29.205]. Agreement with the basic model was also found for samples with a Au and a Pb electrode [29.175]. Lead phthalocyanine films with Au and Al electrodes exhibited two different values of β [29.177] consistent with Poole–Frenkel conductivity at higher fields and the Schottky effect at lower fields. However other work-
29.3 Properties
706
Part C
Materials for Electronics
Part C 29.3
work is reviewed elsewhere [29.38]. DC hopping was identified in CdTe films with Al electrodes [29.213] following earlier work on AC conductivity [29.172]. The results suggested a hopping process at temperatures below about 175 K, with a hopping centre concentration of about 9 × 1012 m−3 and a hopping mobility of 2.16 × 10−5 m2 /V s, the latter considerably lower than the free-band mobility applicable at higher temperatures. The extent of the electron wavefunction was estimated to be 0.69 nm, corresponding to the lattice parameter in CdTe, and supporting the existence of a nearestneighbour hopping process. Hopping at lower fields was also identified in CdSe films [29.214] and associated with an impurity conduction process proposed previously [29.215]. Variable-range hopping following the T −1/4 law has been observed in iron phthalocyanine and in triclinic lead phthalocyanine films [29.216, 217], although the temperature range was restricted. In the work on iron phthalocyanine the law was followed over the range 140–220 K, with particularly good agreement in the range 156–175 K. In the lead phthalocyanine films similar very good linear behaviour was observed in the temperature range of approximately 220–260 K. Hopping at low temperatures has also been indicated from AC measurements in various phthalocyanine systems [29.218–221]. The first electrode-limited conduction process to be considered is that of tunnelling, which is a quantummechanical effect, in which the electron wavefunction is attenuated only moderately by a thin barrier, resulting in there being a finite probability of its existence on the opposite side of the barrier. Tunnelling directly from the Fermi level of one electrode to the conduction band of the other is normally possible only for very thin films of thickness less than about 10 nm when subjected to a high electric field. Simmons [29.222] investigated the effects of tunnelling between similar electrodes separated by a thin insulating film of thickness s, where the barrier presented by the film was of arbitrary shape. The Wentzel–Kramers–Brillouin (WKB) approximation was used to predict the probability of an electron penetrating the barrier. Different approximations for the current density were found, depending on the relative values of the applied voltage V and ϕ0 /e, where ϕ0 is the barrier height at the electrode interface and e is the electronic charge. These approximations are too unwieldy to be included here, however for the case of V > ϕ0 /e it is only necessary for electrons tunnelling from the Fermi level of one electrode to penetrate a distance ∆s < s to reach unoccupied levels in the second electrode. This worker also suggested [29.223] that for V > ϕ0 /e a modified
Fowler–Nordheim [29.224] expression may be applicable for tunnelling through an interfacial region. In this case, the electric field at the barrier is sufficiently high to reduce the barrier width, measured at the Fermi level, to about 5 nm. Under these circumstances the current density is related to the voltage and barrier thickness according to the expression 3/2 8π(2m)1/2 ϕ0 dt e3 V 2 exp − J= , 3ehV 8πhϕ0 dt2 (29.109)
where h is Planck’s constant, ϕ0 and dt are the barrier height and effective thickness, respectively, of the tunnelling barrier and m is the free electron mass. The tunnelling current is largely controlled by the barrier height ϕ0 , which appears both in the pre-exponential and in the exponential terms. Fowler– Nordheim tunnelling is indicated by a linear dependence of log J/V 2 on 1/V . A further interesting point for tunnelling in general is that there is very little temperature dependence in the current density, a feature allowing it to be distinguished from other temperature-dependent mechanisms, such as the Schottky effect. There is in fact a very slight quadratic dependence on temperature [29.164], but this is normally negligible within the limitations of experimental measurement. Early measurements on tunnelling were made by Fisher and Giaever [29.225] on Al2 O3 produced by oxidation of Al. There was good agreement with an early tunnelling model [29.226], providing the electron effective mass in the insulator was about 1/9 of the free electron mass. Very thin films of Al2 O3 and BeO showed ohmic and faster-than-exponential dependencies of J on V at lower and higher voltages respectively [29.227]. These results were correlated with direct metal-to-metal electrode tunnelling at low voltages, and from the Al electrode to the insulator conduction band at higher voltages. The model of Simmons [29.164] was tested by measurements on thermally grown Al2 O3 films on Al, which used several different types of evaporated counter electrodes [29.228]. An extended tunnelling model [29.229], which is applicable to samples with dissimilar electrodes, was followed over nine decades of current. Measurements on thermally grown SiO2 films, of thickness 65–500 nm with Al or Au electrodes, showed strong evidence of Fowler–Nordheim tunnelling [29.230]. Since these films were relatively thick, high voltages could be applied, which reduced the Fermi level barrier thickness to that suitable for
Thin Films
∆ϕS = βS F 1/2 , where βS =
e3 4πεr ε0
(29.110)
1/2 (29.111)
is the Schottky field-lowering coefficient. The barrier lowering process is similar to that for the Poole– Frenkel effect, and is also illustrated in various literature reviews [29.164–166]. Differences between the standard Poole–Frenkel and Schottky coefficients given by (29.104) and (29.111) are related to the dissimilar symmetry of the potential barriers in the two cases. Clearly the two field-lowering coefficients are related, with βPF = 2βS . The fundamental thermionic emission equation of Richardson ϕ J = AT 2 exp − (29.112) kT gives the current density flowing by a process of electron emission over a potential barrier of height ϕ at a temperature T . In this expression, the constant A = 1.2 × 106 A/m2 , and is known as the Richardson constant. The current density depends only on the potential barrier height and the temperature, and does not require an applied voltage to flow, the electrons acquiring thermal energy only. For the case of Schottky emission, if ϕ0 , given by (29.91), is the zero-voltage barrier height then the reduced barrier height ϕ is given by (ϕ0 − ∆ϕS ), so that (29.112) becomes ϕ βS F 1/2 0 (29.113) J = AT 2 exp − exp kT kT or ϕ βS V 1/2 0 J = AT 2 exp − (29.114) . exp kT kTd 1/2 Thus, the Schottky effect should show a linear dependence of log J on V 1/2 , as for the Poole–Frenkel effect described by (29.106). In principle the two effects can be distinguished by the measured value of the fieldlowering coefficient, which should be twice as high for the Poole–Frenkel effect as for the Schottky effect. The Schottky effect has been reported in many inorganic materials, both insulators and semiconductors. Emtage and Tantraporn [29.235] observed the effect in both Al2 O3 and GeO2 sandwich structures having Al electrodes, while Pollack [29.236] made similar observations in Al2 O3 films with Pb electrodes. In this case, not only the constant voltage J–V characteristics were as predicted by (29.114), but the gradients of log J–V 1/2 curves increased linearly with reciprocal temperature for temperatures above 235 K, as also predicted by this expression. Below this temperature the variation with temperature was very small, and therefore tunnelling was identified in this region. The dependence of the Schottky effect on the species of electrode was determined in Ta−Ta2 O5 −Au samples prepared by electron
707
Part C 29.3
tunnelling. A linear dependence of log J/V 2 on 1/V was obtained, but the measured currents were somewhat lower than those predicted by the theory, probably as the result of trapping effects. Effective masses of 0.48m for Ag, 0.39m for Al and 0.42m for Si were estimated, where m is the free electron mass. Since these early tunnelling measurements, the mechanism has been observed in many different insulating films. Tunnelling is semiconductors forms the basis of the operation of the tunnel diode. However, in this case a doubly degenerate p–n junction is used, and tunnelling occurs across the depletion region. The operation of this device is described in a standard text on semiconductor devices [29.231]. In sandwich samples of nondegenerate materials, tunnelling has been observed in CdTe [29.202]. In this case there was a linear dependence of the logarithm of the drift mobility on reciprocal electric field for fields in excess of 1.2 × 106 V/m, which is consistent with (29.109). Tunnelling has also been observed in sandwich structures consisting of a copper phthalocyanine film with In electrodes [29.232]. A modified Fowler–Nordheim expression for tunnelling through an interfacial barrier, when the electric field is sufficient to reduce the barrier width to about 5 nm, has been proposed [29.223], and was applied in this case to the In/Cu phthalocyanine interfacial region. Depending on the sample structure, barrier heights of 0.27 eV and 0.36 eV were derived. Current densities were somewhat lower than predicted by theory, but were accounted for by the fact that tunnelling areas are often considerably lower than the geometric area [29.18] and that in the presence of traps and space charge the current density is also reduced [29.233]. The second electrode-limited conduction process to be considered may occur when the insulating or semiconducting film is too thick for tunnelling to take place and the concentration of allowed states in the forbidden gap is too low for the hopping process to occur. It is known as the Schottky effect, and is the field-assisted lowering of a potential barrier at the injecting electrode. It is similar in origin to the Poole–Frenkel effect, the latter effect having previously been termed the bulk analogue of the Schottky effect [29.234]. The potential barrier at the injecting electrode interface is reduced by an amount ∆ϕS which is given by
29.3 Properties
708
Part C
Materials for Electronics
Part C 29.4
beam evaporation and plasma oxidation [29.237]. In this system the Schottky effect was observed when the Ta electrode was biassed negatively and tunnelling when it was biassed positively. Similar results were obtained in CdS films [29.169] using In (ohmic) and Au (blocking) contacts. For electron injection from the Au electrode Schottky-type behaviour was observed, with a Schottky barrier height of 0.88 eV obtained from differential capacitance measurements, close to the value expected for Au contacts to CdS single crystals. Field-lowering behaviour has also been observed in Cd3 As2 films with a bottom Ag electrode and a top electrode of Ag, Al or Au [29.204]. Although the Schottky barrier height is unlikely to be consistent with the simple model of (29.91) owing to the very narrow band gap and the presence of surface states, the experimental value of β was consistent with the value of βS predicted by (29.111) for a relative permittivity value of 12. The Schottky effect has also been observed in several organic thin-film materials. Examples are given here only for the phthalocyanines, which are invariably p-type semiconductors. The Schottky effect occurs for hole injection at the blocking contact. Both metalfree [29.238] and copper phthalocyanine films [29.239] having Al electrodes have shown this effect. Behaviour consistent with the Schottky effect has also been observed in planar copper phthalocyanine films with Al electrodes [29.240], with a depletion region width of thickness 96 nm. In sandwich structures having a copper phthalocyanine film and a positively biased Al electrode, values of β several times higher than the theoreti-
cal βS = 2 × 10−5 eVm1/2 V−1/2 were obtained [29.205]. However, if the theoretical value of βS were assumed and also that most of the voltage was dropped over a depletion region of thickness dS as suggested previously [29.240], values of dS = 120 nm and ϕ0 = 0.88 eV were derived. This type of assumption has also been subsequently applied in the case of hole injection from a Pb electrode into copper phthalocyanine films [29.175] and for hole injection in triclinic lead phthalocyanine films from an Al electrode [29.177, 206]. In both cases the Schottky barrier width was 50 nm with a barrier height of either 1.11 eV or 1.0 eV. In this section the main high-field conduction processes that might be observed in insulating and semiconducting films have been reviewed, and illustrative examples quoted from the literature. It should be emphasised that identification of a particular mechanism is nontrivial, particularly in distinguishing between the Poole–Frenkel and Schottky effects which have very similar J–V dependencies. Furthermore J–V data obtained over a specified voltage range may also show a good correlation with both SCLC and the Poole– Frenkel effect. It is essential to measure temperature dependencies and also to determine the effects of electrode species on the conductivity, making a full consideration of the type of contacts (ohmic or blocking). As devices and structures become ever smaller with the advance of nanotechnology, some of these conduction processes will inevitably become important in nanoelectronic devices. A discussion concerning this aspect is given elsewhere in the literature [29.11].
29.4 Concluding Remarks In this chapter, an overview of the deposition methods, structures and major properties of thin films has been given. In this section these are briefly summarised and some possible future developments outlined. Films may be prepared by many different methods. However, these may usually be classified into chemical or physical methods. In the physical methods the film is deposited from a vapour, maintained at a pressure considerably below that of the atmosphere. Vacuum evaporation is the first of these, where the material is transformed into the vapour phase by heating at reduced pressure. Various types of process have been developed to ensure stoichiometry of the deposited material, such as reactive evaporation and co-evaporation. Taken to its limit of sophistication, the method may be operated un-
der UHV conditions using several sources, each of which may be independently controlled. In this case epitaxial films may be deposited, and the method is known as molecular-beam epitaxy (MBE). The second widely used physical method is that of sputtering, which involves the removal of particles (atoms, molecules or larger fragments) from the surface of a target. This is normally achieved using a gas discharge, which delivers high-energy ions to the target surface. Sputtering has several advantages over evaporation, including deposition of stoichiometric materials, better adhesion to the substrate and the capability of depositing most materials. There are several varieties of sputtering, including DC (diode) sputtering, reactive sputtering and RF sputtering, the latter enabling the deposition of insulators as
Thin Films
the morphology may often be accounted for in terms of a zone model, where the observed features are identified with the ratio of the substrate temperature to the melting temperature of the film material. Examples of some of these effects in different thin-film materials have been given, and although these are representative of behaviour shown in many thin-film systems, it is clear that both crystal structure and morphology require determination in any specific process used to deposit a particular film material. These features are likely to be particularly important in influencing the optical and electrical properties. The optical and electrical properties of thin films are those most relevant to this Handbook, and examples of some of the basic properties have been considered as a foundation for some of the more advanced applications described in other chapters. The interference properties of light are fundamental to most of the phenomena observed. In principle the properties of light propagating through media are described by Maxwell’s equations, which allow a full analysis for both nonabsorbing and absorbing films for various states of polarisation and optical frequencies. The Fresnel coefficients for reflection and transmission of light at the boundaries between different optical media allow expressions for the energy reflectance and transmittance to be derived. Some examples of these have been given, together with an introduction to matrix methods which may be used to calculate the electric and magnetic field vectors for optical designs of considerable complexity, involving both nonabsorbing and absorbing films. The operation of optical filters, other optical components and fibre-optic waveguides may be predicted by advanced application of these methods. Examples of the basic electrical properties of thin films, which are directly related to the film thickness, are lateral electrical conductivity and highfield conduction processes. Lateral electrical conduction in thin films is a very important feature, which is determined primarily by electron scattering within the film. For metallic films, conductivity–film thickness relationships have been derived in terms of a parameter k, the ratio of the film thickness to the bulk electron mean free path. One would intuitively expect the conductivity to decrease (or the resistivity to increase) with decreasing k, since this implies a curtailment of the mean free path. This problem was first tackled over a century ago by Thomson, and more realistic expressions have been obtained subsequently by solving the Boltzmann transport equation. Unfortunately, the derived general expressions are somewhat complex, but a wide variety of approximations have been obtained for various ranges of k,
709
Part C 29.4
well as conducting materials. Reactive and co-sputtering give greater flexibility, and higher deposition rates are possible using magnetron sputtering. Various chemical methods may also be utilised for the deposition of films from materials having specific chemical properties. Electrodeposition and electroplating involve passing a high electric current through a solution containing the material, while chemical vapour deposition (CVD) entails the use of various chemical reactions to generate a vapour. The latter method is frequently used in the deposition of electronic materials, and substantial work has been performed in developing processes for several of these. Again there are several different subdivisions of this method, operating at different pressures and with different types of precursor materials. A third chemical method that has found favour in recent years is Langmuir–Blodgett deposition, where molecular layers are applied individually using a dipping process. It has the advantage that high vacuum and elevated temperatures are not required, and film thicknesses are easily derived from the number of layers deposited. It is, however, limited to certain defined materials, and does not have the flexibility of the other methods. In common with bulk materials, the crystal structure and morphology of thin films may be investigated using diffraction methods and various microscopic techniques (electron microscopy, scanning tunnelling microscopy, etc.). Diffraction methods allow the type of crystal structure to be determined by measurement of the unit cell dimensions in the case of epitaxial and polycrystalline films. Frequently a material may exist in two or more crystalline modifications, and generally these may be distinguished by comparison of diffraction data from the film with tabulated standard data. The type of crystalline modification that is deposited can depend sensitively on the deposition conditions such as the substrate temperature and deposition rate, and this dependence may be established by systematically depositing films under a wide combination of conditions. Many films have a preferred crystalline orientation, and this too may sometimes be correlated with deposition conditions and the substrate morphology. In polycrystalline films, the crystallites are generally preferentially oriented and show a fibre texture. Estimates of the mean microcrystallite size may be obtained from the width of the diffraction peaks using the Scherrer method. The morphological characteristics of thin films commonly have a columnar grain structure when physical deposition methods are used, and the orientation of the grains may sometimes be related to the incident direction of the depositing atoms or molecules. Larger-scale features of
29.4 Concluding Remarks
710
Part C
Materials for Electronics
Part C 29.4
and the conductivity is predicted to reduce to that of the bulk material for thick films. Further refinements in the analysis were made by introducing a specularity parameter, p, which takes into account the proportion of electrons which are specularly scattered at the surfaces. Better agreement with experimental results is generally obtained if the value of p is intermediate between that for totally diffuse scattering ( p = 0) and totally specular scattering ( p = 1). Another development of the theory includes assigning different specularity parameters to the two film surfaces, since scattering at the substrate surface is clearly different from scattering at the top surface. In general the various expressions derived from this type of model are consistent with each other, in that those derived from the more complex assumptions normally reduce to those obtained using simpler assumptions if suitable limits are chosen. Scattering by internal grain boundaries has also been investigated by solving the Boltzmann transport equation, and allows contributions to the conductivity resulting from internal features, as well as the surfaces, to be included in the analysis. The ratio of the thin-film to bulk temperature coefficient of resistivity has been shown to be equal to the ratio of thin-film to bulk conductivity for the case of thicker films, although no such convenient relationship applies for thinner films owing to the dependence of the bulk mean free path on temperature, which is particularly significant for very thin films. Considerations of these types of effect need to be fully assessed when designing interconnects to integrated circuits operating in particular temperature ranges. In insulating or semiconducting thin films, very high electric fields may be applied across the thickness of the film. Under these circumstances a variety of different conduction processes may occur, none of which are observed at lower fields. Crucial to the type of conduction process which develops is the type of contact at the interface. Ohmic contacts allow currents to pass unimpeded by the contact, and the conduction process is bulk-limited, while blocking contacts effectively limit the conductivity, which is then electrode-limited. Bulk-limited conduction processes include SCLC, Poole–Frenkel conductivity and hopping. Varies types of SCLC have been observed, depending on the type of trap distribution present, if any, and may be distinguished by their characteristic voltage and temperature dependencies. Poole–Frenkel conductivity results from field-dependent lowering of the potential barrier for emission of electrons into the conduction band. Again, various varieties of this process have been observed depending on the appearance of donors and/or traps, and also for the case of nonuniform
fields. Hopping is observed particularly in noncrystalline solids, where electrons hop from one localised energy level to another. It is characterised by low mobility, but requires little thermal energy, and is therefore often observed at low temperatures. Different types of hopping are characterised by the mean distance of the electron hopping process, and show different characteristic behaviours. Electrode-limited conduction processes include tunnelling and the Schottky effect. Tunnelling is a quantum-mechanical effect, where for a thin barrier the electron wavefunction has a finite probability of existence on the opposite side of the barrier. Tunnelling may be either directly from one electrode to the other, or may be from the Fermi level of the injecting electrode into the conduction band of the insulator or semiconductor. The type of tunnelling depends on the relative values of the applied voltage and the barrier height at the interface. The tunnelling current is almost independent of temperature, a feature which allows it to be identified relatively easily. For structures where the barrier is too thick for tunnelling to occur, the Schottky effect may take place instead. This is the field-assisted lowering of a potential barrier at the interface, and has several similarities with the bulk Poole–Frenkel effect. Similar J–V characteristics are predicted for both, but may usually be distinguished from the value of a measured field-lowering coefficient, or from considerations of the current level drawn with varying electrode species. In conclusion, it should be noted that in this chapter only the main established deposition methods and some of the structural, optical and electrical properties of thin films have been reviewed. Although it is hoped that the references included herein are sufficient to allow the reader to explore the subject in some considerable depth, it is clear that these can only provide a snapshot of knowledge at the present time. During the past half century, there have been tremendous developments in all areas of thin-film knowledge, although the basic principles and properties stressed in this chapter underpin most of them. The main physical methods of deposition have been known for a century or so, but improvements have been contingent on advances in vacuum technology, gas-handling capabilities and theoretical work concerning atomic interactions in solids. MBE deposition systems of considerable complexity and sophistication now exist, enabling the deposition of a considerable range of materials in a variety of structures and designs, although only some of the most important processes have been developed to the level where they are employed in automated manufacture. Control of many factors are vital in successful deposi-
Thin Films
microscopic techniques have enabled the acquisition of surface images with resolution of atomic dimensions, and the increased use and development of these and associated techniques will be necessary for future investigation of nanostructures. Optical properties described in this chapter will no doubt be exploited in more complex and sophisticated filter and mirror designs, as well as their employment in fibre-optic cables and applications in photonics. Recent developments in the area of negative-refractive-index materials will no doubt also be exploited in due course. The electrical properties of thin films under both low- and high-field conditions are reasonably well understood, and it is anticipated that applications are likely to be made in the area of nanoelectronics. Some possible applications have been proposed elsewhere [29.11]. The provision of electrical contacts will remain of particular importance, although it may not be possible to consider the contact region separately from the remaining nanostructure. The efficient injection of charge into nanostructures using tunnelling and Schottky barrier (blocking) contacts may be achieved, and high current densities may be obtained with trapfree SCLC contacts. It is nevertheless clear, however, that in all areas of future development in thin-film technology, the control of deposition processes and materials processing aspects are of paramount importance. This is likely to remain a considerable challenge in the future.
References 29.1 29.2
29.3
29.4
29.5 29.6
29.7
´: Physics of Thin Films, 2nd edn. L. Eckertova (Plenum, New York 1986) J. Thewlis: Concise Dictionary of Physics and Related Subjects, 2nd edn. (Pergamon, Oxford 1979) p. 336 R. W. Hoffman: The mechanical properties of thin condensed films. In: Physics of Thin Films, Vol. 3, ed. by G. Hass, R. E. Thun (Academic, San Diego 1966) p. 211 D. S. Campbell: Mechanical properties of thin films. In: Handbook of Thin Film Technology, ed. by L. I. Maissel, R. Glang (McGraw–Hill, New York 1970) Chap. 12 C. A. Neugebauer, M. B. Webb: J. Appl. Phys. 33, 74 (1962) L. I. Maissel: Electronic properties of metallic thin films. In: Handbook of Thin Film Technology, Vol. 13, ed. by L. I. Maissel, R. Glang (McGraw–Hill, New York 1970) K. H. Behrndt: Film-thickness and deposition-rate monitoring devices and techniques for producing films of uniform thickness. In: Physics of Thin Films,
29.8
29.9
29.10
29.11
29.12 29.13
Vol. 3, ed. by G. Hass, R. E. Thun (Academic, San Diego 1966.) p. 1 W. A. Pliskin, S. J. Zanin: Film thickness and composition. In: Handbook of Thin Film Technology, Vol. 11, ed. by L I. Maissel, R. Glang (McGraw–Hill, New York 1970) J. P. Hirth, K. L. Moazed: Nucleation processes in thin film formation. In: Physics of Thin Films, Vol. 4, ed. by G. Hass, R. E. Thun (Academic, San Diego 1967) p. 97 C. A. Neugebauer: Condensation, Nucleation and Growth of Thin Films, Vol. 8, ed. by L. I. Maissel, R. Glang (McGraw–Hill, New York 1970) R. D. Gould: High field conduction in nanostructures. In: Encyclopedia of Nanoscience and Nanotechnology, Vol. 3, ed. by H. S. Nalwa (American Scientific, Stevenson Ranch 2004) pp. 891–915 Handbook of Thin Film Technology, ed. by L. I. Maissel, R. Glang (McGraw–Hill, New York 1970) Thin Films, Vol. 25, ed. by S. M. Rossnagel, A. Ulman, M. H. Francombe (Academic, San Diego 1998.)
711
Part C 29
tion processes, and progress in this area has gone hand in hand with the development of computerised monitoring and data-handling systems. Progress in the manipulation of individual atoms and molecules has been made by the adoption of techniques originally developed for very high-resolution surface imaging, such as scanning tunnelling and atomic force microscopy. Chemical methods of film deposition have developed into many dedicated CVD systems, exploiting reactions which are specific to a particular material or class of materials. Additionally many types of films may be deposited using the Langmuir–Blodgett technique, although this relies on the ingenuity of chemists to develop suitable substituted organic compounds. Further development of many of these deposition methods will undoubtedly progress in future years, particularly as the power of computer systems increases. The most significant advances are likely to be in the area of nanotechnology, where MBE systems, equipped with facilities to build nanostructures atom by atom, are currently under development. The structure and morphology adopted by thin films are likely to have a significant influence on all their properties; this is not restricted to just the optical and electrical properties described in this chapter. Diffraction methods are becoming more precise, and can now be used for the investigation of real-time changes when synchrotron radiation is used. Scanning tunnelling and atomic force
References
712
Part C
Materials for Electronics
29.14 29.15 29.16 29.17 29.18
29.19 29.20 29.21
29.22
29.23
29.24 29.25 29.26 29.27
Part C 29
29.28 29.29 29.30 29.31 29.32
29.33 29.34
29.35 29.36
29.37 29.38
29.39
29.40 29.41
Handbook of Thin Film Materials, ed. by H. S. Nalwa (Academic, San Diego 2001) O. S. Heavens: Thin Film Physics (Methuen, London 1970) L. I. Maissel, M. H. Francombe: An Introduction to Thin Films (Gordon and Breach, New York 1973) Active and Passive Thin Film Devices, ed. by T. J. Coutts (Academic, New York 1978) K. I. Chopra: Thin Film Phenomena (Krieger, New York 1979)(first published by McGraw–Hill, New York, 1969) M. Ohring: The Materials Science of Thin Films (Academic, San Diego 1992) R. E. Honig, D. A. Kramer: RCA Rev. 30, 285 (1969) Scientific Foundation of Vacuum Technique, 2nd edn., ed. by S. Dushman, J. M. Lafferty (Wiley, New York 1962) R. Glang: Vacuum evaporation. In: Handbook of Thin Film Technology, ed. by L. I. Maissel, R. Glang (McGraw–Hill, New York 1970) Chap. 1 O. Kubaschewski, S. L. Evans, C. B. Alcock: Metallurgical Thermochemistry, 4th revised edn. (Pergamon, Oxford 1967) R. E. Honig: RCA Rev. 23, 567 (1962) H. Hertz: Ann. Phys. Chem. 17, 177 (1882) M. Knudsen: Ann. Phys. 47, 697 (1915) J. P. Hirth, G. M. Pound: Condensation and Evaporation (Pergamon, Oxford 1963) L. Holland, W. Steckelmacher: Vacuum 2, 346 (1952) A. von Hippel: Ann. Phys. 81, 1043 (1926) H. A. Macleod: Thin-Film Optical Filters (Adam Hilger, London 1969) T. C. Tisone, J. B. Bindell: J. Vac. Sci. Technol. 11, 72 (1974) R. Brown: Thin film substrates. In: Handbook of Thin Film Technology, ed. by L. I. Maissel, R. Glang (McGraw–Hill, New York 1970) Chap. 6 G. Sauerbrey: Z. Phys. 155, 206 (1959) C. D. Stockbridge: Resonance frequency versus mass added to quartz crystals. In: Vacuum Microbalance Techniques, Vol. 5, ed. by K. H. Behrndt (Plenum, New York 1966) p. 193 C. J. Bowler, R. D. Gould: J. Vac. Sci. Technol. A 5, 114 (1987) R. Hultgren, R. L. Orr, P. D. Anderson, K. K. Kelley: Selected Values of Thermodynamic Properties of Metals and Alloys (Wiley, New York 1963) G. Zinsmeister: Vakuum-Tech. 8, 223 (1964) R. D. Gould: Electrical conduction properties of thin films of cadmium compounds. In: Handbook of Thin Film Materials, ed. by H. S. Nalwa (Academic, San Diego 2001) Chap. 4, pp. 187–245 D. R. Stull: JANAF Thermochemical Tables (Dow Chemical Co., U.S. Clearinghouse, Springfield, Virginia 1970) F. A. Pizzarello: J. Appl. Phys. 35, 2730 (1964) P. A. Timson, C. A. Hogarth: Thin Solid Films 8, 237 (1971)
29.42 29.43 29.44 29.45
29.46 29.47 29.48
29.49
29.50 29.51
29.52 29.53
29.54 29.55 29.56 29.57
29.58 29.59
29.60 29.61
29.62 29.63 29.64 29.65 29.66
A. Y. Cho: Thin Solid Films 100, 291 (1983) B. A. Joyce: Rep. Prog. Phys. 48, 1637 (1985) Y. Ota: Thin Solid Films 106, 3 (1983) G. K. Wehner, G. S. Anderson: The nature of physical sputtering. In: Handbook of Thin Film Technology, ed. by L. I. Maissel, R. Glang (McGraw– Hill, New York 1970) Chap. 3 O. Alm´ en, G. Bruce: Nucl. Instrum. Methods 11, 279 (1961) F. Keywell: Phys. Rev. 97, 1611 (1955) P. Sigmund: Sputtering by ion bombardment: Theoretical concepts. In: Sputtering by Particle Bombardment I, ed. by R. Behrisch (Springer, Berlin, Heidelberg 1981) Chap. 2, p. 9 R. S. Pease: Rendiconti della Scuola Internatzionale di Fisica “Enrico Fermi”, Corso XIII (Società Italiana di Fisica, Bologna 1959) p. 158 P. Sigmund: Phys. Rev. 184, 383 (1969) L. I. Maissel: The deposition of thin films by cathode sputtering. In: Physics of Thin Films, Vol. 3, ed. by G. Hass, R. E. Thun (Academic, San Diego 1966) p. 61 G. H. Kinchin, R. S. Pease: Rep. Prog. Phys. 18, 1 (1955) H. H. Andersen, H. L. Bay: Sputtering yield measurements. In: Sputtering by Particle Bombardment I, ed. by R. Behrisch (Springer, Berlin, Heidelberg 1981) Chap. 4, p. 145 J. Lindhard, V. Nielsen, M. Scharff: Kgl. Danske Videnskal. Selskab, Mat.-Fys. Medd. 36(10), 1 (1968) A. von Engel: Ionized Gases, 2nd edn. (Clarendon, Oxford 1965) F. Llewellyn-Jones: The Glow Discharge (Methuen, London 1966) L. I. Maissel: Applications of sputtering to the deposition of films. In: Handbook of Thin Film Technology, ed. by L. I. Maissel, R. Glang (McGraw– Hill, New York 1970) Chap. 4 G. Perny, M. Samirant, B. Laville Saint Martin: Compt. Rend. Acad. Sci. Ser. C 262, 265 (1966) G. Perny, B. Laville Saint Martin: Proceedings of the International Symposium on Basic Problems in Thin Film Physics, Clausthal 1965, ed. by R. Niedermayer, H. Mayer (Vandenhoeck and Ruprecht, Göttingen 1966) 709 S. A. Awan, R. D. Gould: Thin Solid Films 423, 267 (2003) W. D. Westwood: Reactive sputtering. In: Physics of Thin Films, Vol. 14, ed. by M. H. Francombe, J. C. Vossen (Academic, San Diego 1989) p. 1 W. R. Sinclair, F. G. Peters: Rev. Sci. Instrum. 33, 744 (1992) L. I. Maissel, P. M. Schaible: J. Appl. Phys. 36, 237 (1965) R. Frerichs: J. Appl. Phys. 33, 1898 (1962) H. C. Theuerer, J. J. Hauser: J. Appl. Phys. 35, 554 (1964) E. W. Williams: The CD-ROM and Optical Disc Recording Systems (Oxford Univ. Press, Oxford 1996)
Thin Films
29.67 29.68 29.69 29.70
29.71 29.72
29.73 29.74
29.75
29.76
29.77
29.79 29.80
29.81
29.82 29.83
29.84
29.85
29.86
29.87 29.88
29.89 29.90 29.91 29.92 29.93 29.94 29.95 29.96 29.97 29.98
29.99
29.100
29.101 29.102 29.103 29.104 29.105 29.106 29.107
29.108 29.109
29.110 29.111 29.112 29.113 29.114
Films, Vol. 18, ed. by M. H. Francombe, J. L. Vossen (Academic, San Diego 1994) p. 121 C. W. Pitt, L. M. Walpitta: Thin Solid Films 68, 101 (1980) N. G. Dhere: High-Tc superconducting thin films. In: Physics of Thin Films, Vol. 16, ed. by M. H. Francombe, J. L. Vossen (Academic, San Diego 1992) p. 1 I. Langmuir: Trans. Faraday Soc. 15, 62 (1920) K. B. Blodgett: J. Am. Chem. Soc. 56, 495 (1934) G. L. Gaines: Thin Solid Films 99, ix (1983) S. Baker, M. C. Petty, G. G. Roberts, M. V. Twigg: Thin Solid Films 99, 53 (1983) M. C. Petty: Langmuir–Blodgett Films: An Introduction (Cambridge Univ. Press, Cambridge 1996) L. S. Miller, P. J. W. Stone: Thin Solid Films 210/211, 19 (1992) L. S. Miller, A. L. Rhoden: Thin Solid Films 243, 339 (1994) P. S. Vincett, G. G. Roberts: Thin Solid Films 68, 135 (1980) A. N. Broers, M. Pomerantz: Thin Solid Films 99, 323 (1983) R. E. Thun: Structure of thin films. In: Physics of Thin Films, Vol. 1, ed. by G. Hass (Academic, San Diego 1963) p. 187 C. A. Neugebauer: Structural disorder phenomena in thin metal films. In: Physics of Thin Films, Vol. 2, ed. by G. Hass, R. E. Thun (Academic, San Diego 1964) p. 1 I. H. Khan: The growth and structure of singlecrystal films. In: Handbook of Thin Film Technology, ed. by L. I. Maissel, R. Glang (McGraw–Hill, New York 1970) Chap. 10 G. B. Stringfellow: Rep. Prog. Phys. 43, 469 (1982) D. Walton: J. Chem. Phys. 37, 2182 (1962) D. Walton, T. N. Rhodin, R. Rollins: J. Chem. Phys. 38, 2695 (1963) K. K. Muravjeva, I. P. Kalinkin, V. B. Aleskowsky, N. S. Bogomolov: Thin Solid Films 5, 7 (1970) V. N. E. Robinson, J. L. Robins: Thin Solid Films 20, 155 (1974) J. A. Venables, G. D. T. Spiller: Rep. Prog. Phys. 47, 399 (1984) I. P. Kalinkin, K. K. Muravyeva, L. A. Sergeyewa, V. B. Aleskowsky, N. S. Bogomolov: Krist. Tech. 5, 51 (1970) D. B. Holt: Thin Solid Films 24, 1 (1974) K. Zanio: Cadmium telluride. In: Semiconductors and Semimetals, Vol. 13, ed. by R. K. Willardson, A. C. Beer (Academic, New York 1978) A. Ashour, R. D. Gould, A. A. Ramadan: Phys. Status Solidi A 125, 541 (1991) R. D. Gould: Coord. Chem. Rev. 156, 237 (1996) A. K. Hassan, R. D. Gould: Phys. Status Solidi A 132, 91 (1992) S. I. Shihub, R. D. Gould: Phys. Status Solidi A 139, 129 (1993) P. Scherrer: Gott. Nachr. 2, 98 (1918)
713
Part C 29
29.78
H. E. Winters, E. Kay: J. Appl. Phys. 38, 3928 (1967) G. S. Anderson, W. N. Mayer, G. K. Wehner: J. Appl. Phys. 33, 2991 (1962) P. D. Davidse, L. I. Maissel: J. Appl. Phys. 37, 574 (1966) R. A. Powell, S. M. Rossnagel: PVD for Microelectronics: Sputter Deposition Applied to Semiconductor Manufacturing, Thin Films, Vol. 26 (Academic, San Diego 1999) p. 51 J. S. Logan, N. M. Mazza, P. D. Davidse: J. Vac. Sci. Technol. 6, 120 (1969) S. Rohde: Unbalanced magnetron sputtering. In: Physics of Thin Films, Vol. 18, ed. by M. H. Francombe, J. L. Vossen (Academic, San Diego 1994) p. 235 B. Window, N. Savvides: J. Vac. Sci. Technol. A 4, 196 (1986) Y. Ochiai, K. Aso, M. Hayakawa, H. Matsuda, K. Hayashi, W. Ishikawa, Y. Iwasaki: J. Vac. Sci. Technol. A 4, 19 (1986) D. S. Campbell: The deposition of thin films by chemical methods. In: Handbook of Thin Film Technology, ed. by L. I. Maissel, R. Glang (McGraw– Hill, New York 1970) Chap. 5 D. S. Campbell: Preparation methods for thin film devices. In: Active and Passive Thin Film Devices, ed. by T. J. Coutts (Academic, New York 1978) p. 23 A. Brenner: Electrodeposition of Alloys, Vol. 1, 2 (Academic, New York 1963) K. R. Lawless: The growth and structure of electrodeposits. In: Physics of Thin Films, Vol. 4, ed. by G. Hass, R. E. Thun (Academic, San Diego 1967) p. 191 L. Young: Anodic Oxide Films (Academic, New York 1961) C. J. Dell’Oca, D. L. Pulfrey, L. Young: Anodic oxide films. In: Physics of Thin Films, Vol. 6, ed. by M. H. Francombe, R. W. Hoffman (Academic, San Diego 1971) p. 1 W. M. Feist, S. R. Steele, D. W. Readey: The preparation of films by chemical vapor deposition. In: Physics of Thin Films, Vol. 5, ed. by G. Hass, R. E. Thun (Academic, San Diego 1969) p. 237 J. R. Knight, D. Effer, P. R. Evans: Solid State Electron. 8, 178 (1965) M. H. Francombe, J. E. Johnson: The preparation and properties of semiconductor films. In: Physics of Thin Films, Vol. 5, ed. by G. Hass, R. E. Thun (Academic, San Diego 1969) p. 143 S. M. Ojha: Plasma-enhanced chemical vapor deposition of thin films. In: Physics of Thin Films, Vol. 12, ed. by G. Hass, M. H. Francombe, J. L. Vossen (Academic, San Diego 1982) p. 237 A. C. Adams: Dielectric and polysilicon film deposition. In: VLSI Technology, 2nd edn., ed. by S. M. Sze (McGraw–Hill, New York 1988) Chap. 6, p. 233 O. A. Popov: Electron cyclotron resonance plasma sources and their use in plasma-assisted chemical vapor deposition of thin films. In: Physics of Thin
References
714
Part C
Materials for Electronics
Part C 29
29.115 M. Ashida, N. Uyeda, E. Suito: Bull. Chem. Soc. Jpn. 39, 2616 (1966) 29.116 L. Zdanowicz, S. Miotkowska: Thin Solid Films 29, 177 (1975) 29.117 G. A. Steigmann, J. Goodyear: Acta Crystallogr. B 24, 1062 (1968) 29.118 A. Bienenstock, F. Betts, S. R. Ovshinsky: J. NonCryst. Solids 2, 347 (1970) 29.119 S. R. Elliott: Physics of Amorphous Materials, 2nd edn. (Longman, London 1990) 29.120 J. R. Bosnell: Amorphous semiconducting films. In: Active and Passive Thin Film Devices, ed. by T. J. Coutts (Academic, New York 1978) p. 245 29.121 N. F. Mott, E. A. Davis: Electronic Processes in NonCrystalline Materials, 2nd edn. (Oxford Univ. Press, Oxford 1979) 29.122 D. B. Dove: Electron diffraction analysis of the local atomic order in amorphous films. In: Physics of Thin Films, Vol. 7, ed. by G. Hass, M. H. Francombe, R. W. Hoffman (Academic, San Diego 1973) p. 1 29.123 K. H. Norian, J. W. Edington: Thin Solid Films 75, 53 (1981) 29.124 J. M. Nieuwenhuizen, H. B. Haanstra: Philips Tech. Rev. 27, 87 (1966) 29.125 S. B. Hussain: Thin Solid Films 22, S5 (1974) 29.126 J. I. B. Wilson, J. Woods: J. Phys. Chem. Solids 34, 171 (1973) 29.127 A. G. Stanley: Cadmium sulphide solar cells. In: Applied Solid State Science, Vol. 5, ed. by R. Wolfe (Academic, New York 1975) p. 251 29.128 B. Goldstein, L. Pensak: J. Appl. Phys. 30, 155 (1959) 29.129 J. Saraie, M. Akiyama, T. Tanaka: Jpn. J. Appl. Phys. 11, 1758 (1972) 29.130 Yu. K. Yezhovsky, I. P. Kalinkin: Thin Solid Films 18, 127 (1973) 29.131 J. Jurusik, L. Zdanowicz: Thin Solid Films 67, 285 (1980) 29.132 K. Tanaka: Jpn. J. Appl. Phys. 9, 1070 (1970) 29.133 T. M. Ratcheva-Stambolieva, Yu. D. Tchistyakov, G. A. Krasulin, A. V. Vanyukov, D. H. Djoglev: Phys. Status Solidi A 16, 315 (1973) 29.134 H. W. Lehmann, R. Widmer: Thin Solid Films 33, 301 (1976) 29.135 J. Jurusik: Thin Solid Films 214, 117 (1992) 29.136 J. Jurusik: Thin Solid Films 248, 178 (1994) 29.137 Z. Knittl: Optics of Thin Films (Wiley, New York 1976) 29.138 O. S. Heavens: Optical Properties of Thin Solid Films (Dover, New York 1965)(first published by Butterworths, London, 1955) 29.139 O. S. Heavens: Measurement of optical constants of thin films. In: Physics of Thin Films, Vol. 2, ed. by G. Hass, R. E. Thun (Academic, San Diego 1964) p. 193 29.140 O. S. Heavens: Optical properties of thin films. In: Encyclopaedic Dictionary of Physics, Supplementary, Vol. 3, ed. by J. Thewlis (Pergamon, Oxford 1969) p. 412
29.141 H. A. Macleod: Thin film optical devices. In: Passive and Active Thin Film Devices, ed. by T. J. Coutts (Academic, New York 1978) p. 321 29.142 A. Thelen: Design of multilayer interference filters. In: Physics of Thin Films, Vol. 5, ed. by G. Hass, R. E. Thun (Academic, San Diego 1969) p. 47 29.143 F. Abel` es: Optical properties of metallic thin films. In: Physics of Thin Films, Vol. 6, ed. by M. H. Francombe, R. W. Hoffman (Academic, San Diego 1971) p. 151 29.144 P. H. Berning: Theory and calculations of optical thin films. In: Physics of Thin Films, Vol. 1, ed. by G. Hass (Academic, San Diego 1963) p. 69 29.145 J. T. Cox, G. Hass: Antireflection coatings for optical and infrared optical materials. In: Physics of Thin Films, Vol. 2, ed. by G. Hass, R. E. Thun (Academic, San Diego 1964) p. 239 29.146 G. Hass, M. H. Francombe, R. W. Hoffman: Metaldielectric interference filters. In: Physics of Thin Films, Vol. 9, ed. by G. Hass, M. H. Francombe, R. W. Hoffman (Academic, San Diego 1977) p. 74 29.147 J. M. Eastman: Scattering in all-dielectric multilayer bandpass filters and mirrors for lasers. In: Physics of Thin Films, Vol. 10, ed. by G. Hass, M. H. Francombe (Academic, San Diego 1978) p. 167 29.148 G. Hass, J. B. Heaney, W. R. Hunter: Reflectance and preparation of front surface mirrors for use at various angles of incidence from the ultraviolet to the far infrared. In: Physics of Thin Films, Vol. 12, ed. by G. Hass, M. H. Francombe, J. L. Vossen (Academic, San Diego 1982) p. 2 29.149 J. N. Zemel: Transport phenomena in heteroepitaxial semiconductor films. In: The Use of Thin Films in Physical Investigations, ed. by J. C. Anderson (Academic, New York 1966) p. 319 29.150 K. Fuchs: Proc. Cambridge Phil. Soc. 34, 100 (1938) 29.151 J. J. Thomson: Proc. Cambridge Phil. Soc. 11, 120 (1901) 29.152 A. C. B. Lovell: Proc. R. Soc. A 157, 311 (1936) 29.153 D. S. Campbell: The electrical properties of singlecrystal metal films. In: The Use of Thin Films in Physical Investigations, ed. by J. C. Anderson (Academic, New York 1966) p. 299 29.154 E. H. Sondheimer: Adv. Phys. 1, 1 (1952) 29.155 M. S. P. Lucas: J. Appl. Phys. 36, 1632 (1965) 29.156 H. J. Juretschke: Surface Sci. 2, 40 (1964) 29.157 H. J. Juretschke: J. Appl. Phys. 37, 435 (1966) 29.158 D. C. Larson: Size-dependent electrical conductivity in thin metal films and wires. In: Physics of Thin Films, Vol. 6, ed. by M. H. Francombe, R. W. Hoffman (Academic, San Diego 1971) p. 81 29.159 A. F. Mayadas, M. Shatzkes: Phys. Rev. B 1, 1382 (1970) 29.160 C. R. Tellier, A. J. Tosser: Size Effects in Thin Films (Elsevier Science, Amsterdam 1982) 29.161 E. E. Mola, J. M. Heras: Thin Solid Films 18, 137 (1973) 29.162 T. J. Coutts: Electrical properties and applications of thin metallic and alloy films. In: Active and Passive
Thin Films
29.163 29.164 29.165 29.166 29.167 29.168 29.169 29.170 29.171 29.172 29.173 29.174 29.175 29.176 29.177 29.178
29.180 29.181 29.182 29.183 29.184 29.185 29.186 29.187 29.188 29.189 29.190 29.191 29.192 29.193 29.194 29.195
29.196 A. Servini, A. K. Jonscher: Thin Solid Films 3, 341 (1969) 29.197 A. K. Jonscher: Thin Solid Films 1, 213 (1967) 29.198 A. K. Jonscher, A. A. Ansari: Phil. Mag. 23, 205 (1971) 29.199 S. M. Sze: J. Appl. Phys. 38, 2951 (1967) 29.200 H. Murray, A. Tosser: Thin Solid Films 36, 247 (1976) 29.201 A. Piel, H. Murray: Thin Solid Films 44, 65 (1977) 29.202 C. Canali, F. Nava, G. Ottaviani, K. Zanio: Solid State Commun. 13, 1255 (1973) 29.203 R. D. Gould, B. B. Ismail: Vacuum 50, 99 (1998) 29.204 M. Din, R. D. Gould: Thin Solid Films 340, 28 (1999) 29.205 A. K. Hassan, R. D. Gould: Int. J. Electron. 69, 11 (1990) 29.206 A. Ahmad, R. A. Collins: Phys. Status Solidi A 126, 411 (1991) 29.207 J. Ka˘spar, I. Emmer, R. A. Collins: Int. J. Electron. 76, 793 (1994) 29.208 N. F. Mott: Metal–Insulator Transitions (Taylor and Francis, London 1974) 29.209 H. Böttger, V. V. Bryksin: Hopping Conduction in Solids (VCH, Weinheim 1985) 29.210 A. H. Clark: Phys. Rev. 154, 750 (1967) 29.211 P. A. Walley, A. K. Jonscher: Thin Solid Films 1, 367 (1968) 29.212 C. J. Adkins, S. M. Freake, E. M. Hamilton: Phil. Mag. 22, 183 (1970) 29.213 R. D. Gould, B. B. Ismail: Phys. Status Solidi A 134, K65 (1992) 29.214 D. S. H. Chan, A. E. Hill: Thin Solid Films 35, 337 (1976) 29.215 J. Mycielski: Phys. Rev. 123, 99 (1961) 29.216 J. Le Moigne, R. Even: J. Chem. Phys. 83, 6472 (1985) 29.217 A. Ahmad, R. A. Collins: Thin Solid Films 217, 75 (1992) 29.218 S. A. James, A. K. Ray, J. Silver: Phys. Status Solidi A 129, 435 (1992) 29.219 A. M. Saleh, R. D. Gould, A. K. Hassan: Phys. Status Solidi A 139, 379 (1993) 29.220 R. D. Gould, A. K. Hassan: Thin Solid Films 223, 334 (1993) 29.221 S. I. Shihub, R. D. Gould: Thin Solid Films 254, 187 (1995) 29.222 J. G. Simmons: J. Appl. Phys. 34, 1793 (1963) 29.223 J. G. Simmons: Phys. Rev. 166, 912 (1968) 29.224 R. H. Fowler, L. W. Nordheim: Proc. R. Soc. A 119, 173 (1928) 29.225 J. C. Fisher, I. Giaever: J. Appl. Phys. 32, 172 (1961) 29.226 R. Holm: J. Appl. Phys. 22, 569 (1951) 29.227 D. Meyerhofer, S. A. Ochs: J. Appl. Phys. 34, 2535 (1963) 29.228 S. R. Pollack, C. E. Morris: J. Appl. Phys. 35, 1503 (1964) 29.229 J. G. Simmons: J. Appl. Phys. 34, 2581 (1964) 29.230 M. Lenzlinger, E. H. Snow: J. Appl. Phys. 40, 278 (1969) 29.231 S. M. Sze: Physics of Semiconductor Devices, 2nd edn. (Wiley, New York 1981)
715
Part C 29
29.179
Thin Film Devices, ed. by T. J. Coutts (Academic, New York 1978) p. 57 E. E. Mola, J. M. Heras: Electrocomp. Sci. Technol. 1, 77 (1974) J. G. Simmons: J. Phys. D: Appl. Phys. 4, 613 (1971) E. H. Rhoderick: Metal–Semiconductor Contacts (Clarendon, Oxford 1978) D. R. Lamb: Electrical Conduction Mechanisms in Thin Insulating Films (Metheun, London 1967) A. Rose: Phys. Rev. 97, 1538 (1955) T. Budinas, P. Mackus, A. Smilga, J. Vivvakas: Phys. Stat. Sol. 31, 375 (1969) R. Zuleeg: Solid State Electron. 6, 645 (1963) R. Zuleeg, R. S. Muller: Solid State Electron. 7, 575 (1964) B. M. Basol, O. M. Stafsudd: Solid State Electron. 24, 121 (1981) V. S. Dharmadhikari: Int. J. Electron. 54, 787 (1983) R. Glew: Thin Solid Films 46, 59 (1977) R. K. Pandey, R. B. Gore, A. J. N. Rooz: J. Phys. D: Appl. Phys. 20, 1059 (1987) R. D. Gould, A. K. Hassan: Thin Solid Films 193/194, 895 (1990) A. K. Hassan, R. D. Gould: J. Phys: Condens. Matter 1, 6679 (1989) T. S. Shafai, R. D. Gould: Int. J. Electron. 73, 307 (1992) A. K. Hassan, R. D. Gould: Int. J. Electron. 74, 59 (1993) S. Gravano, A. K. Hassan, R. D. Gould: Int. J. Electron. 70, 477 (1991) B. B. Ismail, R. D. Gould: Phys. Status Solidi A 115, 237 (1989) R. D. Gould, M. S. Rahman: J. Phys. D: Appl. Phys. 14, 79 (1981) M. A. Lampert: Rep. Prog. Phys. 27, 329 (1964) R. D. Gould, B. A. Carter: J. Phys. D: Appl. Phys. 16, L201 (1983) K. N. Sharma, K. Barua: J. Phys. D: Appl. Phys. 12, 1729 (1979) A. O. Oduor, R. D. Gould: Thin Solid Films 270, 387 (1995) A. O. Oduor, R. D. Gould: Thin Solid Films 317, 409 (1998) A. Sussman: J. Appl. Phys. 38, 2738 (1967) R. D. Gould: J. Appl. Phys. 53, 3353 (1982) R. D. Gould: J. Phys. D: Appl. Phys. 9, 1785 (1986) R. D. Gould, B. B. Ismail: Int. J. Electron. 69, 19 (1990) S. S. Ou, O. M. Stafsudd, B. M. Basol: Thin Solid Films 112, 301 (1984) G. M. Delacote, J. P. Fillard, F. J. Marco: Solid State Commun. 2, 373 (1964) M. A. Lampert, P. Mark: Current Injection in Solids (Academic, New York 1970) S. Gogoi, K. Barua: Thin Solid Films 92, 227 (1982) R. D. Gould, C. J. Bowler: Thin Solid Films 164, 281 (1988)
References
716
Part C
Materials for Electronics
29.232 A. K. Hassan, R. D. Gould: J. Phys. D: Appl. Phys. 22, 1162 (1989) 29.233 D. V. Geppert: J. Appl. Phys. 33, 2993 (1962) 29.234 J. G. Simmons: Electronic conduction through thin insulating films. In: Handbook of Thin Film Technology, ed. by L. I. Maissel, R. Glang (McGraw–Hill, New York 1970) Chap. 14 29.235 P. R. Emtage, W. Tantraporn: Phys. Rev. Lett. 8, 267 (1962)
29.236 S. R. Pollack: J. Appl. Phys. 34, 877 (1963) 29.237 W. E. Flannery, S. R. Pollack: J. Appl. Phys. 37, 4417 (1966) 29.238 M. Fusstöss-Wegner: Thin Solid Films 36, 89 (1976) 29.239 B. Sh. Barkhalov, Yu. A. Vidadi: Thin Solid Films 40, L5 (1977) 29.240 A. Wilson, R. A. Collins: Sens. Actuators 12, 389 (1987)
Part C 29
717
Thick Films
30. Thick Films
The term “thick film” is often misinterpreted, and so it is worth noting from the outset that it has little to do with the actual thickness of the film itself. The preferred definition encompasses the fabrication process, namely screen printing, used to deposit the films. The typical range of thicknesses for thick film layers is 0.1 µm to 100 µm. Screen printing is one of the oldest forms of graphic art reproduction and involves the deposition of an ink (or paste) onto a base material (or substrate) through the use of a finely-woven screen with an etched pattern of the desired geometry. The process is commonly used for the production of graphics and
30.1 Thick Film Processing ........................... 718 30.1.1 Screen Printing ......................... 718 30.1.2 The Drying and Firing Process ..... 719 30.2 Substrates........................................... 30.2.1 Alumina ................................... 30.2.2 Stainless Steel........................... 30.2.3 Polymer Substrates ....................
720 720 720 720
30.3 Thick Film Materials ............................. 30.3.1 Conductors ............................... 30.3.2 Resistors .................................. 30.3.3 Dielectrics ................................ 30.3.4 Polymer Thick Films ...................
721 721 722 723 723
30.4 Components and Assembly ................... 30.4.1 Passive Components .................. 30.4.2 Active Components .................... 30.4.3 Trimming ................................. 30.4.4 Wire Bonding ........................... 30.4.5 Soldering of Surface-Mounted Components 30.4.6 Packaging and Testing ...............
724 724 725 725 726 727 727
30.5 Sensors ............................................... 30.5.1 Mechanical............................... 30.5.2 Thermal ................................... 30.5.3 Optical ..................................... 30.5.4 Chemical .................................. 30.5.5 Magnetic .................................. 30.5.6 Actuators .................................
728 728 729 730 730 730 731
References .................................................. 731
text onto items such as T-shirts, mugs, pencils, textiles and so on, and is very similar to that used for microelectronic thick films. The degree of sophistication for the latter is, however, significantly greater, resulting in high-quality, reproducible films for use in a variety of electronic systems. The technology used to manufacture thick film hybrid microelectronic circuits was introduced in the 1950s. Such circuits typically comprised semiconductor devices, monolithic integrated circuits, discrete passive components and the thick films themselves [30.1, 2]. In the early days of the technology, the thick films were
Part C 30
Thick film technology is an example of one of the earliest forms of microelectronics-enabling technologies and it has its origins in the 1950s. At that time it offered an alternative approach to printed circuit board technology and the ability to produce miniature, integrated, robust circuits. It has largely lived in the shadow of silicon technology since the 1960s. The films are deposited by screen printing (stenciling), a graphic reproduction technique that can be dated back to the great Chinese dynasties of around a thousand years ago. Indeed, there is evidence that even early Palaeolithic cave paintings from circa 15 000 BC may have been created using primitive stenciling techniques. With the advent of surface-mounted electronic devices in the 1980s, thick film technology again became popular because it allowed the fabrication of circuits without through-hole components. This chapter will review the main stages of the thick film fabrication process and discuss some of the commonly used materials and substrates. It will highlight the way in which the technology can be used to manufacture hybrid microelectronic circuits. The latter stages of the chapter will demonstrate how the technology has evolved over the past twenty years or so to become an important method in the production of solid state sensors.
720
Part C
Materials for Electronics (Silicon, GaAs etc. Thin and Thick Films)
30.2 Substrates
Part C 30.2
The main functions of the substrate are to provide mechanical support and electrical insulation for the thick films and hybrid circuits. Some of the main considerations for selecting substrates are listed below: Dielectric constant: This determines the capacitance associated with different elements fabricated onto the substrate. The dielectric strength will also determine the breakdown properties of the substrate. Thermal conductivity: Substrates with a high thermal conductivity can be used in applications where the circuit generates significant amounts of heat. Thermal coefficient of expansion (TCE): In general terms, the TCE of the substrate should be closely matched to the thick film materials and other components mounted on it. In some cases this cannot be assured, and in this case the consequences (in terms of thermal strains) must be fully considered. The main substrate materials used in thick film technology are the ceramic materials alumina (Al2 O3 ), beryllia (BeO) and aluminium nitride (AlN). Enamelled or insulated stainless steel substrates are sometimes used in some applications. Silicon has also been used in specialist transducer applications. Alumina, however, is the most common substrate material and it possesses desirable physical and chemical properties in addition to providing an economical solution. Alumina of 96% purity is used in the vast majority of worldwide commercial circuits. The remaining 4% weight fraction of the content is made up of magnesia and silica, which improve the densification and electrical properties. Beryllia has a high thermal conductivity and is used in applications where rapid heat removal is required. It is, however, a very toxic material and is therefore only used in limited application areas. Aluminium nitride is, essentially, an alternative for beryllia, with a high thermal conductivity and also improved mechanical properties such as higher flexural strength. Insulated stainless steel substrates are sometimes used in applications where a high thermal dissipation and mechanical ruggedness are required. They are particularly well suited to mechanical sensor applications.
sure thorough mixing. Most thick film substrates are less than one millimeter thick, and the preferred method of fabrication is sheet casting. A slurry is allowed to flow out onto a smooth belt, and it passes under a metal doctor blade which controls the resultant thickness. The material is then dried in air to remove the solvent and, at this stage, it is sometimes referred to as the green state because of its color. The substrates are then fired in a kiln for at least 12 h. A peak temperature of around 1500 ◦ C ensures that the materials are properly sintered. During firing, the substrates can shrink by up to 20%, and this needs to be taken into consideration for the formation of the final substrate. The surface finish can be improved by coating the surface with a thin, glassy layer (glazing), which is done as an additional step at a lower temperature.
30.2.2 Stainless Steel Stainless steel is a strong, elastic material with a relatively high thermal conductivity. Being a good electrical conductor, the steel must be coated with an insulating layer before it can be used as a substrate for thick film circuits. Porcelain enamelled steel substrates are made by coating a stainless steel plate with a glassy layer between 100 and 200 µm in thickness. The steel is enamelled either by dipping, electrostatic spraying or electrophoretic deposition of a low-alkali glass and subsequent firing at several hundred degrees Celsius. Some commercial paste manufacturers produce an insulating dielectric thick film ink that can be screen printed directly onto various types of stainless steel. The substrates are fired at a temperature of around 900 ◦ C. The paste contains a devitrifying glass that does not recrystallize on further firings and therefore provides compatibility with other standard thick film materials. Insulated stainless steel substrates also offer the advantages of having a built-in ground plane (the steel itself) and excellent electromagnetic and electrostatic shielding properties. It is also possible to machine the substrate, using conventional workshop facilities, prior to the circuit fabrication.
30.2.1 Alumina 30.2.3 Polymer Substrates Alumina substrates are manufactured by blending alumina powder, with an average particle size of around 1 µm, together with small amounts of silica, magnesia and calcia. These are either ball- or roll-milled for about 10 h with lubricants, binders and solvents that en-
In some applications it is desirable to fabricate a circuit onto a flexible substrate; evidence of these can be found in mobile telephones, calculators and notebook computers. Cermet thick film materials are not compat-
Thick Films
ible with flexible substrates and hence special polymer thick film materials are used (Sect. 30.3.4). Polymer substrate materials are mainly based on polyesters,
30.3 Thick Film Materials
721
polycarbonates and polyimide plastics. The maximum processing temperature is usually limited to around 200 ◦ C.
30.3 Thick Film Materials 30.3.1 Conductors
R=
ρl , wt
where ρ is the bulk resistivity (Ω cm), l and w are the length and width of the conductor respectively, and t
Silver Conductors Silver pastes were one of the earliest thick film conductors to be developed. They possess good bond strength and high conductivity. There are, however, several disadvantages to using pure silver which prevent it being widely used in many applications. These include:
• • •
Poor leach resistance to solder; Oxidation in air over time; Susceptible to electromigration in the presence of moisture, elevated temperature and bias voltage.
Silver/palladium conductors The alloy of silver and palladium is the most common type of thick film conductor and is the alloy most widely used in the hybrid circuit industry. It can be used for interconnecting tracks, attachment pads and resistor terminations, but is generally not recommended for wiring bonding pads. Silver/palladium conductors overcome many of the problems associated with pure silver, and low-migration formulations are available from several commercial suppliers.
Table 30.1 Most of the common metals and metal alloys
used in thick film conductors and their sheet resistivities
Metallurgy
Sheet resistivity (mΩ/ )
Silver (Ag) Gold (Au) Copper (Cu) Silver/palladium (Ag/Pd) Gold/palladium (Au/Pd) Gold/platinum (Au/Pt)
1–3 3–5 2–3 10–50 10–80 50–100
Gold Conductors Gold pastes have a high conductivity and are mainly used in applications where high reliability is required. Gold is a particularly good material for wire bonding pads, although it has relatively poor solderability. Gold is a precious material and hence very expensive; it is therefore not used for general purpose applications and is limited to those areas that can justify the higher costs.
Part C 30.3
Thick film conductors are the most widely used material in thick film hybrid circuits. Their main function is to provide interconnection between the components in the circuit. For a multilayered circuit, the conductor tracks are separated by dielectric layers and connection between each layer is achieved with metallized vias. Conductors are also used to form attachment pads for surface-mounted components such as integrated circuits or discrete passive components (resistors, capacitors and inductors). They can also be used as bond pads for naked dice, which may be attached directly to the thick film circuit. Another function of conductors is to provide the terminations for thick film resistors. With such a diverse range of applications, it is no surprise that a wide range of conductor materials is available. The characteristics of thick film conductors are dependent upon the composition of the functional phase of the paste. Typically, these comprise finely divided particles of precious metals such as silver, gold, platinum or palladium. Base metals such as aluminium, copper, nickel, chromium, tungsten or molybdenum are also used. The particle size, distribution and shape also have an effect on the electrical and physical properties of the fired film. The resistance of a conductor film is given by
is the fired thickness of the film. The term sheet resistivity is often used for thick films and is defined as the bulk resistivity at a given thickness (ρ/t), expressed in ohms per square (Ω/). This is convenient because the resistance of the track can then be calculated by simply multiplying the sheet resistivity by the aspect ratio (l/w) of the film. Table 30.1 summarizes some of the most common metals and metal alloys used in thick film conductors together with their sheet resistivities.
724
Part C
Materials for Electronics (Silicon, GaAs etc. Thin and Thick Films)
material, a polymer matrix and various solvents. The polymer matrix acts as ‘glue’ for the active component. Three types of polymer organic composition are used in polymer thick films:
• • •
Thermoplastic; Thermosetting; Ultraviolet (UV)-curable.
Part C 30.4
With thermoplastics, the required viscosity for screen printing is achieved via solvents. The polymer material is typically acrylic, polyester, urethane or vinyl. After printing, the paste is hardened by drying in a belt or box oven. These types of film have relatively poor resistance to environmental conditions and are not resistant to elevated temperatures and solvents. Thermosetting pastes have polymers that are partially cured and are typically epoxy, silicone or phenolic resin. After printing, the polymer is fully cured, providing a strong and stable matrix. Solvents are still needed to provide the correct rheology for printing. The UV curable pastes are generally used for dielectric inks and can be cured at room temperature under an ultraviolet light source. For conductors, the most commonly used active phases are silver, copper and nickel. Owing to their poor stability at high temperatures, polymer thick film conductors cannot be soldered and alternative forms of attachment must be adopted. Carbon is typically used as the active material in polymer thick film resistors. The performance of these resistors is inferior to that of their cermet counterparts and they are therefore seldom used in critical applications. The dielectric pastes are similar in nature to conductors and resistors except that the conducting phase is omitted from the formulation. Some manufacturers add minerals to improve the electrical and mechanical properties of the dielectric films. Polymer thick films are a popular choice of material for disposable biosensors such as those used in
Fig. 30.7 A selection of flexible circuits (courtesy of Flex
Interconnect Technologies, Milpitas, USA)
the home testing of levels of glucose in human blood samples. The process for fabricating polymer thick films is similar to that used with cermet materials. Once they have been screen printed, the layers are left to stand in air for a few minutes to ensure that the surface is level and contains no residual mesh patterns. The curing process is achieved in a box oven or an infrared belt dryer at temperatures in the range 150–200 ◦ C. For a thermoset polymer, the higher the temperature and longer the curing time, the greater the cross-linking of the polymer chains in the matrix. This can lead to improved film stability and increased shrinkage. An early and successful application of polymer thick film technology was the fabrication of membrane switches for keyboards. Today, examples of polymer thick film circuits can be found in many consumer products, such as mobile phones, portable computers, personal digital assistants and calculators. Figure 30.7 shows some typical flexible polymer circuits.
30.4 Components and Assembly 30.4.1 Passive Components Passive electronic components are those that do not require an external energy source to function. Examples are resistors, capacitors and inductors. As we have seen earlier, thick film technology allows the fabrication of high-quality, stable resistors. It is also possible to add resistors to a thick film circuit in the form of an additional chip component. Such devices are
available as surface-mounted devices, which do not require holes to be drilled into the circuit board. Interestingly, chip resistors are often manufactured as multiple parts using thick film techniques on ceramic substrates. These are then diced and the terminations are added. An example of a typical chip component is shown in Fig. 30.8. Resistor values can range 1 Ω to 10 MΩ, with typical tolerances of between ±1% to ±20%.
Thick Films
sonic vibrations. This method is amenable to multilevel and multidirectional bonding and is therefore the preferred method, allowing bonding of up to 100 wires per minute.
30.4.5 Soldering of Surface-Mounted Components
727
This process takes place by belt reflow, vapor phase or infrared belt system. A typical belt reflow system comprises a thermally conducting belt upon which the substrates are placed. The belt then travels through a number of heating stages, which causes the solder cream to melt (reflow). Vapor-phase soldering requires the substrates to be lowered into a vessel containing a boiling, inert fluorocarbon. The vapor condenses onto the substrate and raises the temperature uniformly to that of the liquid below. Infrared belt reflow systems are similar to those used for drying thick film materials. The substrate is placed on a wire-mesh belt, which travels through several infrared radiator zones.
30.4.6 Packaging and Testing Thick film hybrid circuits are very versatile and offer advantages over other forms of enabling technologies. Owing to this flexibility, the circuits have a wide range of shapes and sizes and hence there is no “standard” package type. Selection of a particular form of packaging must therefore involve the consideration of issues such as:
• • • • •
Protection of the circuit from harsh environmental conditions; Protection from mechanical damage; Avoidance of water ingress; Electrical or mechanical connections to other parts of the system; Thermal mismatches of different materials.
A simple way of protecting the circuit is to screen print an overglaze layer over the substrate, covering all areas of the substrate except those where components are to be added. A lead frame can then be added to the substrate to allow external connections to be made. An example of a thick film hybrid circuit (without overglaze) is depicted
Fig. 30.11 A thick film hybrid circuit
Part C 30.4
Several techniques exist for the attachment of surfacemounted components to a thick film hybrid circuit. Surface-mounted components are generally much smaller than their through-hole counterparts. Of course, soldering by hand is also possible, although this is a tricky task requiring good operator skill and is often impractical because of the relatively long length of time needed. Solder dipping requires the components to be placed on the board, either by hand or by a special pick-andplace machine. The components are fixed in position on the substrate by adding a small dot of glue and elevating the temperature to between 120 ◦ C and 180 ◦ C, which is sufficient to cure the adhesive. The board can then be dipped into a bath of molten solder at a temperature of 200 ◦ C and then withdrawn at a sufficient rate to ensure that an adequate solder coating is obtained. Wave soldering also requires the components to be fixed in position prior to the soldering process. Wave soldering machines were originally used for soldering through-hole components onto printed circuit boards, but they can also be used effectively with surfacemounted devices. The substrates are placed on a moving belt component side-down and initially pass through a flux bath before entering a solder bath. A wave of molten solder then flows over the substrate and creates a good joint at the desired location. This process can expose the components to a great thermal shock and it is therefore common to have a preheating phase which minimizes such effects. With both of these techniques, it is also necessary to ensure that a solder resist layer is applied to the substrate to cover all the areas that are not required to be soldered. With very densely populated circuits, there can also be a masking effect where some areas are not sufficiently coated with solder. Reflow soldering is the preferred method of attaching surface-mounted devices. A solder cream is deposited onto the component pads either by screen printing or by a solder dispenser. The flux within the cream is sufficiently tacky to hold the component in place so that handling of the substrate is possible. After all of the components have been positioned on the circuit, the solder cream is dried and then reflowed.
30.4 Components and Assembly
728
Part C
Materials for Electronics (Silicon, GaAs etc. Thin and Thick Films)
in Fig. 30.11. The two resistors on the left of the circuit have been trimmed and the straight cuts are visible. Conformal coatings are often used to protect the circuit from environmental attack. These are applied in the form of either a powder or fluid. In the former case, the substrate is heated and immersed into the powder. The temperature is then increased so that the coating dries. For fluids, the substrate is dipped into the coating material and subsequently dried at a temperature of around 70 ◦ C. Typically, the thickness of a conformal coating is between 300 and 1200 µm. For circuits requiring operation in harsh environments, a special hermetic packaging is needed. The package can be made from ceramics, metals, ceramic/metal or glass/ceramic compositions. The hermetic seal is made by brazing, welding or glass sealing
techniques. This form of packaging is often very expensive and is therefore only used in special application areas. The final stage of the process is to test the circuit to see that its performance matches the design specification. Electrical testing can be difficult if the circuit has been coated or hermetically sealed, as physical access to components may be restricted. It is therefore usual to ensure that key test points are brought out to an external pin on the package. Environmental testing over a range of temperature and humidity may also be required in some circumstances. Highreliability circuits are often subject to a so-called burn-in phase, which involves holding the circuits at an elevated temperature for a given time to simulate the ageing process.
30.5 Sensors
Part C 30.5
Advances in the field of sensor development are greatly affected by the technologies that are used for their fabrication. The use of thick film processes as an enabling technology for modern-day sensors continues to expand. As we have already seen, the ability to produce miniaturized circuits is clearly one area in which thick film technology excels. The hybrid electronic circuitry can be integrated into the sensor housing to produce the basis of a smart (or intelligent) sensor [30.5]. Thick film technology also offers the advantage that it can provide a supporting structure onto which other materials can be deposited, possibly using other enabling technologies [30.6]. A major contribution of the technology to sensor development, however, results from the fact that the thick film itself can act as a primary sensing element. As an example, the thick film strain gauge, described below, is merely a conventional thick film resistor that is configured in such a way as to exploit one of its physical characteristics. Commercial thick film platinum conductors can be trimmed and used as calibrated temperature sensors. Most standard pastes, however, have not been specifically developed for sensor applications and do not necessarily have optimum sensing properties. The formulation of special-purpose thick film sensor pastes is the subject of intensive research activity [30.7]. For the purpose of this text, a sensor is considered as being a device that translates a signal from one of the common sensing domains (mechanical, thermal, op-
tical, chemical or magnetic) into an electrical signal. An actuator is a device that converts an electrical signal into one of the other domains (mainly mechanical).
30.5.1 Mechanical In broad terms, thick film mechanical sensors are mainly based on piezoresistive, piezoelectric or capacitive techniques. Materials that exhibit a change in bulk resistivity when subjected to deformation by an external force are termed piezoresistive. A more common term is the strain gauge, denoting the fact that such devices produce a change in resistance when strained. The effect can be observed in standard cermet thick film resistors [30.6,8]. The sensitivity of a strain gauge is called the gauge factor and is defined as: GF =
∆R/R , ε
where ∆R/R is the relative change in resistance and ε is the applied strain (dimensionless). The gauge factors of metal foil strain gauges and thick film resistors are around 2 and 10 respectively. The former have typical resistance values of either 120 Ω or 350 Ω. As we have already seen, however, it is possible to produce thick film resistors with a wide range of resistance values, and this allows greater flexibility in strain gauge design. It is usual to place the strain gauges in a Wheatstone bridge configuration in order to produce a linear output analog voltage change that is proportional to the mechanical
Thick Films
nickel-based conductors have been shown to exhibit a nonlinear change in resistance for a linear increase in applied magnetic field [30.17]. A peak change in resistance of around 1% can occur at an applied field of 0.1 T. Researchers have made linear and rotary displacement sensors based on thick film nickel pastes, although it should be noted that such devices are also thermoresistive and therefore the magnetic measurements need to be taken in a temperature-controlled environment.
30.5.6 Actuators We have previously defined an actuator as a device that converts a signal from the electrical domain into one of the other signal domains. It was noted earlier that piezoelectric materials produce a mechanical stress in
References
731
response to an electrical charge. Such materials can therefore be used as actuators. Thick film piezoelectric layers have been screen printed onto thin silicon diaphragms in order to form the basis of a micropump [30.11]. Photovoltaic devices convert incident optical radiation into electric current and are often termed solar cells. They are used to power devices such as calculators, clocks, pumps and lighting. In general terms, the output power level is proportional to the physical size of the photovoltaic cell. The device is essentially a heterojunction between n-type and p-type semiconductors. Thick film solar cells have been made comprising CdS (n-type) and CdTe (p-type) as the junction materials [30.18]. Such thick film actuators have been shown to have relatively low conversion efficiencies (between 1% and 10%).
References 30.1 30.2
30.3 30.4 30.5 30.6
30.8 30.9
30.10 30.11
30.12 30.13 30.14 30.15 30.16 30.17
30.18
M. Prudenziati, B. Morten, G. De Cicco: Microelectron. Int. 38, 5–11 (1995) M. Koch, N. Harris, A. G. R. Evans, N. M. White, A. Brunnschweiler: Sensors Actuat. A 70(1–2), 98– 103 (1998) N. M. White, V. T. K. Ko: Electron. Lett. 29, 1807– 1808 (1993) S. P. Beeby, N. M. White: Sensors Actuat. A 88, 189– 197 (2001) J. N. Ross: Meas. Sci. Technol. 6, 405–409 (1995) M. Prudenziati, B. Morten: Microelectron. J. 23, 133–141 (1992) G. Martinelli, M. C. Carotta: Sensors Actuat. B 23, 157–161 (1995) B. Morten, M. Prudenziati, F. Sirotti, G. De Cicco, A. Alberigi-Quaranta, L. Olumekor: J. Mater. Sci. Mater. El. 1, 118–122 (1990) N. Nakayama, H. Matsumoto, A. Nakano, S. Ikegami, H. Uda, T. Yamashita: Jpn. J. Appl. Phys. 19, 703–712 (1980)
Part C 30
30.7
R. A. Rikoski: Hybrid Microelectronic Circuits: The Thick-Film (Wiley, New York 1973) M. A. Topfer: Thick-Film Microelectronics: Fabrication, Design and Fabrication (Van NostrandReinhold, New York 1971) P. J. Holmes, R. G. Loasby: Handbook of Thick Film Technology (Electrochemical Publ., Ayr 1976) M. Prudenziati, A. Rizzi, P. Davioli, A. Mattei: Nuovo Cim. 3, 697–710 (1983) J. E. Brignell: Thick-Film Sensors, ed. by M. Prudenziati (Elsevier, Amsterdam 1994) J. E. Brignell, N. M. White, A. W. J. Cranny: Sensor applications of thick-film technology, IEE Proceedings Part I, Solid State and Electron Devices 135(4), 77–84 (1988) N. M. White, J. D. Turner: Meas. Sci. Technol. 8, 1–20 (1997) C. Canali, D. Malavisi, B. Morten, M. Prudenziati: J. Appl. Phys. 51, 3282–3286 (1980) H. Baudry: Screen printing piezoelectric devices, 6th European Microelectronics, 456–463 (Bournemouth, UK, 1987)
733
Part D
Materials f Part D Materials for Optoelectronics and Photonics
31 III-V Ternary and Quaternary Compounds Sadao Adachi, Gunma, Japan
37 Optoelectronic Devices and Materials Stephen Sweeney, Guildford, UK Alfred Adams, Surrey, UK
32 Group III Nitrides Ali Teke, Balikesir, Turkey Hadis Morkoç, Richmond, USA
38 Liquid Crystals David Dunmur, Southampton, UK Geoffrey Luckhurst, Southampton, UK
33 Electron Transport Within the III–V Nitride Semiconductors, GaN, AlN, and InN: A Monte Carlo Analysis Brian E. Foutz, Endicott, USA Stephen K. O’Leary, Regina, Canada Michael Shur, Troy, USA Lester F. Eastman, Ithaca, USA 34 II–IV Semiconductors for Optoelectronics: CdS, CdSe, CdTe Jifeng Wang, Sendai, Japan Minoru Isshiki, Sendai, Japan 35 Doping Aspects of Zn-Based Wide-Band-Gap Semiconductors Gertrude F. Neumark, New York, USA Yinyan Gong, New York, USA Igor L. Kuskovsky, Flushing, USA 36 II–VI Narrow-Bandgap Semiconductors for Optoelectronics Ian M. Baker, Southampton, UK
39 Organic Photoconductors David S. Weiss, Rochester, USA Martin Abkowitz, Webster, USA 40 Luminescent Materials Andy Edgar, Wellington, New Zealand 41 Nano-Engineered Tunable Photonic Crystals in the Near-IR and Visible Electromagnetic Spectrum Harry Ruda, Toronto, Canada Naomi Matsuura, Toronto, Canada 42 Quantum Wells, Superlattices, and Band-Gap Engineering Mark Fox, Sheffield, UK 43 Glasses for Photonic Integration Ray DeCorby, Edmonton, Canada 44 Optical Nonlinearity in Photonic Glasses Keiji Tanaka, Sapporo, Japan 45 Nonlinear Optoelectronic Materials Lukasz Brzozowski, Toronto, ON, Canada Edward Sargent, Toronto, Canada
735
III-V Ternary a 31. III-V Ternary and Quaternary Compounds
31.1
Introduction to III–V Ternary and Quaternary Compounds ................. 735
31.2
Interpolation Scheme .......................... 736
31.3
Structural Parameters .......................... 737 31.3.1 Lattice Parameters and Lattice-Matching Conditions Between III–V Quaternaries and Binary Substrates ................ 737 31.3.2 Molecular and Crystal Densities ... 737
31.4
Mechanical, Elastic and Lattice Vibronic Properties ............. 31.4.1 Microhardness .......................... 31.4.2 Elastic Constants and Related Moduli ................... 31.4.3 Long-Wavelength Phonons ........
31.5
739 739 739 739
Thermal Properties .............................. 31.5.1 Specific Heat and Debye Temperature ............. 31.5.2 Thermal Expansion Coefficient .... 31.5.3 Thermal Conductivity .................
741 741 741
31.6 Energy Band Parameters ...................... 31.6.1 Bandgap Energy........................ 31.6.2 Carrier Effective Mass ................. 31.6.3 Deformation Potential ...............
743 743 744 746
31.7
741
Optical Properties ................................ 748 31.7.1 The Reststrahlen Region............. 748 31.7.2 The Interband Transition Region . 749
31.8 Carrier Transport Properties .................. 750 References .................................................. 751 here are used with wide success to obtain the general properties of these alloy semiconductors.
31.1 Introduction to III–V Ternary and Quaternary Compounds III–V semiconducting compound alloys are widely used as materials for optoelectronic devices such as lightemitting diodes, laser diodes and photodetectors, as well as for electronic transport devices such as field effect transistors, high electron mobility transistors and het-
erojunction bipolar transistors. In a ternary alloy, the bandgap energy E g and the lattice parameter a are generally both functions of a single composition parameter, so they cannot be selected independently. In quaternary alloys, on the other hand, the two com-
Part D 31
III–V ternary and quaternary alloy systems are potentially of great importance for many highspeed electronic and optoelectronic devices, because they provide a natural means of tuning the magnitude of forbidden gaps so as to optimize and widen the applications of such semiconductor devices. Literature on the fundamental properties of these material systems is growing rapidly. Even though the basic semiconductor alloy concepts are understood at this time, some practical and device parameters in these material systems have been hampered by a lack of definite knowledge of many material parameters and properties. This chapter attempts to summarize, in graphical and tabular forms, most of the important theoretical and experimental data on the III–V ternary and quaternary alloy parameters and properties. They can be classified into six groups: (1) Structural parameters; (2) Mechanical, elastic, and lattice vibronic properties; (3) Thermal properties; (4) Energy band parameters; (5) Optical properties, and; (6) Carrier transport properties. The III–V ternary and quaternary alloys considered here are those of Group III (Al, Ga, In) and V (N, P, As, Sb) atoms. The model used in some cases is based on an interpolation scheme and, therefore, requires that data on the material parameters for the related binaries (AlN, AlP, GaN, GaP, etc.) are known. These data have been taken mainly from the Landolt-Börnstein collection, Vol. III/41, and from the Handbook on Physical Properties of Semiconductors Volume 2: III–V Compound Semiconductors, published by Springer in 2004. The material parameters and properties derived
736
Part D
Materials for Optoelectronics and Photonics
position parameters allow E g and a to be selected independently, within the constraints of a given alloy– substrate system. Even though the basic semiconductor alloy concepts are understood at this time, the determination of some practical device parameters has been hampered by a lack of definite knowledge of many material parameters. This chapter provides data on the fundamental material properties of III–V ternary and quaternary alloys. The model used here is based on an interpolation scheme and thus requires that
values of the material parameters for the related endpoint binaries are known. We therefore begin with the constituent binaries and gradually move on to alloys. The phenomenon of spontaneous ordering in semiconductor alloys, which can be categorized as a self-organized process, is observed to occur spontaneously during the epitaxial growth of certain alloys, and results in modifications to their structural, electronic and optical properties. This topic is omitted from the coverage [31.1].
31.2 Interpolation Scheme The electronic energy band parameters of III–V compound alloys and their dependence on alloy composition are very important device parameters, and so they have received considerable attention in the past. Investigations of many device parameters have, however, been hampered by a lack of definite knowledge of various material parameters. This necessitates the use of some kind of interpolation scheme. Although the interpolation scheme is still open to experimental verification, it can provide more useful and reliable material parameters over the entire range of alloy composition [31.2]. If one uses the linear interpolation scheme, the ternary parameter T can be derived from the binary parameters (B) by TAx B1−x C = x BAC + (1 − x)BBC ≡ a + bx
(31.1)
for an alloy of the form Ax B1−x C, where a ≡ BBC and b ≡ BAC − BBC . Some material parameters, however, deviate significantly from the linear relation (31.1), and exhibit an approximately quadratic dependence on the mole fraction x. The ternary material parameter in such a case can be very efficiently approximated by the relationship
Part D 31.2
TAx B1−x C = x BAC + (1 − x)BBC + CA−B x(1 − x) ≡ a + bx + cx 2 ,
(31.2)
where a ≡ BBC and b ≡ BAC − BBC + CA−B , and c ≡ −CA−B . The parameter c is called the bowing or nonlinear parameter. The quaternary material Ax B1−x C y D1−y is thought to be constructed from four binaries: AC, AD, BC, and BD. If one uses the linear interpolation scheme, the quaternary parameter Q can be derived from the Bs by Q(x, y) = xyBAC + x(1 − y)BAD + (1 − x)yBBC + (1 − x)(1 − y)BBD . (31.3)
If one of the four binary parameters (e.g., BAD ) is lacking, Q can be estimated from Q(x, y) = x BAC + (y − x)BBC + (1 − y)BBD . (31.4) The quaternary material Ax B y C1−x−y D is thought to be constructed from three binaries: AD, BD, and CD. The corresponding linear interpolation is given by Q(x, y) = x BAD + yBBD + (1 − x − y)BCD . (31.5) If the material parameter can be given by a specific expression owing to some physical basis, it is natural to consider that the interpolation scheme may also obey this expression. The static dielectric constant εs is just the case that follows the Clausius–Mosotti relation. Then, the interpolation expression for the Ax B1−x C y D1−y quaternary, for example, has the form εs (x, y) − 1 εs (AC) − 1 εs (AD) − 1 =xy + x(1 − y) εs (x, y) − 2 εs (AC) − 2 εs (AD) − 2 εs (BC) − 1 + (1 − x)y εs (BC) − 2 εs (BD) − 1 + (1 − x)(1 − y) . (31.6) εs (BD) − 2 When bowing from the anion sublattice disorder is independent of the disorder in the cation sublattice, the interpolation scheme is written by incorporating these cation and anion bowing parameters into the linear interpolation scheme as Q(x, y) = xyBAC + x(1 − y)BAD + (1 − x)yBBC + (1 − x)(1 − y)BBD + CA−B x(1 − x) (31.7) + CC−D y(1 − y) for the Ax B1−x C y D1−y quaternary, or Q(x, y) = x BAD + yBBD + (1 − x − y)BCD + CA−B−C xy(1 − x − y) for the Ax B y C1−x−y D quaternary.
(31.8)
III-V Ternary and Quaternary Compounds
If relationships for the ternary parameters T s are available, the quaternary parameter Q can be expressed either as (Ax B1−x C y D1−y ) Q(x, y) =
737
or (Ax B y C1−x−y D) xyTABD (u) + y(1 − x − y)TBCD (v) Q(x, y) = xy + y(1 − x − y) + x(1 − x − y)
x(1 − x)[yTABC (x) + (1 − y)TABD (x)] x(1 − x) + y(1 − y) +
31.3 Structural Parameters
+ with
y(1 − y)[xTACD (y)+(1 − x)TBCD (y)] , x(1 − x) + y(1 − y)
x(1 − x − y)TACD (w) xy + y(1 − x − y) + x(1 − x − y) (31.10)
u = (1 − x − y)/2 , v = (2 − x − 2y)/2 , w = (2 − 2x − y)/2 . (31.11)
(31.9)
31.3 Structural Parameters 31.3.1 Lattice Parameters and Lattice-Matching Conditions Between III–V Quaternaries and Binary Substrates
listed in Table 31.1 [31.3, 4]. Introducing the lattice parameters in Table 31.1 into (31.3) [(31.5)], one can also obtain the lattice-matching conditions for A1−x Bx C y D1−y (Ax B y C1−x−y D) quaternaries on various III–V binary substrates (GaAs, GaSb, InP and InAs). These results are summarized in Tables 31.2, 31.3, 31.4 and 31.5.
The lattice parameter a (c) is known to obey Vegard’s law well, i. e., to vary linearly with composition. Thus, the lattice parameter for a III–V ternary can be simply obtained from (31.1) using the binary data
31.3.2 Molecular and Crystal Densities
Table 31.1 Lattice parameters a and c and crystal density g for some III–V binaries at 300 K Zinc blende a (Å)
Wurtzite a (Å) c (Å)
g (g/cm−3 )
AlN AlP AlAs AlSb α-GaN β-GaN GaP GaAs GaSb InN InP InAs InSb
– 5.4635 5.661 39 6.1355 – 4.52 5.4508 5.653 30 6.095 93 – 5.8690 6.0583 6.479 37
3.112 – – – 3.1896 – – – – 3.548 – – –
3.258 2.3604 3.7302 4.2775 6.0865 6.02 4.1299 5.3175 5.6146 6.813 4.7902 5.6678 5.7768
4.982 – – – 5.1855 – – – – 5.760 – – –
4 a3 for zinc blende-type materials, and dM =
dM =
(31.12)
4 3 aeff
(31.13)
for wurtzite-type materials, where aeff is an effective cubic lattice parameter defined by √ 1/3 aeff = 3a2 c . (31.14) The X-ray crystal density g can be simply written, using dM , as MdM , (31.15) g= NA
Table 31.2 Lattice-matching conditions for some III–V quaternaries of type Ax B1−x C y D1−y at 300 K. x =
A0 +B0 y C0 +D0 y
Quaternary
Substrate
A0
B0
C0
D0
Remark
Gax In1−x P y As1−y
GaAs InP GaAs InP
0.4050 0.1893 0.4050 0.1893
−0.1893 −0.1893 −0.1893 −0.1893
0.4050 0.4050 0.3969 0.3969
0.0132 0.0132 0.0086 0.0086
0 ≤ y ≤ 1.0 0 ≤ y ≤ 1.0 0.04 ≤ y ≤ 1.0 0 ≤ y ≤ 1.0
Alx In1−x P y As1−y
Part D 31.3
Binary
The molecular density dM can be obtained via
738
Part D
Materials for Optoelectronics and Photonics
where M is the molecular weight and NA = 6.022 × 1023 mole−1 is the Avogadro constant. We list g for some III–V binaries in Table 31.1. Al-
loy values of dM and g can be accurately obtained using Vegard’s law, i. e., (31.1), (31.3), and (31.5).
Table 31.3 Lattice-matching conditions for some III–V quaternaries of type Ax B1−x C y D1−y at 300 K. y =
A0 +B0 x C0 +D0 x
Quaternary
Substrate
A0
B0
C0
D0
Remark
Alx Ga1−x P y As1−y Alx Ga1−x As y Sb1−y
GaAs GaSb InP InAs GaAs GaSb InP InAs GaSb InP InAs GaAs GaSb InP InAs GaSb InP InAs GaAs GaSb InP InAs
0 0 0.2269 0.0376 0.4426 0 0.2269 0.0376 0.3834 0.6104 0.4211 0.8261 0.3834 0.6104 0.4211 0.3834 0.6104 0.4211 0.8261 0.3834 0.6104 0.4211
0.0081 0.0396 0.0396 0.0396 0.0396 0.0396 0.0396 0.0396 −0.3834 −0.3834 −0.3834 −0.3834 −0.3834 −0.3834 −0.3834 −0.3439 −0.3439 −0.3439 −0.3439 −0.3439 −0.3439 −0.3439
0.2025 0.4426 0.4426 0.4426 0.6451 0.6451 0.6451 0.6451 0.4211 0.4211 0.4211 0.6104 0.6104 0.6104 0.6104 0.4211 0.4211 0.4211 0.6104 0.6104 0.6104 0.6104
−0.0046 0.0315 0.0315 0.0315 0.0269 0.0269 0.0269 0.0269 0.0216 0.0216 0.0216 0.0348 0.0348 0.0348 0.0348 0.0530 0.0530 0.0530 0.0616 0.0616 0.0616 0.0616
0 ≤ x ≤ 1.0 0 ≤ x ≤ 1.0 0 ≤ x ≤ 1.0 0 ≤ x ≤ 1.0 0 ≤ x ≤ 1.0 0 ≤ x ≤ 1.0 0 ≤ x ≤ 1.0 0 ≤ x ≤ 1.0 0 ≤ x ≤ 1.0 0.47 ≤ x ≤ 1.0 0 ≤ x ≤ 1.0 0.52 ≤ x ≤ 1.0 0 ≤ x ≤ 1.0 0 ≤ x ≤ 1.0 0 ≤ x ≤ 1.0 0 ≤ x ≤ 1.0 0.48 ≤ x ≤ 1.0 0 ≤ x ≤ 1.0 0.53 ≤ x ≤ 1.0 0 ≤ x ≤ 1.0 0 ≤ x ≤ 1.0 0 ≤ x ≤ 1.0
Alx Ga1−x P y Sb1−y
Gax In1−x As y Sb1−y
Gax In1−x P y Sb1−y
Alx In1−x As y Sb1−y
Alx In1−x P y Sb1−y
Table 31.4 Lattice-matching conditions for some III–V quaternaries of type Ax B y C1−x−y D at 300 K. y = A0 + B0 x Quaternary
Substrate
A0
B0
Remark
Alx Ga y In1−x−y P Alx Ga y In1−x−y As
GaAs InP
0.5158 0.4674
−0.9696 −0.9800
0 ≤ x ≤ 0.53 0 ≤ x ≤ 0.48
Part D 31.3
Table 31.5 Lattice-matching conditions for some III–V quaternaries of type ABx C y D1−x−y at 300 K. x = A0 + B0 y Quaternary
Substrate
A0
B0
Remark
AlPx As y Sb1−x−y
GaAs InP InAs GaAs InP InAs GaSb InAs
0.7176 0.3966 0.1149 0.6861 0.3518 0.0583 0.6282 0.6899
−0.7055 −0.7055 −0.7055 −0.6861 −0.6861 −0.6861 −0.6899 −0.6899
0 ≤ y ≤ 0.96 0 ≤ y ≤ 0.56 0 ≤ y ≤ 0.16 0 ≤ y ≤ 1.0 0 ≤ y ≤ 0.51 0 ≤ y ≤ 0.085 0 ≤ y ≤ 0.911 0 ≤ y ≤ 1.0
GaPx As y Sb1−x−y
InPx As y Sb1−x−y
III-V Ternary and Quaternary Compounds
31.4 Mechanical, Elastic and Lattice Vibronic Properties
739
31.4 Mechanical, Elastic and Lattice Vibronic Properties 31.4.1 Microhardness The hardness test has been used for a long time as a simple means of characterizing the mechanical behavior of solids. The Knoop hardness HP for Gax In1−x P y As1−y lattice-matched to InP has been reported [31.5], and is found to increase gradually from 520 kg/mm2 for y = 0 (Ga0.47 In0.53 As) to 380 kg/mm2 for y = 1.0 (InP). It has also been reported that the microhardness in Alx Ga1−x N thin film slightly decreases with increasing AlN composition x [31.6].
31.4.2 Elastic Constants and Related Moduli Although the elastic properties of the III–V binaries have been studied extensively, little is known about their alloys. Recent studies, however, suggested that the elastic properties of the alloys can be obtained, to a good approximation, by averaging the binary endpoint values [31.7, 8]. We have, therefore, listed in Tables 31.6 and 31.7 the elastic stiffness (Cij ) and compliance constants (Sij ) for some III–V binaries with zinc blende and wurtzite structures, respectively. Table 31.8 also sum-
marizes the functional expressions for the bulk modulus Bu , Young’s modulus Y , and Poisson’s ratio P. Note that Y and P are not isotropic, even in the cubic zinc blende lattice.
31.4.3 Long-Wavelength Phonons The atoms of a crystal can be visualized as being joined by harmonic springs, and the crystal dynamics can be analyzed in terms of a linear combination of 3N normal modes of vibration (N is the number of different types of atoms; different in terms of mass or ordering in space). In alloys, the nature of the lattice optical spectrum depends on the difference between the quantities representing the lattice vibronic properties of the components. If these quantities are similar, then the optical response of an alloy is similar to the response of a crystal with the quantities averaged over the composition (one-mode behavior). In one-mode systems, such as most I–VII alloys, a single set of long-wavelength optical modes appears, as schematically shown in Fig. 31.1. When the parameters differ strongly, the response of a system is more complex; the spectrum contains a num-
Table 31.6 Elastic stiffness (Cij ) and compliance constants (Sij ) for some cubic III–V binaries at 300 K Ci j (1011 dyn/cm2 ) C11 C12
AlP AlAs AlSb β-GaN GaP GaAs GaSb InP InAs InSb
15.0∗ 11.93 8.769 29.1∗ 14.050 11.88 8.838 10.22 8.329 6.608
∗
6.42∗ 5.72 4.341 14.8∗ 6.203 5.38 4.027 5.73 4.526 3.531
C44
Si j (10−12 cm2 /dyn) S11 S12
S44
6.11∗ 5.72 4.076 15.8∗ 7.033 5.94 4.320 4.42 3.959 3.027
0.897∗ 1.216 1.697 0.523∗ 0.9756 1.173 1.583 1.639 1.945 2.410
1.64∗ 1.748 2.453 0.633∗ 1.422 1.684 2.315 2.26 2.526 3.304
−0.269∗ −0.394 −0.5618 −0.176∗ −0.2988 −0.366 −0.4955 −0.589 −0.6847 −0.8395
Part D 31.4
Binary
Theoretical
Table 31.7 Elastic stiffness (Cij ) and compliance constants (Sij ) for some wurtzite III–V binaries at 300 K Binary AlN α-GaN InN ∗1 C
66
Ci j (1011 dyn/cm2 ) C11 C12 C13
C33
C44
∗1 C66
Si j (10−12 cm2 /dyn) S11 S12 S13
41.0 37.3 19.0
39.0 38.7 18.2
12.0 9.4 0.99
13.5 11.6 4.3
0.285 0.320 0.957
14.0 14.1 10.4
10.0 8.0 12.1
= 1/2(C11 − C12 ), ∗2 S66 = 2(S11 − S12 )
−0.085 −0.112 −0.206
−0.051 −0.043 −0.499
S33
S44
∗2 S66
0.283 0.276 1.21
0.833 1.06 10.1
0.740 0.864 2.33
III-V Ternary and Quaternary Compounds
mode behavior of the long-wavelength optical modes in such quaternary alloys ([31.9]; Table 31.9). However, the Gax In1−x As y Sb1−y quaternary showed three-mode behavior with GaAs, InSb and mixed InAs/GaAs characteristics [31.10]. The Gax In1−x As y Sb1−y quaternary was also reported to show two-mode or three-mode behavior, depending on the alloy composition [31.11]. The long-wavelength optical phonon behavior in the Alx Ga1−x As ternary has been studied both theoretically and experimentally. These studies suggest that the optical phonons in Alx Ga1−x As exhibit the two-mode behavior over the whole composition range. Thus, the
31.5 Thermal Properties
741
Alx Ga1−x As system has two couples of the transverse optical (TO) and longitudinal optical (LO) modes; one is the GaAs-like mode and the other is the AlAs-like mode. Each phonon frequency can be expressed as [31.12]
• • • •
TO (GaAs): 268–14x cm−1 , LO (GaAs): 292–38x cm−1 , TO (AlAs): 358 + 4x cm−1 , LO (AlAs): 358 + 71x − 26x 2 cm−1 .
It is observed that only the AlAs-like LO mode shows a weak nonlinearity with respect to the alloy composition x.
31.5 Thermal Properties 31.5.1 Specific Heat and Debye Temperature Since alloying has no significant effect on elastic properties, it appears that using the linear interpolation scheme for alloys can provide generally acceptable specific heat values (C). In fact, it has been reported that the C values for InPx As1−x [31.13] and Alx Ga1−x As [31.14] vary fairly linearly with alloy composition x. It has also been shown [31.12] that the Debye temperature θD for alloys shows very weak nonlinearity with composition. From these facts, one can suppose that the linear interpolation scheme may provide generally acceptable C and θD values for III–V semiconductor alloys. We have, therefore, listed in Table 31.10 the III–V binary endpoint Table 31.10 Specific heat C and Debye temperature θD for some III–V binaries at 300 K C (J/gK)
θD (K)
αth (10−6 K−1 )
AlN AlP AlAs AlSb α-GaN GaP GaAs GaSb InN InP InAs InSb
0.728 0.727 0.424 0.326∗1 0.42 0.313 0.327 0.344∗1 2.274 0.322 0.352 0.350∗1
988 687 450 370∗1 821 493∗2 370 240∗1 674 420∗1 280∗1 161∗1
3.042 (⊥ c), 2.227 ( c)
∗1
At 273 K, ∗2 at 150 K
4.28 4.2 5.0 (⊥ c), 4.5 ( c) 4.89 6.03 6.35 3.830 (⊥ c), 2.751 ( c) 4.56 ≈ 5.0 5.04
31.5.2 Thermal Expansion Coefficient The linear thermal expansion coefficient αth is usually measured by measuring the temperature dependence of the lattice parameter. The composition dependence of αth has been measured for many semiconductor alloys, including Gax In1−x P [31.15] and GaPx As1−x [31.16]. These studies indicate that the αth value varies almost linearly with composition. This suggests that the thermal expansion coefficient can be accurately estimated using linear interpolation. In fact, we plot in Fig. 31.2 the 300 K value of αth as a function of x for the Alx Ga1−x As ternary. By using the least-squares fit procedure, we obtain the linear relationship between αth and x as αth (x) = 6.01–1.74x (10−6 K−1 ). This expression is almost the same as that obtained using the linear interpolation expression: αth (x) = 4.28x + 6.03(1 − x) = 6.03–1.75x (10−6 K−1 ). The binary endpoint values of αth are listed in Table 31.10.
31.5.3 Thermal Conductivity The lattice thermal conductivity κ, or the thermal resistivity W = 1/κ, results mainly from interactions between phonons and from the scattering of phonons by crystalline imperfections. It is important to point out that when large numbers of foreign atoms are added to the host lattice, as in alloying, the thermal conductivity may
Part D 31.5
Binary
values for C and θD at T = 300 K. Using these values, the linearly interpolated C value for Alx Ga1−x As can be obtained from C(x) = 0.424x + 0.327(1 − x) = 0.327 + 0.097x (J/gK).
III-V Ternary and Quaternary Compounds
ear parameters CA−B are also listed in Table 31.11. The agreement between the calculated and experimental data is excellent. By applying the present model, it is possi-
31.6 Energy Band Parameters
743
ble to estimate the κ (or W) values of experimentally unknown III–V alloy systems, such as GaAsx Sb1−x and Alx Ga y In1−x−y As.
31.6 Energy Band Parameters 31.6.1 Bandgap Energy Lowest Direct and Lowest Indirect Band Gaps The bandgap energies of III–V ternaries usually deviate from the simple linear relation of (31.1) and have an approximately quadratic dependence on the alloy composition x. Table 31.12 summarizes the lowest direct gap energy E 0 and the lowest indirect gap energies E gX and E gL for some III–V binaries of interest here. The corresponding nonlinear parameters CA−B are listed in Table 31.13 [31.18]. Note that the E gX and E gL transitions correspond to those from the highest valence band at the Γ point to the lowest conduction band near X (Γ8 → X6 ) or near L (Γ8 → L6 ), respectively. The E 0 transitions take place at the Γ point (Γ8 → Γ6 ). Figure 31.4 plots the values of E 0 and E gX as a function of alloy composition x for the Gax In1−x P ternary at T = 300 K. The solid lines are obtained by introducing the numerical values from Tables 31.12 and 31.13 into (31.2). These curves provide the directindirect crossover composition at x ≈ 0.7. Figure 31.5 also shows the variation in composition of E 0 in the Table 31.12 Band-gap energies, E 0 , E gX and E gL , for some
III–V binaries at 300 K. ZB = zinc blende E0 (eV)
EgX (eV)
EgL (eV)
AlN AlN (ZB) AlP AlAs AlSb α-GaN β-GaN GaP GaAs GaSb InN InP InAs InSb
6.2 5.1 3.91 3.01 2.27 3.420 3.231 2.76 1.43 0.72 0.7–1.1 1.35 0.359 0.17
– 5.34 2.48 2.15 1.615 – 4.2∗ 2.261 1.91 1.05 – 2.21 1.37 1.63
– 9.8∗ 3.30 2.37 2.211 – 5.5∗ 2.63 1.72 0.76 – 2.05 1.07 0.93
∗
Theoretical
Table 31.13 Bowing parameters used in the calculation of
E 0 , E gX and E gL for some III–V ternaries. * W = wurtzite; ZB = zinc blende Ternary
Bowing parameter CA−B (eV) E0 EgX EgL
(Al,Ga)N (W) −1.0 – – (Al,Ga)N (ZB) 0 −0.61 −0.80 (Al,In)N (W) −16 + 9.1x – – (Al,In)N (ZB) −16 + 9.1x (Ga,In)N (W) −3.0 – – (Ga,In)N (ZB) −3.0 −0.38 (Al,Ga)P 0 −0.13 (Al,In)P −0.24 −0.38 (Ga,In)P −0.65 −0.18 −0.43 (Al,Ga)As −0.37 −0.245 −0.055 (Al,In)As −0.70 0 (Ga,In)As −0.477 −1.4 −0.33 (Al,Ga)Sb −0.47 0 −0.55 (Al,In)Sb −0.43 (Ga,In)Sb −0.415 −0.33 −0.4 Al(P,As) −0.22 −0.22 −0.22 Al(P,Sb) −2.7 −2.7 −2.7 Al(As,Sb) −0.8 −0.28 −0.28 Ga(N,P) (ZB) −3.9 Ga(N,As) (ZB) −120.4 + 100x Ga(P,As) −0.19 −0.24 −0.16 Ga(P,Sb) −2.7 −2.7 −2.7 Ga(As,Sb) −1.43 −1.2 −1.2 In(N,P) (ZB) −15 In(N,As) (ZB) −4.22 In(P,As) −0.10 −0.27 −0.27 In(P,Sb) −1.9 −1.9 −1.9 In(As,Sb) −0.67 −0.6 −0.6 ∗ In those case where no value is listed, linear variation should be assumed
Part D 31.6
Binary
Gax In1−x As, InAsx Sb1−x and Gax In1−x Sb ternaries. It is understood from Table 31.13 that the bowing parameters for the bandgap energies of III–V ternaries are negative or very small, implying a downward bowing or a linear interpolation to within experimen-
III-V Ternary and Quaternary Compounds
Table 31.14 Bandgap energies E 0 for some III–V quaternaries at 300 K Quaternary
E0 (eV)
Gax In1−x P y As1−y /InP 0.75 + 0.48y + 0.12y2 Gax In1−x As y Sb1−y /GaSb 0.290 − 0.165x + 0.60x 2 Gax In1−x As y Sb1−y /InAs 0.36 − 0.23x + 0.54x 2 ∗ Alx Ga y In1−x−y P/GaAs 1.899 + 0.563x + 0.12x 2 Alx Ga y In1−x−y As/InP 0.75 + 0.75x InPx As y Sb1−x−y /InAs 0.576 − 0.22y ∗ The lowest indirect gap energy for this quaternary alloy can be obtained via E gX = 2.20–0.09x eV
Table 31.15 Higher-lying bandgap energies, E 1 and E 2 , for
some III–V binaries at 300 K Binary
E1 (eV)
E2 (eV)
AlN AlP AlAs AlSb α-GaN β-GaN GaP GaAs GaSb InN InP InAs InSb
7.76 4.30 3.62–3.90 2.78–2.890 6.9 7.0 3.71 2.89–2.97 2.05 5.0 3.17 2.50 1.80
8.79 4.63 4.853, 4.89 4.20–4.25 8.0 7.6 5.28 4.960–5.45 4.08–4.20 7.6 4.70 (E 0 ) 4.70 3.90
ternaries from (31.2). Note that the density of states mass m αe for electrons in the conduction band minima α = Γ, X, and L can be obtained from m αe = N 2/3 m tα m lα , 2/3
1/3
(31.16)
3m tα m lα . (31.17) m tα + 2m lα Since m tΓ = m lΓ at the α = Γ minimum of cubic semiconductors, we have the relation m Γe = m Γc . In the case of wurtzite semiconductors, we have the relation m Γe = m Γc , but the difference is very small. m αc =
745
Table 31.16 Bowing parameters used in the calculation of
the higher-lying bandgap energies, E 1 and E 2 , for some cubic III–V ternaries Ternary
CA−B (eV) E1
E2
(Al,Ga)P 0 0 (Al,In)P 0 0 (Ga,In)P −0.86 0 (Al,Ga)As −0.39 0 (Al,In)As −0.38 (Ga,In)As −0.51 −0.27 (Al,Ga)Sb −0.31 −0.34 (Al,In)Sb −0.25 (Ga,In)Sb −0.33 −0.24 Ga(N,P) 0 0 Ga(N,As) 0 0 Ga(P,As) 0 0 Ga(As,Sb) −0.59 −0.19 In(P,As) −0.26 0 In(As,Sb) ≈ −0.55 ≈ −0.6 ∗ In those cases where no value is listed, linear variation should be assumed
The composition dependence of the electron effective mass m Γe at the Γ-conduction bands of Gax In1−x As, InAsx Sb1−x and Gax In1−x Sb ternaries is plotted in Fig. 31.5. The solid lines are calculated from (31.2) using the binary endpoint values and bowing parameters in Tables 31.17 and 31.18. For conventional semiconductors, the values of the effective mass are known to decrease with decreaseing bandgap energy (Fig. 31.5). This is in agreement with a trend predicted by the k · p theory [31.2]. In III–V–N alloys, the electron effective mass has been predicted to increase with increasing nitrogen composition in the low composition range [31.19]. This behavior is rather unusual, and in fact is opposite to what is seen in conventional semiconductors. However, a more recent study suggested that the effective electron mass in GaNx As1−x decreases from 0.084m 0 to 0.029m 0 as x increases from 0 to 0.004 [31.20]. We also summarize in Table 31.19 the composition dependence of m Γe , determined for Gax In1−x P y As1−y and Alx Ga y In1−x−y As quaternaries lattice-matched to InP. Hole Effective Mass The effective mass can only be clearly defined for an isotropic parabolic band. In the case of III–V materials, the valence bands are warped from spherical symmetry some distance away from the Brillouin zone center
Part D 31.6
where N is the number of equivalent α minima (N = 1 for the Γ minimum, N = 3 for the X minima, and N = 4 for the L minima). The two masses m l and m t in (31.16) are called the longitudinal and transverse masses, respectively. The density of states effective mass m αe is used to calculate the density of states. The conductivity effective mass m αc , which can be used for calculating the conductivity (mobility), is also given by
31.6 Energy Band Parameters
746
Part D
Materials for Optoelectronics and Photonics
α Table 31.17 Electron effective mass at the Γ-conduction band (m Γ e ) and density of states (m e ) and conductivity masses
(m αc ) at the X-conduction and L-conduction bands of some III–V binaries. ZB = zinc blende Binary
mΓe /m0
Density of states mass mX mL e /m0 e /m0
Conductivity mass mX mL c /m0 c /m0
AlN AlN (ZB) AlP AlAs AlSb α-GaN β-GaN GaP GaAs GaSb InN InP InAs InSb
0.29∗ 0.26∗ 0.220∗ 0.124 0.14 0.21 0.15 0.114 0.067 0.039 0.07 0.079 27 0.024 0.013
– 0.78∗ 1.14∗ 0.71 0.84 – 0.78∗ 1.58 0.85 1.08∗ – 1.09∗ 0.98∗
– 0.37∗ 0.31∗ 0.26∗ 0.29 – 0.36∗ 0.37 0.32 0.44∗ – 0.45∗ 0.38∗
∗
–
0.78 1.05∗ – 0.75∗ 0.56 0.54 – 0.76∗ 0.94∗
–
0.21∗ 0.28∗ – 0.21∗ 0.11 0.12 – 0.19∗ 0.18∗
Theoretical
Table 31.18 Bowing parameter used in the calculation of the electron effective mass m Γe at the Γ-conduction bands of some III–V ternaries Ternary
CA−B (m0 )
(Ga,In)P (Al,In)As (Ga,In)As (Ga,In)Sb Ga(P,As) In(P,As) In(As,Sb)
−0.019 0 −0.0049 −0.0092 0 0 −0.030
Table 31.19
Electron effective mass m Γe at the Γ-conduction
bands of some III–V quaternaries
Part D 31.6
Quaternary
me /m0
Gax In1−x P y As1−y /InP Alx Ga y In1−x−y As/InP
0.043 + 0.036y 0.043 + 0.046x − 0.017x 2
(Γ). Depending on the measurement or calculation technique employed, different values of hole masses are then possible experimentally or theoretically. Thus, it is always important to choose the correct definition of the effective hole mass which appropriate to the physical phenomenon considered. We list in Table 31.20 the density of states heavy hole (m ∗HH ), the averaged light hole (m ∗LH ), and spin orbit splitoff effective hole masses (m SO ) in some cubic
III–V semiconductors. These masses are, respectively, defined using Luttinger’s valence band parameters γi by
2/3 1 + 0.05γh + 0.0164γh2 ∗ (31.18) m HH = , γ1 − γ 1 m ∗LH = (31.19) , γ1 + γ 1 m SO = (31.20) γ1 with γ = (2γ22 + 2γ32 )1/2 ,
γh =
6(γ32 − γ22 ) . γ (γ1 − γ )
(31.21)
Only a few experimental studies have been performed on the effective hole masses in III–V alloys, e.g., the Gax In1−x P y As1−y quaternary [31.2]. While some data imply a bowing parameter, the large uncertainties in existing determinations make it difficult to conclusively state that such experimental values are preferable to a linear interpolation. The binary endpoint data listed in Table 31.20 enable us to estimate alloy values using the linear interpolation scheme.
31.6.3 Deformation Potential The deformation potentials of the electronic states at the Brillouin zone centers of semiconductors play an important role in many physical phenomena. For example,
III-V Ternary and Quaternary Compounds
Table 31.20 Density of states heavy hole (m ∗HH ), averaged
light hole (m ∗LH ), and spin orbit splitoff effective hole masses (m SO ) in some cubic III–V semiconductors. ZB = zinc blende m∗HH /m0
Material
∗
AlN (ZB) AlP AlAs AlSb β-GaN GaP GaAs GaSb InP InAs InSb ∗
1.77 0.63∗ 0.81∗ 0.9 1.27∗ 0.52 0.55 0.37 0.69 0.36 0.38
m∗LH /m0
mSO /m0
0.35∗ 0.20∗ 0.16∗ 0.13 0.21∗ 0.17 0.083 0.043 0.11 0.026 0.014
0.58∗ 0.29∗ 0.30∗ 0.317∗ 0.35∗ 0.34 0.165 0.12 0.21 0.14 0.10
Theoretical
31.6 Energy Band Parameters
747
the splitting of the heavy hole and light hole bands at the Γ point of the strained substance can be explained by the shear deformation potentials, b and d. The lattice mobilities of holes are also strongly affected by these potentials. Several experimental data have been reported on the deformation potential values for III–V alloys, e.g., Alx Ga1−x As [31.12], GaPx As1−x [31.21] and Alx In1−x As [31.22]. Due to the large scatter in the experimental binary endpoint values, it is very difficult to establish any evolution of the deformation potentials with composition. We list in Table 31.21 the recommended values for the conduction band (ac ) and valence band deformation potentials (av , b, d) of some cubic III– V binaries. The deformation potentials for some wurtzite III–V semiconductors are also collected in Table 31.22. Until more precise data become available, we suggest employing the linear interpolation expressions in order to estimate the parameter values of these poorly explored properties.
Table 31.21 Conduction-band (ac ) and valence-band deformation potentials (av , b, d) for some cubic III–V binaries. ZB = zinc blende Binary
Conduction band ac (eV) ∗
∗
∗
−11.7 −5.54∗ −5.64∗ −6.97∗ −21.3∗ −7.14∗ −11.0 −9 −11.4 −10.2 −15
AlN (ZB) AlP AlAs AlSb β-GaN GaP GaAs GaSb InP InAs InSb
Valence band av (eV) −5.9 3.15∗ −2.6∗ 1.38∗ −13.33∗ 1.70∗ −0.85 0.79∗ −0.6 1.00∗ 0.36∗
b (eV)
d (eV)
∗
−4.4∗
−1.7 −1.5∗ −2.3∗ −1.35 −2.09∗ −1.7 −1.85 −2.4 −1.7 −1.8 −2.0
−4.3 −1.75∗ −4.4 −5.1 −5.4 −4.3 −3.6 −5.4
Theoretical
Binary
Conduction band D1 D2
Valence band C1 D1 –C1
C2
AlN α-GaN InN
−10.23∗ −9.47∗
−12.9∗ −41.4
−8.4∗ −33.3
∗
Theoretical
−9.65∗ −7.17∗
−3.1 −4.05∗
D2 –C2
C3
C4
C5
C6
−2.2∗ −4.1 −1.79∗
−2.6∗ −4.7
−4.1∗
−11.2 −6.67∗
4.5∗ 8.2 4.92∗
Part D 31.6
Table 31.22 Conduction-band (Di ) and valence-band deformation potentials (Ci ) for some wurtzite III–V binaries (in eV)
752
Part D
Materials for Optoelectronics and Photonics
31.12
31.13 31.14 31.15 31.16 31.17 31.18 31.19 31.20
S. Adachi: GaAs and Related Materials: Bulk Semiconducting and Superlattice Properties (World Scientific, Singapore 1994) A. N. N. Sirota, A. M. Antyukhov, V. V. Novikov, V. A. Fedorov: Sov. Phys. Dokl. 26, 701 (1981) J. L. Pichardo, J. J. Alvarado-Gil, A. Cruz, J. G. Mendoza, G. Torres: J. Appl. Phys. 87, 7740 (2000) I. Kudman, R. J. Paff: J. Appl. Phys. 43, 3760 (1972) J. Ba ¸ k-Misiuk, H. G. Brühl, W. Paszkowicz, U. Pietsch: Phys. Stat. Sol. A 106, 451 (1988) S. Adachi: J. Appl. Phys. 54, 1844 (1983) I. Vurgaftman, J. R. Meyer, L. R. Ram-Mohan: J. Appl. Phys. 89, 5815 (2001) I. A. Buyanova, W. M. Chen, B. Monemar: MRS Internet J. Nitride Semicond. Res. 6, 2 (2001) D. L. Young, J. F. Geisz, T. J. Coutts: Appl. Phys. Lett. 82, 1236 (2003)
31.21 31.22 31.23 31.24 31.25
31.26 31.27
31.28
Y. González, G. Armelles, L. González: J. Appl. Phys. 76, 1951 (1994) L. Pavesi, R. Houdré, P. Giannozzi: J. Appl. Phys. 78, 470 (1995) G. Lucovsky, K. Y. Cheng, G. L. Pearson: Phys. Rev. B 12, 4135 (1975) C. Ance, N. Van Mau: J. Phys. C 9, 1565 (1976) R. Ferrini, M. Galli, G. Guizzetti, M. Patrini, A. Bosacchi, S. Franchi, R. Magnanini: Phys. Rev. B 56, 7549 (1997) S. Adachi: J. Appl. Phys. 53, 8775 (1982) S. Adachi: Optical Constants of Crystalline and Amorphous Semiconductors: Numerical Data and Graphical Information (Kluwer Academic, Boston 1999) M. Sotoodeh, A. H. Khalid, A. A. Rezazadeh: J. Appl. Phys. 87, 2890 (2000)
Part D 31
753
Group III Nitri 32. Group III Nitrides
Optical, electrical and mechanical properties of group III nitrides, including of AlN, GaN, InN and their ternary and quaternary compounds are discussed. The driving force for semiconductor nitrides is device applications for emitters and detectors in the visible and ultraviolet (UV) portions of the optical spectrum and high-power amplifiers. Further advances in electronic and optoelectronic devices, which are imperative, require better understanding and precise measurements of the mechanical, thermal, electrical and optical properties of nitride semiconductors. Information available in the literature regarding many of the physical properties of nitrides, especially AlN and InN, is still in the process of evolution, and naturally in the subject of some controversy. This is, in part, a consequence of measurements having been performed on samples of widely varying quality. When possible, these spurious discrepancies have been disregarded. For other materials, too few measurements are available to yield a consensus, in which case the available data are simply reported. The aim of this work is to present the latest
Crystal Structures of Nitrides ................. 755
32.2 Lattice Parameters of Nitrides............... 756 32.3 Mechanical Properties of Nitrides .......... 757 32.4 Thermal Properties of Nitrides .............. 32.4.1 Thermal Expansion Coefficients ... 32.4.2 Thermal Conductivity ................. 32.4.3 Specific Heat.............................
761 761 762 764
32.5 Electrical Properties of Nitrides ............. 766 32.5.1 Low-Field Transport .................. 766 32.5.2 High-Field Transport ................. 775 32.6 Optical Properties of Nitrides ................ 32.6.1 Gallium Nitride ......................... 32.6.2 Aluminium Nitride..................... 32.6.3 Indium Nitride .......................... 32.7
777 778 786 789
Properties of Nitride Alloys ................... 791
32.8 Summary and Conclusions .................... 794 References .................................................. 795
available data obtained by various experimental observations and theoretical calculations.
paved the way for developing full-color displays. If the three primary-color LEDs, including red, produced by the InGaAlAs system are used in place of incandescent light bulbs in some form of a color-mixing scheme, they would provide not only compactness and longer lifetime, but also lower power consumption for the same luminous flux output. Additional possible applications include use in agriculture as light sources for accelerated photosynthesis, and in health care for diagnosis and treatment. Unlike display and lighting applications, digital information storage and reading require coherent light sources because the diffraction-limited optical storage density increases approximately quadratically with decreasing wavelength. The nitride material system, when adapted to semiconductor lasers in blue and UV wavelengths, offers increased data storage density, possibly as high as 50 Gb per disc with 25 Gb promised soon in the Blu-Ray system. Other equally
Part D 32
During the last three decades, developments in the field of group III nitrides have been spectacular, with major breakthroughs taking place in the 1990s. They have been viewed as a highly promising material system for electronic and optoelectronic applications. As members of the group III nitrides family, AlN, GaN, InN and their alloys are all wide-band-gap materials and can crystallize in both wurtzite and zincblende polytypes. The band gaps of the wurtzite polytypes are direct and range from a possible value of ≈ 0.8 eV for InN, to 3.4 eV for GaN, and to 6.1 eV for AlN. GaN alloyed with AlN and InN may span a continuous range of direct-band-gap energies throughout much of the visible spectrum, well into ultraviolet (UV) wavelengths. This makes the nitride system attractive for optoelectronic applications, such as light-emitting diodes (LEDs), laser diodes (LDs), and UV detectors. Commercialization of bright blue and green LEDs and the possibility of yellow LEDs
32.1
754
Part D
Materials for Optoelectronics and Photonics
Part D 32
attractive applications envisioned include printing and surgery. When used as UV sensors in jet engines, automobiles, and furnaces (boilers), the devices would allow optimal fuel efficiency and control of effluents for a cleaner environment. Moreover, visible-blind and solar-blind nitride-based photodetectors are also an ideal candidate for a number of applications including early missile-plume detection, UV astronomy, space-to-space communication, and biological effects. Another area gaining a lot of attention for group III– V nitrides is high-temperature/high-power electronic applications, such as radar, missiles, and satellites as well as in low-cost compact amplifiers for wireless base stations, due to their excellent electron transport properties, including good mobility and high saturated drift velocity. The strongest feature of the group III nitrides compared to other wide-band-gap counterparts is the heterostructure technology that it can support. Quantum wells, modulation-doped heterointerfaces, and heterojunction structures can all be made in this system, giving access to new spectral regions for optical devices and new operational regimes for electronic devices. Other attractive properties of the nitrides include high mechanical and thermal stability, and large piezoelectric constants. One of the main difficulties that have hindered group III nitride research is the lack of a lattice-matched and thermally compatible substrate material. A wide variety of materials have been studied for nitride epitaxy, including insulating metal oxides, metal nitrides, and other semiconductors. In practice, properties other than the lattice constants and thermal compatibility, including the crystal structure, surface finish, composition, reactivity, chemical, and electrical properties, are also important in determining suitability as a substrate. The substrate employed determines the crystal orientation, polarity, polytype, surface morphology, strain, and the defect concentration of the epitaxial films. The most promising results on more conventional substrates so far have been obtained on sapphire, and SiC. Also coming on the scene are thick freestanding GaN templates. Group III–V nitrides have been grown on Si, NaCl, GaP, InP, SiC, W, ZnO, MgAl2 O4 , TiO2 , and MgO. Other substrates have also been used for nitride growth, including Hf, LiAlO2 and LiGaO2 . Lateral (lattice constant a) mismatched substrates lead to substantial densities of misfit and threading dislocations in broad-area epitaxially deposited GaN on foreign substrate, in the range 109 –1010 cm−2 . An appropriate surface preparation such as nitridation, deposition of a low-temperature
(LT) AlN or GaN buffer layer, selective epitaxy followed by a type of coalescence called lateral epitaxial overgrowth (LEO) or epitaxial lateral overgrowth (ELOG) can reduce dislocation densities down to 106 cm−2 . However, these numbers are still high compared to extended-defect densities of essentially zero for silicon homoepitaxy, and 102 –104 cm−2 for gallium arsenide homoepitaxy. Vertical (lattice constant c) mismatch creates additional crystalline defects besetting the layers, including inversion domain boundaries and stacking faults. In addition, mismatch of thermal expansion coefficients between the epitaxial films and the substrate induces stress, which can cause crack formation in the film and substrate for thick films during cooling from the deposition temperature. A high density of defects, which increases the laser threshold current, causes reverse leakage currents in junctions, depletes sheet chargecarrier density in heterojunction field-effect transistors, reduces the charge-carrier mobility and thermal conductivity, and is detrimental to device applications and the achievement of their optimal performance. Thus, substrates capable of supporting better-quality epitaxial layers are always needed to realize the full potential of nitride-based devices. Nearly every major crystal-growth technique has been developed, including molecular beam epitaxy (MBE), hydride vapor-phase epitaxy (HVPE), and metalorganic chemical vapor deposition (MOCVD), in relation to nitride semiconductors. Several modifications to the conventional MBE method have been implemented for group III nitride growth: growth with ammonia or hydrazine (the latter is not attractive due to safety reasons and success of ammonia), plasma-assisted MBE (PAMBE), metalorganic MBE (MOMBE), pulsed laser deposition (PLD), etc. Among other methods, radio-frequency (RF) and electron–cyclotron resonance (ECR) plasma sources are the most commonly employed devices to activate the neutral nitrogen species in the MBE environment. Although all of these epitaxial methods contend with problems related to the lack of native GaN substrates, and difficulty with nitrogen incorporation, remarkable progress in the growth of high-quality epitaxial layers of group III nitrides by a variety of methods has been achieved. Although many applications based on nitride semiconductors has emerged and some of them are commercially available, as discussed throughout this chapter, there are many contradictions in identification of the basic physical properties of these materials. In this respect, they are not yet mature. Additionally, knowledge of the fundamental properties is crucial not only from the
Group III Nitrides
physics point of view but also when understanding and optimizing the device structures for better performance. In this chapter, therefore, we present the updated fundamental properties of GaN, AlN and InN, including structural, mechanical, thermal, electrical, and optical properties. The aim is to assist readers newly entering this field
32.1 Crystal Structures of Nitrides
755
and other interested researchers in accessing the mostrecent available data. The reader is also urged to peruse the following publications for more detail information in several aspects of ongoing research in group III nitrides. These consist of books [32.1,2], edited books and handbooks [32.3–10], and review papers [32.11–29].
32.1 Crystal Structures of Nitrides
Since the c/a ratio also correlates with the difference between the electronegativities of the two constituents, components with the greatest differences show largest departure from the ideal c/a ratio [32.32]. These two
parameters were obtained experimentally by using the four-circle diffractometry technique. For GaN, the c/a ratio and the value of u are measured as 1.627 and 0.377, respectively, which are close to the ideal value [32.33]. AlN deviates significantly from the ideal parameters: c/a = 1.601 and u = 0.382. Consequently, the interatomic distance and angles differ by 0.01 Å and 3◦ , respectively. For InN, no reliable data are available due to the lack of single-crystal InN with a suitable size for single-crystal diffractometry measurement. A phase transition to the rocksalt (NaCl) structure in group III nitrides takes place at very high external pressures. The reason for this is that the reduction of the lattice dimensions causes the inter-ionic Coulomb interaction to favor ionicity over the covalent nature. The structural phase transition was experimentally observed at the following pressure values: 22.9 GPa for AlN [32.34], 52.2 GPa for GaN [32.35], and 12.1 GPa for InN [32.36]. The space-group symmetry of the rocksalt type of structure is Fm3m, and the structure is six-fold coordinated. However, rocksalt group III nitrides cannot be stabilized by epitaxial growth. The zincblende structure is metastable and can be stabilized only by heteroepitaxial growth on cubic substrates, such as cubic SiC [32.37], Si [32.38], MgO [32.39], and GaAs [32.40], reflecting topological compatibility to overcome the intrinsic tendency to form the wurtzite phase. In the case of highly mismatched substrates, there is usually a certain amount of zincblende phase of nitrides separated by crystallographic defects from the wurtzite phase. The symmetry of the zincblende structure is given by the space group F 4¯ 3m and composed of two interpenetrating face-centered cubic (fcc) sublattices shifted by one quarter of a body diagonal. There are four atoms per unit cell and every atom of one type (group III nitrides) is tetrahedrally coordinated with four atoms of other type (nitrogen), and vice versa. The overall equivalent bond length is about 1.623 Å for zincblende structures. Because of the tetrahedral coordination of wurtzite and zincblende structures, the four nearest neighbors and
Part D 32.1
The crystal structures shared by the group III nitrides are wurtzite, zincblende, and rocksalt. At ambient conditions, the thermodynamically stable phase is wurtzite for bulk AlN, GaN, and InN. The cohesive energy per bond in wurtzite variety is 2.88 eV (63.5 kcal/mol), 2.20 eV (48.5 kcal/mol), and 1.93 eV (42.5 kcal/mol) for AlN, GaN, and InN, respectively [32.30]. Although the calculated energy difference ∆E W−ZB between wurtzite and zincblende lattice is small ( − 18.41 meV/atom for AlN, − 9.88 meV/atom for GaN, and − 11.44 meV/atom for InN) [32.31] the wurtzite form is energetically preferable for all three nitrides compared to zincblende. The wurtzite structure has a hexagonal unit cell with two √ lattice parameters a and c in the ratio of c/a = 8/3 = 1.633 and belongs to the space group of P63 mc. The structure is composed of two interpenetrating hexagonal close-packed (hcp) sublattices, each of which consists of one type of atom displaced with respect to each other along the three-fold c-axis by an amount u = 3/8 = 0.375 in fractional coordinates. Each sublattice includes four atoms per unit cell and every atom of one kind (group III atom) is surrounded by four atoms of the other kind (nitrogen), or vice versa, these being coordinated at the edges of a tetrahedron. For actual nitrides, the wurtzite structure deviates from the ideal arrangement by changing the c/a ratio or the u value [32.31]. It should be pointed out that a strong correlation exists between the c/a ratio and the u parameter; when the c/a ratio decreases, the u parameter increases in such a way that those four tetrahedral distances remain nearly constant through a distortion of tetrahedral angles due to long-range polar interactions. These two slightly different bond lengths will be equal if the following relation holds; 2 1 1 a u= (32.1) + . 2 3 4 c
756
Part D
Materials for Optoelectronics and Photonics
twelve next-nearest neighbors have the same bond distance in both structures. The main difference between these two structures lies in the stacking sequence of close-packed diatomic planes. The wurtzite structure consists of triangularly arranged alternating biatomic close-packed (0001) planes, for example Ga and N pairs, thus the stacking sequence of the (0001) plane is AaBbAaBb in the 0001 direction. In contrast, the zincblende structure consists of triangularly arranged atoms in the close-packed (111) planes along the 111 direction with a 60◦ rotation that causes a stacking order of AaBbCcAaBbCc. Small and large letters stand for the the two different kinds of constituents. Since none of the three structures described above possess inversion symmetry, the crystal exhibits crys-
tallographic polarity; close-packed (111) planes in the zincblende and rocksalt structures and the corresponding (0001) basal planes in the wurtzite structure differ from the (1¯ 1¯ 1¯ ) and (0001¯ ) planes, respectively. In general, group III (Al, Ga, or In)-terminated planes are denoted as (0001) A plane (referred to as Ga polarity) and group V (N)-terminated planes are designated as (0001¯ ) B plane (referred to as N polarity). Many properties of the material also depend on its polarity, for example growth, etching, defect generation and plasticity, spontaneous polarization, and piezoelectricity. In wurtzite nitrides, besides the primary polar plane (0001) and associated direction 0001, which is the most commonly used surface and direction for growth, many other secondary planes and directions exist in the crystal structure.
32.2 Lattice Parameters of Nitrides Like other semiconductors [32.41–43], the lattice parameters of nitride-based semiconductors depend on the following factors [32.44]: 1. free-electron concentration, acting via the deformation potential of a conduction-band minimum occupied by these electrons, 2. the concentration of foreign atoms and defects, and the difference between their ionic radii and the substituted matrix ion, 3. external strains (for example, those induced by substrate) and 4. temperature.
Part D 32.2
The lattice parameters of any crystalline materials are commonly and most accurately measured by high-resolution X-ray diffraction (HRXRD) usually at a standard temperature of 21 ◦ C [32.45] by using the Bond method [32.46] for a set of symmetrical and asymmetrical reflections. In ternary compounds, the technique is also used for determining the composition, however, taking the strain into consideration is of crucial issues pertinent to heteroepitaxy. For nitrides, the composition can be determined with an accuracy of about 0.1% or less, down to a mole fraction of about 1%, by taking into account the elastic parameters of all nitrides and lattice parameters of AlN and InN. Since these factors may distort the lattice constants from their intrinsic values, there is wide dispersion in reported values. Table 32.1 shows a comparison of measured and calculated lattice parameters reported by several groups for AlN, GaN, and InN crystallized in the wurtzite structure.
AlN crystal has a molar mass of 20.495 g/mol when it crystallizes in the hexagonal wurtzite structure. The lattice parameters range from 3.110–3.113 Å for the a parameter and from 4.978–4.982 Å for the c parameter as reported. The c/a ratio thus varies between 1.600 and 1.602. The deviation from that of the ideal wurtzite crystal is probably due to lattice stability and ionicity. Although the cubic form of AlN is hard to obtain, several reports suggested the occurrence of a metastable zincblende polytype AlN with a lattice parameter of a = 4.38Å [32.47], which is consistent with the theoretically estimated value [32.48]. The lattice parameter of a pressure-induced rocksalt phase of AlN is 4.043–4.045 Å at room temperature [32.49, 50]. GaN crystallized in the hexagonal wurtzite (WZ) structure with four atoms per cell and has a molecular weight of 83.7267 g/mol. At room temperature, the lattice parameters of WZ-GaN platelets prepared under high pressure and high temperatures with an electron concentration of 5 × 1019 cm−3 are a = (3.1890 ± 0.0003) Å and c = (5.1864 ± 0.0001) Å [32.44]. For GaN powder, a and c values are in the range 3.1893–3.190, and 5.1851–5.190 Å, respectively. It has been reported that free charge is the dominant factor responsible for expanding the lattice proportional to the deformation potential of the conduction-band minimum and inversely proportional to carrier density and bulk modulus. Point defects such as gallium antisites, nitrogen vacancies, and extended defects, such as threading dislocations, also increase the lattice constant of group III nitrides to a lower extent in the heteroepitax-
Group III Nitrides
32.3 Mechanical Properties of Nitrides
757
Table 32.1 Measured and calculated lattice constants of AlN, GaN and InN Compound
Sample
a (Å)
c (Å)
Ref.
AlN
Bulk crystal Powder Epitaxial layer on SiC Pseudopotential LDA FP-LMTO LDA Homoepitaxial layers [LFEC (low-free electron concentration)] Homoepitaxial layers [HFEC (high-free electron concentration)] Relaxed layer on sapphire Powder Relaxed layer on sapphire GaN substrate Pseudotential LDA FP-LMTO LDA Powder Pseudopotential LDA FP-LMTO LDA
3.1106 3.1130 3.110 3.06 3.084 3.1885
4.9795 4.9816 4.980 4.91 4.948 5.1850
[32.53] [32.54] [32.55] [32.56] [32.57] [32.58]
3.189
5.1864
[32.44]
3.1892 3.1893 3.1878 3.1896 3.162 3.17 3.538 3.501 3.53
5.1850 5.1851 5.1854 5.1855 5.142 5.13 5.703 5.669 5.54
[32.59] [32.54] [32.60] [32.61] [32.56] [32.57] [32.62] [32.56] [32.57]
GaN
InN
LDA: Local density approximation; FP-LMTO: pseudopotential linear muffin-tin orbital
ial layers [32.44]. For the zincblende polytype of GaN, the calculated lattice constant based on the measured Ga−N bond distance in WZ-GaN, is a = 4.503 Å while the measured values vary between 4.49 and 4.55 Å, indicating that the calculated result lies within acceptable limits [32.37], Si [32.38] MgO [32.39], and GaAs [32.40]. A high-pressure phase transition from the WZ to the rocksalt structure decreases the lattice constant down to a0 = 4.22 Å in the rocksalt phase [32.51]. This is in agreement with the theoretical result of a0 = 4.098 Å obtained from first-principles non-local pseudopotential calculations [32.52]. Due to the difficulties in synthesis and crystal growth, the number of experimental results concerning the physical properties of InN is quite small, and some have only been measured on non-ideal thin films, typically ordered polycrystalline with crystallites in
the 50–500 nm range. Indium nitride normally crystallizes in the wurtzite (hexagonal) structure, like the other compounds of this family, and has a molecular weight of 128.827 g/mol. The measured lattice parameters using a powder technique are in the range of a = 3.530–3.548 Å and c = 5.960–5.704 Å with a consistent c/a ratio of about 1.615 ± 0.008. The ratio approaches the ideal value of 1.633 in samples having a low density of nitrogen vacancies [32.63]. Recently, Paszkowicz [32.64] reported basal and perpendicular lattice parameters of 3.5378 and 5.7033 Å, respectively, for wurtzite-type InN synthesized using a microwave plasma source of nitrogen, having a c/a ratio far from the ideal value. The single reported measurement yields a lattice constant of a0 = 4.98 Å in the zincblende (cubic) form InN occurring in films containing both polytypes [32.63].
The mechanical properties of materials involve various concepts such as hardness, stiffness constants, Young’s and bulk modulus, yield strength, etc. However, the precise determination of the mechanical properties of the group III nitrides is hindered due to the lack of
high-quality large single crystals. However, attempts to estimate and measure the mechanical properties of thin and thick (separated from substrate) epitaxial layers and bulk crystal of nitrides have been made repeatedly. It has been claimed that the most precise technique
Part D 32.3
32.3 Mechanical Properties of Nitrides
758
Part D
Materials for Optoelectronics and Photonics
Part D 32.3
used to determine the elastic moduli of compound materials is ultrasonic measurement. Unfortunately, this ultrasonic pulse-echo method requires thick single crystalline samples, about 1 cm thick, to enable measurement of the timing of plane-wave acoustic pulses with sufficient resolution, which makes it almost inapplicable to the group III nitrides. As an optical technique, Brillouin scattering allows the determination of the elastic constants and hence of the bulk moduli through the interaction of light with thermal excitation in a material, in particular acoustic phonons in a crystal. Various forms of X-ray diffraction, such as energy dispersive X-ray diffraction (EDX), angular dispersive X-ray diffraction (ADX) and X-ray absorption spectroscopy (XAS) can also be employed to determine the pressure dependence of the lattice parameters. From these, the experimental equation of state (EOS), (a widely used one is Murnaghan’s equation of state) and hence directly the bulk modulus, assuming that it has a linear dependence with the pressure P, can be deduced as [32.65]: 1 B P − B V = V0 1 + , (32.2) B where B and V0 represent the bulk modulus and unit volume at ambient pressure, respectively, and B is the derivative of B with respect to pressure. X-ray diffraction leads to the determination of the isothermal bulk modulus, whereas Brillouin scattering leads to the adiabatic bulk modulus. Nevertheless in solids other than molecular solids there is no measurable difference between these two thermodynamic quantities. Besides the experimental investigation many theoretical calculations have been performed on structural and mechanical properties of group III nitrides. Most of the calculations are based on densityfunctional theory within the local density approximation (LDA) using various types of exchange correlation functionals, and either plane-wave expansion for the pseudopotentials or the linear muffin-tin orbital (LMTO) method. In hexagonal crystals, there exist five independent elastic constants, C11 , C33 , C12 , C13 and C44 . C11 and C33 correspond to longitudinal modes along the [1000] and [0001] directions, respectively. C44 and C66 = (C11 − C12 )/2 can be determined from the speed of sound of transverse modes propagating along the [0001] and [1000] directions, respectively. The remaining constant, C13 , is present in combination with four other moduli in the velocity of modes propagating in less-symmetrical directions, such as [0011]. The bulk
modulus is related to the elastic constants by [32.66] 2 (C11 + C12 ) C33 − 2C13 . (32.3) C11 + C12 + 2C33 − 4C13 In the isotropic approximation, the Young’s modulus E and shear modulus G can also be evaluated using the relations E = 3B(1 − 2ν) and G = E/2(1 + ν), respectively. The term ν is the Poisson’s ratio and is given by ν = C13 /(C11 + C12 ) [32.67]. The micro- and nanoindentation methods are widely used in the determination of the hardness of group III nitrides over a wide range of size scales and temperature. Hardness measurements are usually carried out on the (0001) surface of the crystal using a conventional pyramidal or spherical diamond tip, or alternatively, with a sharp triangular indenter (Berhovich). Depth-sensing indentation measurements provide complete information on the hardness and pressure-induced phase transformation of semiconductor materials. Table 32.2 shows the measured and calculated mechanical parameters reported by several groups for AlN, GaN and InN crystallized in wurtzite structure. From the widely scattered experimental results presented in Table 32.2, the quality of the crystals is clearly one of the main problems for the precise determination of the physical properties of the group III nitrides. This is true especially for InN, where no elastic moduli could be measured, due to difficulties in synthesis and crystal growth. The difference between elastic moduli measured with the same technique (Brillouin scattering) in GaN is further proof that the quality and nature (bulk single crystal or epitaxial layer) of the samples is of primary importance. Nevertheless, with the notable exception of InN, group III nitrides can be considered as hard and incompressible material family members. Their elastic and bulk moduli are of the same order of magnitude as those of diamond. The hardness of semiconductors is often suggested to be dependent on the bonding distance or shear modulus. Indeed, the softest material InN has a smaller shear modulus and larger bonding distance (0.214 nm) compared to GaN (0.196 nm) and AlN (0.192 nm). The temperature dependence of the hardness shows that macroscopic dislocation motion and plastic deformation of GaN and AlN may start at around 1100 ◦ C. The yield strength of bulk singlecrystal GaN is found to be 100–300 MPa at 900 ◦ C. The yield strength of AlN was deduced to be ≈ 300 MPa at 1000 ◦ C [32.68]. Most applications of group III nitrides depend on the high thermal conductivity of the material, and a funda-
B=
Group III Nitrides
32.3 Mechanical Properties of Nitrides
759
Table 32.2 Some mechanical properties of wurtzite AlN, GaN, and InN obtained by several experimental techniques and
theoretical calculations. The units are in GPa Parameters
AlN (GPa)
GaN (GPa)
InN (GPa)
C11
345[a] , 411[b] , 396[c] , 398[d]
190[g1] , 223[c] , 271[d]
C12
125[a] , 149[b] , 137[c] , 140[d]
C13
120[a] , 90[b] , 108[c] , 127[d]
C33
395[a] , 389[b] , 373[c] , 382[d]
C44
118[a] , 125[b] , 116[c] , 96[d]
Poisson’s ratio ν Bulk modulus B
0.287[f] , 0.216[g] 201[e] , 210[b] , 208[h] , 160[i] , 207[c] , 218[d] 5.2[j] , 6.3[k] , 5.7[l] , 3.74[m] , 3.77[n] 308[i] , 295[e] , 374[p] 154[p] , 131[i] , 117[e] 0.3 at 1000 ◦ C[r] Micro-hardness: 17.7[r] Nano-hardness: 18.0[r]
296[o] , 390[s] , 377[t] , 370[v] , 373[w] , 367[c] , 396[d] , 120[o] , 145[s] , 160[t] , 145[v] , 141[w] , 135[c] , 144[d] 158[o] , 106[s] , 114[t] , 106[v] , 80[w] , 103[c] , 100[d] 267[o] , 398[s] , 209[t] , 398[v] , 387[w] , 405[c] , 392[d] 24[o] , 105[s] , 81[t] , 105[v] , 94[w] , 95[c] , 91[d] 0.38[f1] , 0.372[o1] 195[o] , 210[s] , 245[y] , 237[z] , 188[a1] , 202[c] , 207[d] 4[y] , 4.3[z] , 3.2[a1] , 4.5[b1] , 2.9[c1] 150[o] , 295[d1] 121[r] 15[d1] 0.1–0.2 at 900 ◦ C[e1] Micro-hardness: 10.2[r] Nano-hardness: 18 –20[d1]
dB/ dP Young’s modulus E Shear modulus Yield strength σY Hardness
104[g1] , 115[c] , 124[d] 121[g1] , 92[c] , 94[d] 182[g1] , 224[c] , 200[d] 10[g1] , 48[c] , 46[d]
139[g1] , 125[z] , 141[c] , 147[d] , 146[b1] 12.7[z] , 3.4[b1] 43[g1] Nano-hardness: 11.2[h1]
[a]
Ultrasonic measurement on thin film [32.69]; [b] Brillouin scattering on single crystal [32.70]; [c] Calculated using pseudopotential LDA [32.71]; [d] Calculated using FP-LMTO LDA [32.56]; [e] Ultrasonic measurement on thin-film AlN [32.72]; [f] {0001}, c-plane calculated [32.73]; [g] {112bar0}, r-plane calculated [32.73]; [h] ADX on single-crystal AlN [32.74]; [i] Ultrasonic measurement on sintered, isotropic, polycrystalline AlN ceramic [32.75]; [j] Ultrasonic measurement on sintered, isotropic, polycrystalline AlN ceramic [32.75]; [k] ADX on single-crystal AlN [32.74]; [l] EDXD on polycrystalline AlN [32.49]; [m] Calculated using plane-wave pseudopotential [32.76]; [n] Calculated using Keating–Harrison model [32.77]; [o] Temperature-dependent X-ray diffraction on polycrystalline GaN [32.78]; [p] Hardness measurement on single-crystal AlN [32.67]; [r] Hardness measurement on bulk single-crystal AlN [32.67]; [s] Brillouin spectroscopy on bulk GaN [32.66]; [t] Resonance ultrasound method on GaN plate [32.79]; [v] Surface-acoustic-wave measurement on GaN grown on sapphire [32.80]; [w] Brillouin spectroscopy on GaN substrate grown by LEO [32.61]; [y] X-ray absorption spectroscopy on GaN [32.35]; [z] X-ray diffraction on bulk GaN [32.36]; [a1] EDX on bulk GaN [32.34]; [b1] Calculated using FP-LMTO [32.56]; [c1] Calculated using plane-wave pseudopotential [32.52]; [d1] Nanoindentation on bulk GaN [32.81]; [e1] Hardness on single-crystal GaN [32.82]; [f1] {0001}, c-plane using Bond’s X-ray method on heteroepitaxially grown GaN [32.59]; [g1] Temperature-dependent X-ray measurements on powder InN [32.78]; [h1] Hardness measurement for InN grown on sapphire [32.83] [o1] {0001}, c-plane estimated from elastic constants [32.78];
The phonon dispersion spectrum of AlN has a total of twelve branches: three acoustic and nine optical. Perlin et al. measured the effect of pressure on the Raman shift of a single-crystal AlN sample synthesized at high pressure and high temperature and showed that the pressure dependence of the three observed peaks could be fitted to a quadratic law up to 14 GPa [32.84]. McNeil et al. reported the complete set of Raman-active phonon modes of AlN on single crystals grown by the sublimation recondensation method and noted that Raman peaks and widths are influenced by oxygen-related defects [32.70]. Recently, vibrational properties of epitaxial AlN [deposited on silicon and sapphire substrates at ≈ 325 K by ion-beam-assisted deposition (IBAD)]
Part D 32.3
mental understanding of the thermal properties requires precise knowledge of the vibrational modes on the single crystal. Infrared reflection and Raman spectroscopies have been employed to derive zone-center and some zone-boundary phonon modes in nitrides. The A1 and E1 branches are both Raman- and infraredactive, the E2 branches are Raman-active only, and the B1 branches are inactive. The A1 and E1 modes are each split into longitudinal optic (LO) and transverse optic (TO) components, giving a total of six Raman peaks. Table 32.3 gives a list of observed zone-center optical-phonon wave numbers along with those calculated from several techniques employed for AlN, GaN, and InN.
760
Part D
Materials for Optoelectronics and Photonics
Table 32.3 Optical phonon frequencies of wurtzite AlN, GaN, and InN at the center of the Brillouin zone in units of cm−1 Symmetry
AlN (cm−1 )
A1 -TO E1 -TO A1 -LO E1 -LO E2 -(low) E2 -(high) B1 -(low) B1 -(high)
614[a] ,
667[b] ,
607[c] ,
612[d] ,
GaN (cm−1 )
673[a] ,
667[b] ,
679[d] ,
650[e]
601[e]
893[a] , 910[b] 916[a] , 910[b] , 924[c] , 252[a] ,241[c] , 247[d] , 228[e] 660[a] , 660[b] , 665[c] , 672[d] , 638[e] 636[d] , 534[e] 645[d] , 703[e]
InN (cm−1 )
533[f] ,
531[g] ,
544[d] ,
534[e] ,
533[h]
561[f] ,
558[g] ,
566[d] ,
556[e] ,
559[h]
735[f] , 733[g] , 737[j] 743[f] , 740[g] , 745[j] 144[f] , 144[g] , 185[d] , 146[e] 569[f] , 567[g] , 557[d] , 560[e] 526[d] , 335[e] 584[d] , 697[e]
480[h] , 445[i] , 440[i] 476[h] , 472[i] , 472[i] 580[h] , 588[i] 570[h] 87[h] , 104[i] 488[h] , 488[i] , 483[i] 200[h] , 270[i] 540[h] , 530[i]
[a]
Raman scattering on sublimation recondensation AlN [32.70] Raman scattering on whisker AlN [32.87] [c] Raman scattering on synthesized AlN by [32.84] [d] Calculated using first-principle total energy [32.88] [e] Calculated using pseudopotential LDA [32.89] [f] Raman scattering on bulk GaN [32.90] [g] Raman scattering on GaN substrate grown by LEO [32.61] [h] Raman study on InN grown on sapphire and calculation based on the pairwise interatomic potentials and rigid-ion Coulomb interaction [32.91] [i] Raman study on polycrystalline and faceted platelets of InN and calculation using FP-LMTO LDA by [32.92] [j] Raman study on high-quality freestanding GaN templates grown by HVPE [32.93] [b]
Part D 32.3
have been investigated by Ribeiro et al. [32.85]. Raman scattering measurements revealed interesting features and they argued that, due to the extremely weak Raman signal usually exhibited by AlN films, misidentification of some vibration modes can lead to incorrect interpretation of the crystalline quality of AlN films, in which some of the previous mentioned features have been erroneously ascribed [32.86]. The wide spread of studied material has led to some uncertainty in phonon frequencies in GaN, especially of the LO modes. Coupling to plasmons in highly doped material and stress-induced effects due to lattice mismatch with the substrate might play a role in interpretation of the observed phonon frequencies. Moreover, the strong bond in GaN and the light N atoms result in high phonon frequencies that limit the range of observable impurity-related local vibrational modes to even lighter elements at higher frequencies. So far, few reports have appeared for the infrared and Raman modes, which have been associated with local vibrational modes of impurities, dopants, and hydrogen complexes [32.94, 95]. The hydrostatic pressure dependence of the zone-center phonon modes has also been determined in n-type bulk
GaN [32.35] and low-residual-doping GaN grown on sapphire [32.96]. The first- and second-order pressure coefficients of the phonon modes have been derived using a polynomial fit. Raman and infrared spectroscopy studies in InN samples, some grown on (0001) and some on (11¯ 02) sapphire substrates, have been undertaken. The infrared (IR) data for A1 (TO) at 448 cm−1 and E1 (TO) at 476 cm−1 correlate well with the Raman measurements. All six Raman-active modes in the spectra of InN have been observed, with five of them appearing in one InN sample grown on (11¯ 02) sapphire. Kaczmarczyk et al. [32.97] also studied the first- and second-order Raman-scattering of both hexagonal and cubic InN grown on GaN and GaAs, respectively, covering the acoustic and optical phonon and overtone region. They obtained good agreement with a theoretical model developed by using a modified valence force. The high quality of the samples gives credence to the Raman frequency values as also evidenced by the narrow line widths of all the Raman lines [6.2 cm−1 for E2 (high) and 11.6 cm−1 for A1 (LO)]. Details of all the modes are shown in Table 32.3.
766
Part D
Materials for Optoelectronics and Photonics
θD as a function of temperature following the approach of [32.125]. The estimates for θD are about
670 K, 580 K, and 370 K at 300 K, 150 K and 0 K, respectively.
32.5 Electrical Properties of Nitrides GaN and related nitrides being direct and large-band-gap materials lend themselves to a variety of electronic and optoelectronic applications. Advantages associated with a large band gap include higher breakdown voltages, ability to sustain large electric fields, lower noise generation, and high-temperature and high-power operation. Small effective masses in the conduction band minimum lead to reasonably low field mobility, higher satelliteenergy separation, and high phonon frequency. Their excellent thermal conductivity, large electrical breakdown fields, and resistance to hostile environments also support the group III nitrides as a material of choice for such applications. The electron transport in semiconductors, including nitrides, can be considered at low and high electric field conditions.
Part D 32.5
1. At sufficiently low electric fields, the energy gained by the electrons from the applied electric field is small compared to their thermal energy, and therefore the energy distribution of the electrons is unaffected by such a low electric field. Since the scattering rates determining the electron mobility depend on the electron distribution function, electron mobility remains independent of the applied electric field, and Ohm’s law is obeyed. 2. When the electric field is increased to a point where the energy gained by electrons from the external field is no longer negligible compared to the thermal energy of the electron, the electron distribution function changes significantly from its equilibrium value. These electrons become hot electrons characterized by an electron temperature larger than the lattice temperature. Furthermore, as the dimensions of the device are shrunk to submicron range, transient transport occurs when there is minimal or no energy loss to the lattice. The transient transport is characterized by the onset of ballistic or velocity-overshoot phenomenon. Since the electron drift velocity is higher than its steady-state value one can design a device operating at higher frequency.
32.5.1 Low-Field Transport The Hall effect is the most widely used technique to measure the transport properties and assess the quality
of epitaxial layers. For semiconductor materials, it yields the carrier concentration, its type, and carrier mobility. More specifically, experimental data on Hall measurements over a wide temperature range (4.2–300 K) give information on impurities, imperfections, uniformity, scattering mechanism, etc. The Hall coefficient and resistivity are experimentally determined and then related to the electrical parameters through RH = rH /ne and µH = RH /ρ, where n is the free-carrier concentration, e is the unit of electrical charge, µH is the Hall mobility, and rH is the Hall scattering factor. The drift mobility is the average velocity per unit electric field in the limit of zero electric field and is related to the Hall mobility through the Hall scattering factor by µH = rH µ. The Hall scattering factor depends on the details of the scattering mechanism, which limits the drift velocity. As the carriers travel through a semiconductor, they encounter various scattering mechanisms that govern the carrier mobility in the electronic system. The parameter for characterizing the various scattering mechanisms is the relaxation time τ, which determines the rate of change in electron momentum as it moves about in the semiconductor crystal. Mobility is related to the scattering time by µ=
qτ , m∗
(32.10)
where µ∗ is the electron effective mass, q is the electronic charge, and τ is the relaxation time averaged over the energy distribution of electrons. The total relaxation time, τT when various scattering mechanisms are operative is given by Matthiessen’s rule 1 1 , (32.11) = τ τi i
where i represents each scattering process. The major scattering mechanisms that generally governs the electron transport in group III–V semiconductors is also valid for group III nitrides. They are briefly listed as follows: 1. Ionized-impurity scattering is due to the deflection of free carriers by the long-range Coulomb potential of the charged centers caused by defects or intentionally doped impurities. This can be considered as
Group III Nitrides
2.
3.
4.
5.
a local perturbation of the band edge, which affects the electron motion. Polar longitudinal-optical (LO) phonon scattering is caused by the interaction of a moving charge with the electric field induced by electric polarization associated with lattice vibration due to the ionic nature of the bonds in polar semiconductors such as nitrides. Acoustic phonon scattering through the deformation potential arises from the energy change of the band edges induced by strain associated with acoustic phonons, where the scattering rate increases with the wave vectors of the phonons. Piezoelectric scattering arises from the electric fields that are produced by the strain associated with phonons in a crystal without inversion symmetry, particularly in wide-band-gap nitrides. Because of the high density of dislocations and native defects induced by nitrogen vacancies in GaN, dislocation scattering and scattering through nitrogen vacancies has also been considered as a possible scattering mechanism. Dislocation scattering is due to the fact that acceptor centers are introduced along the dislocation line, which capture electrons from the conduction band in an n-type semiconductor. The dislocation lines become negatively charged and a space-charge region is formed around it, which scatters electrons traveling across the dislocations, thus reducing the mobility.
grown films produce much lower mobility values of 100–300 cm2 /Vs [32.132]. Different models were used to explain the observed low electron mobilities in GaN, especially at low temperatures. Scattering of electrons at charged dislocation lines [32.132–136] and scattering through elevated levels of point defects [32.137, 138], such as nitrogen vacancies [32.139, 140] were considered as a possible mechanisms responsible for these observations. These scattering mechanisms were investigated by studying the temperature dependence of the carrier concentration and electron mobility. It has been argued that mobility is related to the dislocation density (N √dis ) and free-carrier concentration (n) via a µdis ∝ n/Ndis relationship [32.135]. At low carrier concentrations (< 5 × 1017 cm−3 ), the mobility decreases due to charged dislocation scattering, while at higher carrier concentrations ionized impurities are the dominant mechanism determining the mobility. The temperature dependence of the mobility for samples where dislocations play a dominant role shows that the mobility increases monotonically with temperature, following a T 2/3 dependence. Electron mobility limited by nitrogen-vacancy scattering was taken into account in n-type GaN grown by MOVPE by Zhu and Sawaki [32.139] and Chen et al. [32.140]. A good fit was obtained between the calculated and experimental results. The estimated mobility shows a T −2/2 temperature dependence and it was argued that the measured mobility is dominated by ionized-impurity and dislocation scattering at low temperatures, but polar optical phonon and nitrogen-vacancy scattering at high temperatures. Hall mobility and electron concentration in undoped GaN were investigated as a function of the thickness of buffer layers and epilayers. Nakamura [32.141] made Hall-effect measurements on undoped GaN layers grown by MOVPE on GaN buffer/sapphire substrates. As the thickness of the buffer layer increased from 100 Å, the mobility also increased up to a thickness of 200 Å. At larger thicknesses the mobility began to decrease. The value of the mobility was 600 cm2 /Vs at room temperature for a 200 Å thick layer. The electron concentration was a minimum at 520 nm and increased monotonically as the buffer thickness increased. The mechanism responsible for this observation was not clearly established. Götzet al. [32.142] have studied the effect of the layer thickness on the Hall mobility and electron concentration in unintentionally doped n-type GaN films grown by HVPE on sapphire substrates, which is pretreated with either ZnO or GaCl. They found that the mobility increased and the carrier concentration decreased as the thickness of the epilay-
767
Part D 32.5
Gallium Nitride Electron mobility in GaN is one of the most important parameters associated with the material, with a great impact on devices. It has been the subject of intensive studies in recent years from both the experimental and theoretical points of view. Experimental investigation of the temperature-dependent carrier mobility and concentration can be used to determine the fundamental material parameters and understand the carrier scattering mechanism along with an accurate comparison with theory [32.127, 128]. Compared to other group III–V semiconductors, such as GaAs, GaN possesses many unique material and physical properties, as discussed in the previous section. However, the lack of high-quality material, until very recently, prevented detailed investigations of carrier transport. The earlier transport investigations had to cope with poor crystal quality and low carrier mobility, well below predictions [32.129, 130]. Early MBE layers exhibited mobilities as high as 580 cm2 /Vs on SiC substrates, which at that time were not as commonly used as in recent times [32.131]. Typically, however, MBE-
32.5 Electrical Properties of Nitrides
774
Part D
Materials for Optoelectronics and Photonics
Indium Nitride InN suffers from the lack of a suitable substrate material, causing a high concentration of extended defects in the films. Sapphire substrate is usually used for InN growth, but the difference in lattice constants between InN and sapphire is as large as 25% and even more than 19% with the other nitrides. A large disparity of the atomic radii of In and N is an additional contributing factor to the difficulty of obtaining InN of good quality. Because of all these factors, the electron mobilities obtained from various films have varied very widely. Electrical properties vary also substantially with the choice of growth techniques. A range of film-deposition techniques, such as reactive-ion sputtering, reactive radio-frequency (RF) magneton sputtering, metalorganic vapor-phase epitaxy (MOVPE) and MBE, has been used. Table 32.4 gives updated values of electron mobility and concentration in InN films grown by various growth techniques. The transport properties reported in the literature are mostly based on Hall-effect measurements, assuming the Hall scattering factor to be unity. In majority, electron mobilities often remain relatively poor, despite predicted values as high as 3000 cm2 /Vs at room temperature for InN [32.198]. It is widely believed that nitrogen
vacancies lead to large background electron concentrations, which is responsible for the observed low electron mobility. An empirical linear relationship between the electron mobility and electron concentration can be deduced from the table for a series of InN films, although not all films exhibit this type of behavior. A systematic study carried out by Tansley et al. [32.199] indicates that the electron concentration decreases as the nitrogen density is increased in the growth plasma in reactiveion sputtering. Although the reported time is relatively old, the maximum mobility of 2700 cm2 /Vs at an electron concentration of 5 × 1016 cm−3 was reported for RF reactive-ion sputtered growth of InN. Early study of the electron mobility of InN as a function of the growth temperature indicates that the mobility of InN grown by ultrahigh-vacuum electron–cyclotron resonance-radiofrequency magnetron sputtering (UHVECR-RMS) can be as much as four times the mobility of conventionally grown (vacuum-deposited) InN [32.187]. However, more recent work indicates a progressive improvement in electrical properties of InN films grown by vacuumdeposition techniques, including MBE and MOVPE. Values of the electron mobility as high as 1420 cm2 /Vs at an electron concentration of 1.4 × 1018 cm−3 were re-
Table 32.4 A compilation of electron mobilities obtained in wide-band-gap InN on different substrates and for various deposition conditions
Part D 32.5
Growth method
Carrier concentration (cm−3 )
Electron mobility (cm/Vs)
Ref.
Reactive ion sputtering Reactive-ion sputtering Reactive-ion sputtering Reactive-ion sputtering Reactive-ion sputtering RF magnetron sputtering Reactive-ion sputter Plasma-assisted MBE ECR-assisted MOMBE ECR-assisted reactive-ion sputtering Reactive sputter MOVPE Migration-enhanced epitaxy RF MBE MOMBE MBE Reactive-ion sputtering RF MBE Plasma-assisted MBE MBE Plasma-assisted MBE
7.0 × 1018 2.1 × 1017 8.0 × 1016 5.5 × 1016 2.0 × 1020 – ≈ 1020 ≈ 1020 2.0 × 1020 – 6.0 × 1018 5.0 × 1019 3.0 × 1018 3.0 × 1019 8.8 × 1018 2 –3 × 1018 ≈ 1019 1.0 × 1019 1.6 × 1018 4 × 1017 1.4 × 1018
250 470 1300 2700 9 44 60 229 100 80 363 700 542 760 500 800 306 830 1180 2100 1420
[32.181] [32.182] [32.182] [32.182] [32.183] [32.184] [32.185] [32.63] [32.186] [32.187] [32.188] [32.159] [32.189] [32.190] [32.191] [32.192] [32.193] [32.194] [32.195] [32.196] [32.197]
Group III Nitrides
et al. [32.202] employed both Monte Carlo simulations and one-dimensional energy–momentum balance techniques. They used a three-valley model for the conduction band by taking the main scattering mechanisms, such as ionized impurity, polar optical-phonon, acousticphonon through deformation potential and piezoelectric, and inter-valley scatterings into account. In particular, they examined how electrons, initially in equilibrium, respond to the instant application of a constant electric field. Figure 32.18 shows the average velocity of the electrons in AlN, GaN, and InN as a function of distance. According to their calculation, electron velocity overshoot only occurs when the electric field exceeds a certain critical value unique to each material and it lasts over a certain distance dependent on applied field. These critical fields are points where the highest steady-state peak drift velocities are achieved and being reported as 65 kV/cm, 140 kV/cm, and 450 kV/cm with corresponding peak velocities of 2.9 × 107 cm/s, 1.7 × 107 cm/s, and 1.6 × 107 cm/s for InN, GaN, and AlN, respectively. Among them InN exhibits the highest peak overshoot velocity, on the order of 108 cm/s at 260 kV/cm, and the longest overshoot relaxation distance, on the order of 0.8 µm at 65 kV/cm. To optimize device performance by only minimizing the transit time over a given distance is prevented by a trade-off between the peak overshoot velocity and distance taken to achieve steady state. The upper bound for the cutoff frequency of InN- and GaN-based HFETs (heterojunction field effect transistor) benefits from larger applied fields and accompanying large velocity overshoot when the gate length is less than 0.3 µm in GaN and 0.6 µm in InN based devices. However, all measured cutoff frequencies are gate-length-dependent and well below these expectations, indicating that devices operate in the steady-state regime and other effects, such as real-space transfer, should also be considered. On the other hand, there is some controversy in the reports related to the onset of velocity overshoot in nitride semiconductors. For example, Rodrigues et al. [32.211] reported that overshoot onsets
32.6 Optical Properties of Nitrides
777
at 10 kV/cm in InN, 20 kV/cm in GaN, and 60 kV/cm in AlN by using a theoretical model based on a nonlinear quantum kinetic theory, which compares the relation between the carriers’ relaxation rate of momentum and energy. Experimental investigations of transient transport in group III nitrides are very limited and few results are reported by using different techniques. Wraback et al. [32.212] employed a femtosecond time-resolved electroabsorption technique to study transient electron velocity overshoot for transport in the AlGaN/GaN heterojunction p-i-n photodiode structures. It has been reported that electron velocity overshoot can be observable at electric fields as low as 105 kV/cm. Velocity overshoot increases with electric fields up to ≈ 320 kV/cm with a peak velocity of 7.25 × 107 cm/s relaxing within the first 0.2 ps after photoexcitation. The increase in electron transit time across the device and the decrease in peak velocity overshoot with increasing field beyond 320 kV/cm is attributed to a negative differential resistivity region of the steadystate velocity–field characteristic in this high-field range. Collazo et al. [32.213] used another experimental technique based on the measurement of the energy distribution of electrons which were extracted into vacuum through a semitransparent Au electrode, after their transportation through intrinsic AlN heteroepitaxial films using an electron spectrometer. They observed electron velocity overshoot as high as five times the saturation velocity and a transient length of less than 80 nm at a field of 510 kV/cm. In order to design an electronic device that is expected to operate at high power and high frequency, one should consider obtaining benefit from velocity overshoot effect in group III nitrides semiconductor heterojunctions. A systematic investigation of InN, GaN, AlN and their alloys as a function of various parameters in dynamic mode would be very beneficial for the development of higher-performance, next-generation electronic and optoelectronic devices.
32.6 Optical Properties of Nitrides tions, the free exciton can also exhibit excited states, in addition to their ground-state transitions. Extrinsic properties are related to dopants or defects, which usually create discrete electronic states in the band gap, and therefore influence both optical absorption and emission processes. The electronic states of the bound excitons (BEs) depend strongly on the semiconductor material,
Part D 32.6
The optical properties of a semiconductor are connected with both intrinsic and extrinsic effects. Intrinsic optical transitions take place between the electrons in the conduction band and holes in the valance band, including excitonic effects due to the Coulomb interaction. Excitons are classified into free and bound excitons. In high-quality samples with low impurity concentra-
Group III Nitrides
32.6 Optical Properties of Nitrides
781
Table 32.5 Reported exciton energies in GaN using different experimental techniques FX(A)
FX(B)
FX(C)
Substrate
Strain
Growth
Technique
Ref.
3.474 3.475 3.480 3.4799
3.481 3.481 3.486 3.4860
3.501 3.493 3.503 3.5025
Sapphire Sapphire Sapphire Sapphire
Compressive Compressive Compressive Compressive
VPE VPE HVPE HVPE
[32.214] [32.221] [32.230] [32.228]
3.4770 3.4775 3.485 3.491 3.4903 3.484
3.4865 3.4845 3.493 3.499 3.4996 3.490
3.5062 3.5062 3.518 3.528 3.525 3.512
Sapphire Sapphire Sapphire Sapphire Sapphire Sapphire
Compressive Compressive Compressive Compressive Compressive Compressive
MOVPE MOVPE MOCVD MOCVD MBE MOCVD
3.479 3.488 3.4857 3.483 3.476 3.480 3.470 3.470 3.472 3.4771 3.4776 3.478 3.4772 3.490
3.486 3.496 3.4921 3.489 3.489 3.493 3.474
Sapphire Sapphire Sapphire Sapphire ZnO ZnO 6H−SiC 6H−SiC 6H−SiC GaN GaN GaN Bulk GaN Freestanding
Compressive Compressive Compressive Compressive Compressive Compressive Tensile Tensile Tensile Unstrained Unstrained Unstrained Unstrained Unstrained
MOCVD MOCVD MOCVD MBE RAMBE RMBE MOCVD MOVPE HVPE MOVPE MOCVD MOCVD Na−Ga melt HVPE
Reflectance PLE PL Calorimetric reflection Reflectance Reflectance Reflectance Reflectance Reflectance Contactless electro reflectance PL PL PL PL Reflectance PL Reflectance PL PL Reflectance Reflectance Reflectance Reflectance Contactless electro reflectance
3.4818 3.4827 3.484 3.4830 3.500
3.511 3.491 3.486 3.4988 3.5015 3.502 3.4998 3.520
E g (T ) = E 0 (0) − αT 2 /(β + T ) ,
(32.15)
[32.240] [32.241] [32.242] [32.243] [32.244] [32.245] [32.246] [32.247] [32.248] [32.226] [32.225] [32.229] [32.249] [32.250]
where E 0 (0) is the transition energy at 0 K, and α and β are the temperature coefficients. The free-exciton transitions are the dominating PL process at room temperature in GaN. This has been well established by PL spectral data over a wide range of temperatures for nominally undoped samples. However, the PL intensity at the position of the band gap at 300 K is considerably lower than the A exciton intensity [32.252]. Free-exciton transitions in wide-band-gap materials have a characteristic coupling to LO phonons [32.253]. As expected from the theory of LO-phonon coupling for exciton polaritons the first two replicas are strongest. The 3− LO and 4− LO replicas are also clearly observable. As predicted by theory, the characteristic temperature dependence of relative ratios of the intensities of the LO-phonon replicas is linearly proportional to the temperature and this behavior is confirmed for the A exciton for temperatures T < 100 K [32.248]. The binding energy of excitons determines the energy of the band gap of the material, but the strength of
Part D 32.6
gap), and also a decrease in the A, B, and C splittings. As a summary, a comparison of free-exciton energies obtained from reflectance PL and other optical techniques for heteroepitaxial GaN grown by several techniques on various substrates, producing different degrees of strain, and unstrained homoepitaxy as well as bulk GaN is given in Table 32.5. It should be pointed out that considerable variation exists between different reports in the literature [32.232, 238, 251]. The temperature dependence of the intrinsicexciton energies is also dependent on the particular sample and local strain. Figure 32.24 presents a comparison between the temperature dependence of the exciton energies for three samples, presumably relaxed (bulk GaN), under compression (grown on sapphire) and under tension (grown on SiC). The temperature dependence of excitonic resonance (in the absence of localization) can be described by the Varshni empirical relation
[32.215] [32.222] [32.238] [32.223] [32.224] [32.239]
Group III Nitrides
An additional deeper, probably acceptor-related, BE PL line in GaN is also observed at 3.461 eV (a doublet structure) [32.269]. However, due to the strain-induced shift in an upwards direction in the spectrum, this might be the same ABE as observed in some homoepitaxial layers at 3.455 eV with a line width of about 95 µeV [32.226], indicating a BE binding energy of about 21 meV. Although the identity of this acceptor has not been established, it is close to the position observed from the dominant ABE in Zn-doped samples, if strain shifts are considered [32.279]. Therefore, it might be due to residual Zn acceptors, present as contaminants in many samples, but this is not certain. In contrast to the case of DBEs, the decay curves are usually clean exponential for the ABEs, as seen in Fig. 32.27b, and presumably reflect the radiative lifetimes of the BEs at the lowest temperatures, before thermalization occurs. The observed value of radiative lifetimes is about 0.7 ns for the shallowest ABE peaked at 3.466 eV, as compared to the much longer time, 3.6 ns, for the deeper acceptors with an ABE peak in the range 3.455–3.46 eV [32.269]. This corresponds to an oscillator strength of the order of 1, very similar to the shallow acceptor BEs in CdS [32.282]. To distinguish band-edge exciton features, the temperature-dependent luminescence experiment allows one to discriminate free versus donor-bound or acceptor-bound excitons. With increasing temperature, all peaks related to the bound excitons quench due to thermal delocalization, while the quenching of the free excitons is negligible up to 50 K. Excitons bound to the donor quench faster than excitons bound to the acceptor, which is consistent with their binding energies [32.264].
785
peaked 3.273 eV at higher temperature (120 K) due to thermal ionization of the shallow donors (E D ≈ 29 meV) into the conduction band. At intermediate temperatures, both processes may be resolved. The acceptor binding energy has also been estimated as about 230 meV from this measurement. The identity of this acceptor is not clear, but it has been suggested that it is due to carbon acceptor substitution on nitrogen sides [32.285], while others claimed that it is simply related to Mg acceptors on Ga sites. PL of Mg-doped wurtzite GaN has been studied and showed the DAP transition at 3.26 eV at 4.2 K along with its LO-phonon replicas. At higher Mg concentrations, the PL is dominated by a deep-level broad band with its peak at 2.95 eV. The blue emission is clearly observed for Mg concentrations of 5 × 1019 –2 × 1020 cm−3 . In addition to the peak position for the identification of this transition, further confirmation is provided by a blue shift at a rate of about 2–3 meV per decade of intensity as the excitation intensity increased [32.286]. The behavior of radiative lifetimes and time-resolved spectra has been used as a further test for the DAP transition. It has been observed that carrier dynamics of 3.21 and 2.95 eV emissions in relatively heavily doped Mg-doped p-type GaN epilayers [32.287] exhibited nonexponential lifetimes on the sub-nanoseconds scale, comparable to that of a band-to-impurity transition in a highly n-type GaN epilayer involving a donor and the valence band [32.242,288]. Smith et al. [32.287] observed the temporal behavior of the 3.21-eV emission band, which follows a power law with an exponent greater than 1.0 at longer delay times. All these indications lead one to conclude that the 3.21-eV line corresponds to the conduction-band-to-impurity transition involving shallow Mg impurities, while that at about 2.95 eV is attributed to the conduction-band-to-impurity transitions involving deep-level centers (or complexes). Defect-Related Transitions in GaN: Yellow Luminescence (YL) A broad PL band peaking at 2.2 ± 0 .1 eV, so-called yellow emission, is almost systematically observed in undoped or n-GaN. As studied extensively by Ogino and Aoki [32.289] and more recently by others [32.290–292] there seems to be agreement that transitions from the conduction band or a shallow donor to a deep acceptor are responsible for this band. Another interpretation of the yellow band as being due to transitions between a deep donor and a shallow acceptor was also proposed to explain the results of magnetic-resonance experiments [32.293]. The exact position of this band
Part D 32.6
Donor–Acceptor Transitions in GaN Due to compensation in semiconductors both ionized donors and acceptors could be present in the material. Nonequilibrium carriers generated by optical excitation can be trapped at the donor and acceptor sites, causing them to be neutral. While reaching equilibrium, they can relax their excess energies through radiative recombination of some electrons on the neutral donor sites with holes on the neutral acceptor sites, a process termed donor–acceptor pair (DAP) transition. DAP spectra are very common examples of radiative recombination in GaN. A no-phonon replica is observed at about 3.26 eV at low temperature, with well-resolved LO-phonon replicas towards the lower energy [32.283–285]. The temperature dependence of the spectrum reveals the evolution from a DAP pair spectrum at low temperature to a free-to-bound conduction-band-to-acceptor transition
32.6 Optical Properties of Nitrides
786
Part D
Materials for Optoelectronics and Photonics
Part D 32.6
and its line width differ slightly in numerous publications. The nature of the deep donor has not been established, but several candidates such as the nitrogen vacancy [32.294], gallium vacancy or its complexes with a shallow donor [32.291, 292] or carbon [32.289, 295] have been suggested. The issue of whether the YL is related to a point defect or to a distribution of states in the gap is still an open question. Shalish et al. [32.296] invoked a broad distribution of acceptor-like surface states to account for the YL band. A redshift of the YL band with decreasing energy of the below-gap excitation may also indicate that the broadening of the YL band is due to emission from several closely spaced traps [32.297]. On the other hand, the temperature dependence of the bandwidth and the photoluminescence excitation spectrum have been quantitatively explained by using a configuration-coordinate model that attributes the YL band to a point defect with strong electron–phonon interaction [32.289, 298]. Theoretical calculations predict a low formation energy and the deep acceptor levels for the isolated Ga vacancy, possibly as a complex with a shallow donor impurity such as Si and O (VGa SiGa and VGa ON ) [32.291, 292]. It has also been demonstrated that the formation of these defects is much more favorable at a threading-edge dislocation [32.299]. The intensity of the YL band has been found to correlate with dislocation-related defects [32.300]. The transient PL decay times of the YL has been investigated by different research groups. Hofmann et al. [32.301] and Korotkov et al. [32.302] reported rather long and nonexponential decay of the YL in the range 0.1–100 µs at low temperatures, which was quantitatively described in the Thomas–Hopfield model [32.303] of the donor–acceptor pair (DAP) recombination. A very long-lived emission decay time of about 300 ms at 10 K has been observed by Seitz et al. [32.304]. In contrast, very fast decay times of about 1 ns at 2 K and 20 ps at room temperature have been reported and related to a strong contribution from free-to-bound transitions and DAP recombination by Godlewski et al. [32.305] and Haag et al. [32.306], respectively. Reshchikov et al. [32.307] performed timedependent PL of YL in freestanding high-quality GaN templates grown by HVPE, which led them to conclude that, at temperatures below 40 K, the time decay of the 2.4 eV yellow peak is nonexponential and can be explained in the framework of the Thomas–Hopfield model for DAP-type recombination involving shallow donors. At elevated temperatures, the decay becomes exponential with two components, leading to the suggestion that
the transitions from the conduction band to two deep acceptors are involved.
32.6.2 Aluminium Nitride The optical properties of AlN have been investigated in many forms, including powders, sintered ceramics, polycrystals and single-crystal samples. Since an AlN lattice has a very large affinity to oxygen dissolution, oxygen contamination is hard to eliminate in AlN, in which optical properties are influenced by oxygen-related defects. Some oxygen is dissolved in the AlN lattice while the remainder forms an oxide coating on the surface of each powder grain. AlN doped with oxygen was found to emit a series of broad luminescence bands at near-ultraviolet frequencies at room temperature, no matter whether the sample was powdered, single crystal, or sintered ceramic. In an early study of the luminescence properties, Pacesova and Jastrabik [32.308] observed two broad emission lines centered near 3.0 and 4.2 eV, more than 0.5 eV wide, for samples contaminated with 1–6% oxygen under steady-state excitation. Youngman and Harris [32.309] and Harris et al. [32.310] found broad peaks centered at 2.7 and 3.8 eV in large single-crystal AlN with an oxygen content of 380 ppm. Oxygen-related luminescence spectra in AlN are very sensitive to sample preparation, particularly oxygen-impurity content. They observed an emission-peak shift in the PL spectra and a drastic increase in luminescence intensity below a critical oxygen content of 0.75%. Others reported different emission peaks for different forms of AlN samples with various oxygen contents. A microscopic model explaining the results was proposed by Harris et al. [32.310] and supported by the study of Katsikini et al. [32.311] and Pastrnak et al. [32.312]. Below the critical concentration, oxygen substitutes into nitrogen sites (ON ) with subsequent formation of Al atom vacancies (VAl ), while at higher oxygen content a new defect based on octahedrally coordinated Al forms. Besides native oxygen defects or intentionally oxygen-doped AlN, other impurities that have been widely investigated are manganese and, more recently, rare-earth metals, such as erbium. In an early study, Karel and coworkers [32.313] reported a number of sharp emission peaks in the visible region from Mndoped AlN. These peaks are interpreted as arising from phonon emission, in all likelihood due to localized Mn-ion vibration, associated with electronic transitions experienced by Mn4+ ions located at Al sites. This work was further extended by Karel and
792
Part D
Materials for Optoelectronics and Photonics
Part D 32.7
revisited the bowing parameter using X-ray and analytical techniques, such as secondary-ion mass spectroscopy (SIMS) and Rutherford back-scattering (RBS), for composition determination, reflectance and absorption for band-gap determination. The results of this study are depicted in Fig. 32.35 in the form of AlGaN band gap versus composition along with a least-square fit to the data, solid circles, yields a bowing parameter of b = 1.0 eV for the entire range of alloy compositions. Widening the X-ray diffraction peaks for alloy composition around the midway point has been attributed to be a most likely source of error in determining the bowing parameter. On the other hand, the validity of the characterization techniques used in determination the optical properties of AlGaN alloys is deeply affected by the material crystalline quality and purity. As far as the electrical and doping issues are concerned, Hall measurement for n-Al0.09 Ga0.91 N demonstrated a carrier concentration of 5 × 1018 cm−3 and a mobility of 35 cm2 /Vs at room temperature [32.365]. Other Hall measurements [32.366] on Mg-doped p-Al0.08 · Ga0.92 N grown by OMVPE (organometallic vapor phase epitaxy) addressed the temperature dependence of the mobility. They indicate that the hole mobility decreases with increasing temperature, reaching a value of about 9 cm2 /Vs for a doping density of 1.48 × 1019 cm−3 . This low mobility is ascribed to a high carrier concentration and the inter-grain scattering present in the samples. While the lattice constant was studied, it was observed to be almost linearly dependent on the AlN mole fraction in AlGaN. Until recently the resistivity of unintentionally doped AlGaN was believed to increase so rapidly with increasing AlN mole fraction that AlGaN became almost insulating for AlN mole fractions exceeding 20%. As the AlN mole fraction increased from 0 to 30%, the n-type carrier concentration dropped from 1020 to 1017 cm−3 , and the mobility increased from 10 to 30 cm2 /Vs. An increase in the native-defect ionization energies with increasing AlN may possibly be responsible for this variation. The respond of the dopant atoms such as Si and Mg to the variation of the AlN mole fraction in AlGaN has not been well understood yet. It was suggested that dopant atom moves deeper into the forbidden energy band gap as the AlN mole fraction increases. For example, Hall-effect measurements show that the activation energy of Si donor increases linearly from 0.02 eV in GaN to 0.32 eV in AlN [32.367]. However, devices such as lasers, which depend critically on the overall device series resistance and require low-resistivity p-type material, will
probably be restricted by the ability to dope highmole-fraction AlGaN. Fortunately, the emergence of InGaN coupled with the fact that good optical-field confinement can be obtained with low-AlN-mole-fraction AlGaN mitigate this problem enormously, and the potential is very bright for laser development in this material system. The ternary InGaN is used mostly for quantum wells, strained to some extent depending on the level of phase segregation, etc., in the active regions of LEDs and lasers, which can emit in the violet or blue wavelength range. Needless to say, high-efficiency blue and green LEDs utilizing InGaN active layers are commercially available. However, added complexities such as phase separation and other inhomogeneities due to the large disparity between Ga and In make the determination of the band gap of InGaN versus composition a very difficult task, not to mention the controversy regarding the band gap of InN. The compositional dependence of the InGaN band gap is a crucial parameter in the design of any heterostructure utilizing this material. Similarly to the case of AlGaN, the energy band gap of Inx Ga1−x N over 0 < x < 1 can be expressed by (32.16) using the band gap of InN instead of AlN band gap. When a bandgap of ≈ 1.9 eV for InN is assumed as the end-point value for InN in regard to the InGaN ternary, large and/or more than one bowing parameters are required to fit the compositional dependence of the band-gap energy. An earlier investigation of InGaN bowing parameter for alloys with small concentrations of InN [32.368] led to a bowing parameter of 1.0, which is in disagreement with 3.2 reported by Amano et al. [32.369], who also took into consideration strain and piezoelectric fields and arrived at a value of 3.2. A bowing parameter of 2.5 eV was obtained from optical absorption measurements and a value of 4.4 eV was obtained from the position of the emission peak [32.370]. Nagatomo et al. [32.371] noted that the Inx Ga1−x N lattice constant varies linearly with the In mole fraction up to at least x = 0.42, but it violates Vegard’s law for x > 0.42, which may be caused by erroneous determination of the composition and is very relevant the problem at hand. Recent observations indicated that these alloys show strong infrared PL signal as expected from an InN band gap of ≈ 0.8 eV, extending the emission spectrum of the In1−x Gax N system to near infrared. [32.347] revisited the dependence of the InGaN band gap on composition by considering ≈ 0.8 eV for the band gap of the end binary InN. Figure 32.36 shows the compositional dependence of the band gap of InGaN, determined by photomodu-
Group III Nitrides
stems from its ability to form alloys with GaN, producing AlGaN and allowing the fabrication of electronic and optical devices based on AlGaN/GaN and AlGaN/InGaN, the latter of which can be active from green wavelengths well into the ultraviolet. AlN also forms a crucial component of the nitride-based AlInGaN quaternary which allows tuning of the band gap independently of the composition over a reasonably wide range of band gaps. This way, lattice-matched conditions to the underlying epitaxial structure can be maintained while being able to adjust the band gap. AlN is not a particularly easy material to investigate because of the high reactivity of aluminium with oxygen in the growth vessel. Early measurements indicated that oxygen-contaminated material can lead to errors in the energy band gap and, depending on the extent of contamination, in the lattice constant. Only recently has the attainment of contamination-free deposition environments coupled with advanced procedures allowed researchers to grow higher-quality AlN consistently. Consequently, many of the physical properties of AlN have been reliably measured and bulk AlN synthesized. Although GaN has been studied far more extensively than the other group III nitrides, there is still a great need for further investigations even to approach the level of understanding of technologically important materials such as Si and GaAs. GaN growth often suffers from relatively high background n-type carrier concentrations due to native defects and possibly impurities. The lack of commercially available native substrates exacerbates this situation. These, together with the difficulties in obtaining p-type doping, and the arcane fabrication processes, catalyzed the early bottlenecks, stymieing progress. Recent burgeoning interest has led to improvements in crystal-growth and processing technologies, and allowed many difficulties encountered earlier to be overcome. Consequently, a number of laboratories have begun to obtain high-quality GaN consistently with
References
795
room-temperature background electron concentrations as low as 5 × 1016 cm−3 . The successful development of schemes leading to p-type GaN has led to the demonstration of excellent p-n-junction LEDs in the UV, violet, blue, green and even yellow bands of the visible spectrum with brightness suitable for outdoor displays, CW (continuous wave) lasers, power modulation doped field-effect transistors (MODFETs), and UV detectors, including those for the solar-blind region. Still, much work remains to be done in the determination of the fundamental physical properties of GaN. InN has not received the experimental attention given to GaN and AlN. This is probably due to difficulties in growing high-quality crystalline InN samples, the poor luminescence properties of InN, and the existence of alternative, well-characterized/developed semiconductors such as AlGaAs and In (Ga, Al)AsP, which have energy band gaps close to what was thought to be the band gap of InN. More recent data and calculations appear to indicate that the band gap of InN is close to 0.7–0.8 eV. Even with this new band gap, InN does not escape competition in the sense that another well-developed semiconductor, InGaAs, covers that region. Consequently, practical applications of InN are restricted to its alloys with GaN and AlN, in addition to tandem solar cells. The growth of high-quality InN and the enumeration of its fundamental physical properties remain, for the present, a purely scientific enterprize. InN is not different from GaN and AlN in the sense that it suffers from the same lack of a suitable substrate material and, in particular, a high native-defect concentration. Moreover, early InN layers may have been polycrystalline and contained large concentrations of O and impurities and/or point defects resulting in large electron concentrations. The large band gap measured in early InN layers may have been caused by O, leading to oxides of In with large band gaps as well as a Burstein–Moss blue shift due to high electron concentrations.
References 32.1
32.3
32.4 32.5 32.6 32.7
S. J. Pearton: GaN and Related Materials (Gordon and Breach, New York 1997) B. Gil: Group III Nitride Semiconductor Compounds (Clarendon, Oxford 1998) J. I. Pankove, T. D. Moustakas: GaN, Vol. 1 (Academic, New York 1998) T. D. Moustakas, I. Akasaki, B. A. Monemar: III–V nitrides, Mater. Res. Soc. Symp. Proc. 449, 482 (1997, 1998)
Part D 32
32.2
H. Morkoc¸: Nitride Semiconductors and Devices, its 2nd edition will appear within 2004 (Springer, Heidelberg 1999) S. Nakamura, S. Pearton, G. Fasol: The Blue Laser Diodes (Springer, Berlin Heidelberg New York 2001) P. Ruterana, M. Albrecht, J. Neugebauer: Nitride Semiconductors – Handbook on Materials and Devices (Wiley, Weinheim 2003)
796
Part D
Materials for Optoelectronics and Photonics
32.8
32.9 32.10
32.11 32.12 32.13 32.14 32.15 32.16 32.17 32.18 32.19 32.20 32.21 32.22 32.23
32.24 32.25 32.26 32.27 32.28 32.29 32.30 32.31 32.32 32.33 32.34
Part D 32
32.35
32.36 32.37
M. E. Levinshtein, S. L. Rumyantsev, M. S. Shur: Properties of Advanced Semiconductor Materials (Wiley, New York 2001) J. H. Edgar: Properties of Group III Nitrides (INSPEC, London 1994) J. H. Edgar, S. T. Strite, I. Akasaki, H. Amano, C. Wetzel: Properties, Processing and Applications of Gallium Nitride and Related Semiconductors (INCPEC, London 1999) S. N. Mohammad, W. Kim, A. Salvador, H. Morkoc: MRS Bull. 22, 22 (1997) S. Strite, H. Morkoc¸: J. Vac. Sci. Technol. B 10, 1237 (1992) H. Morkoc, S. Strite, G. B. Gao, M. E. Lin, B. Sverdlov, M. Burns: J. Appl. Phys. 76, 1363 (1994) S. N. Mohammad, H. Morkoc¸: Proc. Quant. Electr. 20, 361 (1996) H. Morkoc¸, S. N. Mohammad: Science 267, 51 (1995) I. Akasaki, H. Amano: Tech. Dig. Int. Electron. Devices Meet. 96, 231 (1996) S. Nakamura: MRS Bull. 22, 29 (1997) S. Nakamura: Sel. Top. Quant. Electron. 3, 712 (1997) S. Nakamura: Science 281, 956 (1998) S. J. Pearton, J. C. Zolper, R. J. Shul, F. Ren: J. Appl. Phys. 86, 1 (1999) S. J. Pearton, F. Ren, J. C. Zolper, R. J. Shul: Mater. Sci. Eng. R30, 55 (2000) M. A. Khan, Q. Chen, J. W. Yang, C. J. Sun: Inst. Phys. Conf. Ser. 142, 985 (1995) M. A. Khan, Q. Chen, J. Yang, M. Z. Anwar, M. Blasingame, M. S. Shur: Tech. Dig. Int. Electron. Devices Meet. 96, 27 (1996) M. S. Shur, M. A. Khan: MRS Bull. 22, 44 (1997) L. Liu, J. H. Edgar: Mater. Sci. Eng. R37, 61 (2002) S. C. Jain, M. Willander, J. Narayan, R. Van Overstraeten: J. Appl. Phys. 87, 965 (2000) B. Monemar: Semicond. Semimetals 50, 305 (1998) O. Ambacher: J. Phys. D: Appl. Phys. 31, 2653 (1998) I. Akasaki, H. Amano, I. Suemune: Inst. Phys. Conf. Ser. 142, 7 (1996) W. A. Harris: Electronic Structure and Properties of Solids (Dover, New York 1980) pp. 174–179 C. Y. Yeh, Z. W. Lu, S. Froyen, A. Zunger: Phys. Rev. B 46, 10086 (1992) G. A. Jeffery, G. S. Parry, R. L. Mozzi: J. Chem. Phys. 25, 1024 (1956) H. Schulz, K. H. Theimann: Solid State Commun. 23, 815 (1977) Q. Xia, H. Xia, A. L. Ruoff: J. Appl. Phys. 73, 8198 (1993) P. Perlin, C. Jauberthie-Carillon, J. P. Itie, A. San Miguel, I. Grzegory, A. Polian: Phys. Rev. B 45, 83 (1992) M. Ueno, M. Yoshida, A. Onodera, O. Shimommura, K. Takemura: Phys. Rev. B 49, 14 (1994) M. J. Paisley, Z. Sitar, J. B. Posthill, R. F. Davis: J. Vac. Sci. Technol. 7, 701 (1989)
32.38
32.39 32.40 32.41
32.42 32.43 32.44
32.45 32.46 32.47
32.48 32.49 32.50 32.51 32.52 32.53 32.54
32.55
32.56 32.57 32.58
32.59 32.60
32.61
T. Lei, M. Fanciulli, R. J. Molnar, T. D. Moustakas, R. J. Graham, J. Scanlon: Appl. Phys. Lett. 59, 944 (1991) R. C. Powell, N. E. Lee, Y. W. Kim, J. E. Greene: J. Appl. Phys. 73, 189 (1993) M. Mizita, S. Fujieda, Y. Matsumoto, T. Kawamura: Jpn. J. Appl. Phys. 25, L945 (1986) M. Leszczynski, J. Bak-Misiuk, J. Domagala, J. Muszalski, M. Kaniewska, J. Marczewski: Appl. Phys. Lett. 67, 539 (1995) M. Leszczynski, E. Litwin-Staszewska, J. BakMisiuk, J. Domagala: Acta Phys. Pol. 88, 837 (1995) G. S. Cargill, A. Segmuller, T. F. Kuech, T. N. Theis: Phys. Rev. B 46, 10078 (1992) M. Leszczynski, T. Suski, P. Perlin, H. Teisseyre, I. Grzegory, M. Bockowski, J. Jun, S. Polowski, K. Pakula, J. M. Baranowski, C. T. Foxon, T. S. Cheng: Appl. Phys. Lett. 69, 73 (1996) J. Härtwing, S. Groswing: Phys. Stat. Solidi 115, 369 (1989) W. L. Bond: Acta Crystallogr. 13, 814 (1960) I. Petrov, E. Mojab, R. Powell, J. Greene, L. Hultman, J.-E. Sundgren: Appl. Phys. Lett. 60, 2491 (1992) M. E. Sherwin, T. J. Drummond: J. Appl. Phys. 69, 8423 (1991) Q. Xia, H. Xia, A. L. Ruoff: Phys. Rev. B 74, 12925 (1993) H. Vollstadt, E. Ito, M. Akaishi, S. Akimoto, O. Fukunaga: Proc. Jpn. Acad. B 66, 7 (1990) A. Munoz, K. Kunc: Phys. Rev. B 44, 10372 (1991) P. E. Van Camp, V. E. Van Doren, J. T. Devreese: Solid State Commun. 81, 23 (1992) M. Tanaka, S. Nakahata, K. Sogabe, H. Nakata, M. Tabioka: Jpn. J. Appl. Phys. 36, L1062 (1997) H. Angerer, D. Brunner, F. Freudenberg, O. Ambacher, M. Stutzmann, R. Höpler, T. Metzger, E. Born, G. Dollinger, A. Bergmaier, S. Karsch, H.J. Körner: Appl. Phys. Lett. 71, 1504 (1997) J. Domagala, M. Leszczynski, P. Prystawko, T. Suski, R. Langer, A. Barski, M. Bremser: J. Alloy Compd. 286, 284 (1999) K. Kim, W. R. L. Lambrecht, B. Segall: Phys. Rev. B 53, 16310 (1996). erratum: [32.388] A. F. Wright, J. S. Nelson: Phys. Rev. 51, 7866 (1995) M. Leszczynski, P. Prystawko, T. Suski, B. Lucznik, J. Domagala, J. Bak-Misiuk, A. Stonert, A. Turos, R. Langer, A. Barski: J. Alloy Compd. 286, 271 (1999) T. Detchprohm, K. Hiramatsu, K. Itoh, I. Akasaki: Jpn. J. Appl. Phys. 31, L1454 (1992) M. Leszczynski, H. Teisseyre, T. Suski, I. Grzegory, M. Bockowski, J. Jun, S. Polowski, J. Major: J. Phys. D 69, A149 (1995) T. Deguchi, D. Ichiryu, K. Toshikawa, K. Sekiguchi, T. Sota, R. Matsuo, T. Azuhata, M. Yamaguchi, T. Yagi, S. Chichibu, S. Nakamura: J. Appl. Phys. 86, 1860 (1999)
Group III Nitrides
32.62
32.63
32.64 32.65 32.66 32.67 32.68 32.69 32.70 32.71 32.72
32.73 32.74 32.75 32.76 32.77 32.78 32.79 32.80
32.81
32.82 32.83 32.84
32.86
32.87
32.88 32.89 32.90 32.91
32.92
32.93
32.94 32.95 32.96 32.97
32.98 32.99 32.100 32.101 32.102 32.103 32.104 32.105 32.106 32.107 32.108 32.109 32.110
32.111 32.112 32.113 32.114 32.115
K. Shimada, T. Sota, K. Suzuki: J. Appl. Phys. 84, 4951 (1993) K. Miwa, A. Fukumoto: Phys. Rev. B 48, 7897 (1993) T. Azuhata, T. Sota, K. Suzuki, S. Nakamura: J. Phys.: Condens. Matter 7, L129 (1995) V. Yu. Davydov, V. V Emtsev, I. N. Goncharuk, A. N. Smirnov, V. D. Petrikov, V. V. Mamutin, V. A. Vekshin, S. V. Ivanov, M. B. Smirnov, T. Inushima: Appl. Phys. Lett. 75, 3297 (1999) J. S. Dyck, K. Kim, S. Limpijumnong, W. R. L. Lambrecht, K. Kash, J. C. Angus: Solid State Commun. 114, 355 (2000) D. Huang, F. Yun, M. A. Reshchikov, D. Wang, H. Morkoc¸, D. L. Rode, L. A. Farina, ¸C. Kurdak, K. T. Tsen, S. S. Park, K. Y. Lee: Solid State Electron. 45, 711 (2001) W. Götz, N. M. Johnson, D. P. Bour, M. G. McCluskey, E. E. Haller: Appl. Phys. Lett. 69, 3725 (1996) C. G. Van de Walle: Phys. Rev. B 56, R10020 (1997) C. Wetzel, A. L. Chen, J. W. Suski, J. W. Ager III, W. Walukiewicz: Phys. Stat. Sol. B 198, 243 (1996) G. Kaczmarczyk, A. Kaschner, S. Reich, A. Hoffmann, C. Thomsen, D. J. As, A. P. Lima, D. Schikora, K. Lischka, R. Averbeck, H. Riechert: Appl. Phys. Lett. 76, 2122 (2000) K. M. Taylor, C. Lenie: J. Electrochem. Soc. 107, 308 (1960) V. Kirchner, H. Heinke, D. Hommel, J. Z. Domagala, M. Leszczynski: Appl. Phys. Lett. 77, 1434 (2000) G. A. Slack, S. F. Bartram: J. Appl. Phys. 46, 89 (1975) W. M. Yim, R. J. Paff: J. Appl. Phys. 45, 1456 (1974) H. P. Maruska, J. J. Tietjen: Appl. Phys. Lett. 15, 327 (1969) A. U. Sheleg, V. A. Savastenko: Vestsi Akad. Nauk, Set. Fiz.-Mat. Nauk USSR 3, 126 (1976) M. Leszczynski, J. F. Walker: Appl. Phys. Lett. 62, 1484 (1993) G. L. Slack, L. J. Schowalter, D. Morelli, J. A. Freitas Jr.: J. Cryst. Growth 246, 287 (2002) G. A. Slack: J. Phys. Chem. Solids 34, 321 (1973) G. A. Slack, T. F. McNelly: J. Cryst. Growth 42, 560 (1977) K. Watari, K. Ishizaki, F. Tsuchiya: J. Mater. Sci. 28, 3709 (1993) A. Witek: Diamond Relat. Mater. 7, 962 (1998) A. Nikolaev, I. Nikitina, A. Zubrilov, M. Mynbaeva, Y. Melnik, V. Dmitriev: Mater. Res. Soc. Symp. Proc. 595, 6.5.1 (2000) D. I. Florescu, V. M. Asnin, F. H. Pollak: Compound Semiconductor 7, 62 (2001) E. K. Sichel, J. I. Pankove: J. Phys. Chem. Solids 38, 330 (1977) D. Kotchetkov, J. Zou, A. A. Balandin, D. I. Florescu, F. H. Pollak: Appl. Phys. Lett. 79, 4316 (2001) J. Zou, D. Kotchetkov, A. A. Balandin, D. I. Florescu, F. H. Pollak: J. Appl. Phys. 92, 2534 (2002) D. I. Florescu, V. M. Asnin, F. H. Pollak, R. J. Molnar: Mater. Res. Soc. Symp. Proc. 595, 3.89.1 (2000)
797
Part D 32
32.85
W. Paszkowicz, J. Adamczyk, S. Krukowski, M. Leszczynski, S. Porowski, J. A. Sokolowski, M. Michalec, W. Lasocha: Philos. Mag. A 79, 1145 (1999) S. Strite, D. Chandrasekhar, D. J. Smith, J. Sariel, H. Chen, N. Teraguchi: J. Cryst. Growth 127, 204 (1993) W. Paszkowicz: Powder Diffr. 14, 258 (1999) F. D. Murnaghan: Proc. Natl. Acad. Sci. 30, 244 (1944) A. Polian, M. Grimsditch, I. Grzegory: J. Appl. Phys. 79, 3343 (1996) I. Yonenaga, T. Shima, M. H. F. Sluiter: Jpn. J. Appl. Phys. 41, 4620 (2002) I. Yonenega: MRS Internet J. Nitride Semicond. Res. 7, 6 (2002) T. Tsubouchi, N. Mikoshiba: IEEE Trans. Sonics Ultroson. SU 32, 634 (1985) L. E. McNeil, M. Grimsditch, R. H. French: J. Am. Ceram. Soc. 76, 1132 (1993) A. F. Wright: J. Appl. Phys. 82, 2833 (1997) T. Tsubouchi, K. Sugai, N. Mikoshiba: Ultrosonic Symposium Preceedings (IEEE, New York 1981) p. 375 R. Thokala, J. Chaudhuri: Thin Solid Films 266, 189 (1995) M. Ueno, A. Onodera, O. Shimomura, K. Takemura: Phys. Rev. B 45, 10123 (1992) D. Gerlich, S. L. Dole, G. A. Slack: J. Phys. Chem. Solid 47, 437 (1986) P. E. Van Camp, V. E. Van Doren, J. T. Devreese: Phys. Rev. B 44, 9056 (1991) E. Ruiz, S. Alvarez, P. Alemany: Phys. Rev. B 49, 7617 (1994) V. A. Savastenko, A. V. Sheleg: Phys. Stat. Sol. A 48, K135 (1978) R. B. Schwarz, K. Khachataryan, E. R. Weber: Appl. Phys. Lett. 70, 1122 (1997) C. Deger, E. Born, H. Angerer, O. Ambacher, M. Stutzmann, J. Hornstein, E. Riha, G. Fischeruer: Appl. Phys. Lett. 72, 2400 (1998) R. Nowak, M. Pessa, M. Suganuma, M. Leszczynski, I. Grzegory, S. Porowski, F. Yoshida: Appl. Phys. Lett. 75, 2070 (1999) I. Yonenaga, K. Motoki: J. Appl. Phys. 90, 6539 (2001) J. H. Edgar, C. H. Wei, D. T. Smith, T. J. Kistenmacher, W. A. Bryden: J. Mater. Sci. 8, 307 (1997) P. Perlin, A. Polian, T. Suski: Phys. Rev. B 47, 2874 (1993) C. T. M. Ribeiro, F. Alvarez, A. R. Zanatta: Appl. Phys. Lett. 81, 1005 (2002) Z. M. Ren, Y. F. Lu, H. Q. Ni, T. Y. F. Liew, B. A. Cheong, S. K. Chow, M. L. Ng, J. P. Wang: J. Appl. Phys. 88, 7346 (2000) O. Brafman, G. Lengyel, S. S. Mitra, P. J. Gielisse, J. N. Plendl, L. C. Mansur: Solid State Commun. 6, 523 (1968)
References
798
Part D
Materials for Optoelectronics and Photonics
Part D 32
32.116 D. I. Florescu, V. M. Asnin, F. H. Pollak, R. J. Molnar, C. E. C. Wood: J. Appl. Phys. 88, 3295 (2000) 32.117 G. A. Slack, R. A. Tanzilli, R. O. Pohl, J. W. Vandersande: J. Phys. Chem. Solids 48, 641 (1987) 32.118 S. Krukowski, A. Witek, J. Adamczyk, J. Jun, M. Bockowski, I. Grzegory, B. Lucznik, G. Nowak, M. Wroblewski, A. Presz, S. Gierlotka, S. Stelmach, B. Palosz, S. Porowski, P. Zinn: J. Phys. Chem. Solids 59, 289 (1998) 32.119 A. D. Mah, E. G. King, W. W. Weller, A. U. Christensen: Bur. Mines, Rept. Invest. RI-5716, 18 (1961) 32.120 V. P. Glushko, L. V. Gurevich, G. A. Bergman, I. V. Weitz, V. A. Medvedev, G. A. Chachkurov, V. S. and Yungman: Thermodinamicheskiie Swoistwa Indiwidualnych Weshchestw (the old USSR), Vol. 1 (Nauka, Moscow 1979) p. 164 32.121 I. Basin, O. Knacke, O. Kubaschewski: Thermochemical Properties of Inorganic Substances (Springer, Berlin, Heidelberg 1977) 32.122 V. I. Koshchenko, A. F. Demidienko, L. D. Sabanova, V. E. Yachmenev, V. E. Gran, A. E. Radchenko: Inorg. Mater. 15, 1329 (1979) 32.123 S. Krukowski, M. Leszczynski, S. Porowski: Thermal properties of the Group III nitrides. In: Properties, Processing and Applications of Gallium Nitride and Related Semiconductors, EMIS Datareviews Series, No. 23, ed. by J. H. Edgar, S. Strite, I. Akasaki, H. Amano, C. Wetzel (INSPEC, The Institution of Electrical Engineers, Stevenage, UK 1999) p. 23 32.124 A. F. Demidienko, V. I. Koshchenko, L. D. Sabanova, V. E. Gran: Russ. J. Phys. Chem. 49, 1585 (1975) 32.125 J. C. Nipko, C.-K. Loong, C. M. Balkas, R. F. Davis: Appl. Phys. Lett. 73, 34 (1998) 32.126 V. Davydov, A. Klochikhin, S. Ivanov, J. Aderhold, A. Yamamoto: Growth and properties of InN. In: Nitride Semiconductors - Handbook on Materials and Devices, ed. by P. Ruterana, M. Albrecht, J. Neugebauer (Wiley, New York 2003) 32.127 D. L. Rode, R. K. Willardson, A. C. Beer (Eds.): Semiconductors and Semimetals, Vol. 10 (Academic, New York 1975) pp. 1–90 32.128 K. Seeger: Semiconductor Physics, 2 edn. (Springer, Berlin Heidelberg New York 1982) 32.129 V. W. L. Chin, T. L. Tansley, T. Osotchan: J. Appl. Phys. 75, 7365 (1994) 32.130 D. L. Rode, D. K. Gaskill: Appl. Phys. Lett. 66, 1972 (1995) 32.131 M. E. Lin, B. Sverdlov, G. L. Zhou, H. Morkoc¸: Appl. Phys. Lett. 62, 3479 (1993) 32.132 H. M. Ng, D. Doppalapudi, T. D. Moustakas, N. G. Weimann, L. F. Eastman: Appl. Phys. Lett. 73, 821 (1998) 32.133 N. G. Weimann, L. F. Eastman, D. Doppalapudi, H. M. Ng, T. D. Moustakas: J. Appl. Phys. 83, 3656 (1998) 32.134 D. C. Look, J. R. Sizelove: Phys. Rev. Lett. 82, 1237 (1999)
32.135 H. W. Choi, J. Zhang, S. J. Chua: Mater. Sci. Semicond. Process. 4, 567 (2001) 32.136 J. Y. Shi, L. P. Yu, Y. Z. Wang, G. Y. Zhang, H. Zhang: Appl. Phys. Lett. 80, 2293 (2002) 32.137 Z. Q. Fang, D. C. Look, W. Kim, Z. Fan, A. Botchkarev, H. Morkoc: Appl. Phys. Lett. 72, 2277 (1998) 32.138 K. Wook, A. E. Botohkarev, H. Morkoc, Z. Q. Fang, D. C. Look, D. J. Smith: J. Appl. Phys. 84, 6680 (1998) 32.139 Q. S. Zhu, N. Sawaki: Appl. Phys. Lett. 76, 1594 (2000) 32.140 Z. Chen, Y. Yuan, Da-C. Lu, X. Sun, S. Wan, X. Liu, P. Han, X. Wang, Q. Zhu, Z. Wang: Solid State Electron. 46, 2069 (2002) 32.141 S. Nakamura: Jpn. J. Appl. Phys. 30, L1705 (1991) 32.142 W. Götz, L. T. Romano, J. Walker, N. M. Johnson, R. J. Molnar: Appl. Phys. Lett. 72, 1214 (1998) 32.143 D. C. Look, R. J. Molnar: Appl. Phys. Lett. 70, 3377 (1997) 32.144 S. Nakamura, T. Mukai, M. Senoh: Jpn. J. Appl. Phys. 31, 2883 (1992) 32.145 S. Nakamura, T. Mukai, M. Senoh: J. Appl. Phys. 71, 5543 (1992) 32.146 S. C. Binari, H. C. Dietrich: In: GaN and Related Materials, ed. by S. J. Pearton (Gordon and Breach, New York 1997) pp. 509–534 32.147 F. Yun, M. A. Reshchikov, K. Jones, P. Visconti, S. S. Park, K. Y. Lee: Solid State Electron. 44, 2225 (2000) 32.148 B. Heying, I. Smorchkova, C. Poblenz, C. Elsass, P. Fini, S. Den Baars, U. Mishra, J. S. Speck: Appl. Phys. Lett. 77, 2885 (2000) 32.149 D. D. Koleske, R. L. Henry, M. E. Twigg, J. C. Culbertson, S. C. Binari, A. E. Wickenden, M. Fatemi: Appl. Phys. Lett. 80, 4372 (2000) 32.150 S. Nakamura, T. Mukai: Jpn. J. Appl. Phys. 31, L1457 (1992) 32.151 W. Götz, N. M. Johnson, C. Chen, H. Liu, C. Kuo, W. Imler: Appl. Phys. Lett. 68, 3144 (1996) 32.152 M. Ilegams, H. C. Montgomery: J. Phys. Chem. Solids 34, 885 (1973) 32.153 M. A. Littlejohn, J. R. Hauser, M. Glisson: Appl. Phys. Lett. 26, 625 (1975) 32.154 U. V. Bhapkar, M. S. Shur: J. Appl. Phys. 82, 1649 (1997) 32.155 J. D. Albrecht, R. P. Wang, P. P. Ruden, M. Farahmand, K. F. Brennan: J. Appl. Phys. 83, 1446 (1998) 32.156 S. Dhar, S. Ghosh: J. Appl. Phys. 86, 2668 (1999) 32.157 J. G. Kim, A. C. Frenkel, H. Liu, R. M. Park: Appl. Phys. Lett. 65, 91 (1994) 32.158 R. J. Molnar, W. Götz, L. T. Romano, N. M. Johnson: J. Cryst. Growth 178, 147 (1997) 32.159 S. Yamaguchi, M. Kariya, S. Nitta, T. Takeuchi, C. Wetzel, H. Amano, I. Akasaki: J. Appl. Phys. 85, 7682 (1999) 32.160 H. Morkoc¸: IEEE J. Select. Top. Quant. Electron. 4, 537 (1998)
Group III Nitrides
32.186 C. R. Abernathy, S. J. Pearton, F. Ren, P. W. Wisk: J. Vac. Sci. Technol. B 11, 179 (1993) 32.187 W. R. Bryden, S. A. Ecelberger, M. E. Hawley, T. J. Kistenmacher: MRS Proc. 339, 497 (1994) 32.188 T. Maruyama, T. Morishita: J. Appl. Phys. 76, 5809 (1994) 32.189 H. Lu, W. J. Schaff, J. Hwang, H. Wu, W. Yeo, A. Pharkya, L. F. Eastman: Appl. Phys. Lett. 77, 2548 (2000) 32.190 Y. Saito, N. Teraguchi, A. Suzuki, T. Araki, Y. Nanishi: Jpn. J. Appl. Phys. 40, L91 (2001) 32.191 J. Aderhold, V. Yu. Davydov, F. Fedler, H. Klausing, D. Mistele, T. Rotter, O. Semchinova, J. Stemmer, J. Graul: J. Cryst. Growth 221, 701 (2001) 32.192 H. Lu, W. J. Schaff, J. Hwang, H. Wu, G. Koley, L. F. Eastman: Appl. Phys. Lett. 79, 1489 (2001) 32.193 Motlan, E. M. Goldys, T. L. Tansley: J. Cryst. Growth 241, 165 (2002) 32.194 Y. Saito, T. Yamaguchi, H. Kanazawa, K. Kano, T. Araki, Y. Nanishi, N. Teraguchi, A. Suzuki: J. Cryst. Growth 237–239, 1017 (2002) 32.195 M. Higashiwaki, T. Matsui: Jpn. J. Appl. Phys. 41, L540 (2002) 32.196 H. W. Lu, J. Schaff, L. F. Eastman, J. Wu, W. Walukiewicz, K. M. Yu, J. W. Auger III, E. E. Haller, O. Ambacher: Conference Digest of the 44th Electronic Materials Conference, Santa Barbara, p. 2 (2002) 32.197 M. Higashiwaki, T. Matsui: J. Cryst. Growth 252, 128 (2003) 32.198 T. L. Tansley, C. P. Foley, J. S. Blakemore (Ed.): Proc. 3rd Int. Conf. on Semiinsulating III–V Materials, Warm Springs, OR 1984 (Shiva, London 1985) 32.199 T. L. Tansley, R. J. Egan, E. C. Horrigan: Thin Solid Films 164, 441 (1988) 32.200 C. Stampfl, C. G. Van de Walle, D. Vogel, P. Kruger, J. Pollmann: Phys. Rev. BR 61, 7846 (2000) 32.201 D. C. Look, H. Lu, W. J. Schaff, J. Jasinski, Z. Liliental-Weber: Appl. Phys. Lett. 80, 258 (2002) 32.202 B. E. Foutz, S. K. O’Leary, M. S. Shur, L. F. Eastman: J. Appl. Phys. 85, 7727 (1999) 32.203 J. D. Albrecht, R. P. Wang, P. P. Ruden, M. Farahmand, K. F. Brennan: J. Appl. Phys. 83, 4777 (1998) 32.204 S. K. O’Leary, B. E. Foutz, M. S. Shur, U. V. Bhapkar, L. F. Eastman: Solid State Commun. 105, 621 (1998) 32.205 B. Gelmont, K. Kim, M. Shur: J. Appl. Phys. 74, 1818 (1993) 32.206 N. S. Mansour, K. W. Kim, M. A. Littlejohn: J. Appl. Phys. 77, 2834 (1995) 32.207 J. Kolnik, I. H. Oguzman, K. F. Brennan, R. Wang, P. P. Ruden, Y. Wang: J. Appl. Phys. 78, 1033 (1995) 32.208 M. Shur, B. Gelmont, M. A. Khan: J. Electron. Mater. 25, 777 (1996) 32.209 S. K. O’Leary, B. E. Foutz, M. S. Shur, U. V. Bhapkar, L. F. Eastman: J. Appl. Phys. 83, 826 (1998) 32.210 E. Bellotti, B. K. Doshi, K. F. Brennan, J. D. Albrecht, P. P. Ruden: J. Appl. Phys. 85, 916 (1999)
799
Part D 32
32.161 D. C. Look, D. C. Reynolds, J. W. Hemsky, J. R. Sizelove, R. L. Jones, R. J. Molnar: Phys. Rev. Lett. 79, 2273 (1997) 32.162 S. Keller, B. P. Keller, Y. F. Wu, B. Heying, D. Kapolnek, J. S. Speck, U. K. Mishra, S. P. Den Baars: Appl. Phys. Lett. 68, 1525 (1996) 32.163 S. Nakamura, T. Mukhai, M. Senoh: J. Appl. Phys. 75, 7365 (1997) 32.164 M. A. Khan, R. A. Skogman, R. G. Schulze, M. Gershenzon: Appl. Phys. Lett. 42, 430 (1983) 32.165 I. Akasaki, H. Amano: Mater. Res. Soc. Symp. Proc. 242, 383 (1992) 32.166 T. Matsuoka: Mater. Res. Soc. Symp. Proc. 395, 39 (1995) 32.167 S. Sinharoy, A. K. Aggarwal, G. Augustine, L. B. Rawland, R. L. Messham, M. C. Driver, R. H. Hopkins: Mater. Res. Soc. Symp. Proc. 395, 157 (1995) 32.168 R. J. Molnar, R. Aggarwal, Z. L. Lian, E. R. Brown, I. Melngailis, W. Götz, L. T. Romano, N. M. Johnson: Mater. Res. Soc. Symp. Proc. 395, 157 (1995) 32.169 T. T. Mnatsakanov, M. E. Levinshtein, L. I. Pomortseva, S. N. Yurkov, G. S. Simin, M. A. Khan: Solid State Electron. 47, 111 (2003) 32.170 D. M. Caughey, R. E. Thomas: Proc. IEEE 55, 2192 (1967) 32.171 J. Edwards, K. Kawabe, G. Stevens, R. H. Tredgold: Solid State Commun. 3, 99 (1965) 32.172 K. Kawabe, R. H. Tredgold, Y. Inyishi: Electr. Eng. Jpn. 87, 62 (1967) 32.173 G. A. Cox, D. O. Cummins, K. Kawabe, R. H. Tredgold: J. Phys. Chem. Solilds 28, 543 (1967) 32.174 W. M. Yim, E. J. Stotko, P. J. Zanzucchi, J. Pankove, M. Ettenberg, S. L. Gilbert: J. Appl. Phys. 44, 292 (1973) 32.175 S. Yoshida, S. Misawa, Y. Fujii, S. Takada, H. Hayakawa, S. Gonda, A. Itoh: J. Vac. Sci. Technol. 16, 990 (1979) 32.176 A. G. Gorbatov, V. M. Kamyshoc: Sov. Powder, Metall. Met. Ceram. 9, 917 (1970) 32.177 R. F. Rutz: Appl. Phys. Lett. 28, 379 (1976) 32.178 R. F. Rutz, E. P. Harrison, J. J. Cuome: IBMJ. Res. Sev. 17, 61 (1973) 32.179 A. Fara, F. Bernadini, V. Fiorentini: J. Appl. Phys. 85, 2001 (1999) 32.180 K. Wongchotigul, N. Chen, D. P. Zhang, X. Tang, M. G. Spencer: Mater. Lett. 26, 223 (1996) 32.181 H. J. Hovel, J. J. Cuomo: Appl. Phys. Lett. 20, 71 (1972) 32.182 T. L. Tansley, C. P. Foley: Electron. Lett. 20, 1066 (1984) 32.183 M. J. Brett, K. L. Westra: Thin Solid Films 192, 227 (1990) 32.184 J. S. Morgan, T. J. Kistenmacher, W. A. Bryden, S. A. Ecelberger: Proc. Mater. Res. Soc. 202, 383 (1991) 32.185 T. J. Kistenmacher, W. A. Bryden: Appl. Phys. Lett. 59, 1844 (1991)
References
800
Part D
Materials for Optoelectronics and Photonics
Part D 32
32.211 C. G. Rodrigues, V. N. Freire, A. R. Vasconcellos, R. Luzzi: Appl. Phys. Lett. 76, 1893 (2000) 32.212 M. Wraback, H. Shen, S. Rudin, E. Bellotti: Phys. Stat. Sol. (b) 234, 810 (2002) 32.213 R. Collazo, R. Schesser, Z. Sitar: Appl. Phys. Lett. 81, 5189 (2002) 32.214 B. Monemar, U. Lindefelt, W. M. Chen: Physica B 146, 256 (1987) 32.215 M. Tchounkeu, O. Briot, B. Gil, J. P. Alexis, R. L. Aulombard: J. Appl. Phys. 80, 5352 (1996) 32.216 R. Dingle, D. D. Sell, S. E. Stokowski, M. Ilegems: Phys. Rev. B 4, 1211 (1971) 32.217 E. L. Ivchenko: Excitons (North Holland, Amsterdam 1982) p. 141 32.218 B. Monemar, J. P. Bergman, I. A. Buyanova: Optical characterization of GaN and related materials. In: GaN and Related Material, ed. by S. J. Pearton (Golden and Breach, Amsterdam 1997) p. 85 32.219 J. J. Song, W. Shan: In: Group III Nitride Semiconductor Compounds, ed. by B. Gil (Clarendon, Oxford 1998) pp. 182–241 32.220 B. Monemar, J. P. Bergman, I. A. Buyanova: In: GaN and Related Materials Semiconductor Compounds, ed. by S. J. Pearton (Gordon and Breach, New York 1998) pp. 85–139 32.221 B. Monemar: Phys. Rev. B 10, 676 (1974) 32.222 B. Gil, O. Briot, R. L. Aulombard: Phys. Rev. B 52, R17028 (1995) 32.223 W. Shan, B. D. Little, A. J. Fischer, J. J. Song, B. Goldenberg, W. G. Perry, M. D. Bremser, R. F. Davis: Phys. Rev. B 54, 16369 (1996) 32.224 M. Smith, G. D. Chen, J. Y. Lin, H. X. Jiang, A. Salvador, W. K. Kim, O. Aktas, A. Botchkarev, H. Morkoc¸: Appl. Phys. Lett. 67, 3387 (1995) 32.225 K. P. Korona, A. Wysmolek, K. Pakula, R. Stepniewski, J. M. Baranowski, I. Grzegory, B. Lucznik, M. Wroblewski, S. Porowski: Appl. Phys. Lett. 69, 788 (1996) 32.226 K. Kornitzer, T. Ebner, M. Grehl, K. Thonke, R. Sauer, C. Kirchner, V. Schwegler, M. Kamp, M. Leszczynski, I. Grzegory, S. Porowski: Phys. Stat. Sol. (b) 216, 5 (1999) 32.227 B. J. Skromme, K. Palle, C. D. Poweleit, H. Yamane, M. Aoki, F. J. Disalvo: J. Cryst. Growth 246, 299 (2002) 32.228 L. Eckey, L. Podloswski, A. Goldner, A. Hoffmann, I. Broser, B. K. Meyer, D. Volm, T. Streibl, K. Hiramatsu, T. Detcprohm, H. Amano, I. Akasaki: Ins. Phys. Conf. Ser. 142, 943 (1996) 32.229 K. Pakula, A. Wysmolek, K. P. Korona, J. M. Baranowski, R. Stepniewski, I. Grzegory, M. Bockowski, J. Jun, S. Krukowski, M. Wroblewski, S. Porowski: Solid State Commun. 97, 919 (1996) 32.230 A. V. Rodina, M. Dietrich, A. Goldner, L. Eckey, A. L. L. Efros, M. Rosen, A. Hoffmann, B. K. Meyer: Phys. Stat. Sol. (b) 216, 216 (1999)
32.231 A. Shikanai, T. Azuhata, T. Sota, S. Chichibu, A. Kuramata, K. Horino, S. Nakamura: J. Appl. Phys. 81, 417 (1997) 32.232 B. Monemar, J. P. Bergman, I. A. Buyanova, W. Li, H. Amano, I. Akasaki: MRS Int. J. Nitride Semicond. Res. 1, 2 (1996) 32.233 S. F. Chichibu, K. Torii, T. Deguchi, T. Sota, A. Setoguchi, H. Nakanishi, T. Azuhata, S. Nakamura: Appl. Phys. Lett. 76, 1576 (2000) 32.234 J. F. Muth, J. H. Lee, I. K. Shmagin, R. M. Kolbas, H. C. Casey Jr., B. P. Keller, U. K. Mishra, S. P. DenBaars: Appl. Phys. Lett. 71, 2572 (1997) 32.235 R. Stepniewski, K. P. Korona, A. Wysmolek, J. M. Baranowski, K. Pakula, M. Potemski, G. Martinez, I. Grzegory, S. Porowski: Phys. Rev. B 56, 15151 (1997) 32.236 W. Shan, A. J. Fischer, S. J. Hwang, B. D. Little, R. J. Hauenstein, X. C. Xie, J. J. Song, D. S. Kim, B. Goldenberg, R. Horning, S. Krishnankutty, W. G. Perry, M. D. Bremser, R. F. Davis: J. Appl. Phys. 83, 455 (1998) 32.237 B. Gil, S. Clur, O. Briot: Solid State Commun. 104, 267 (1997) 32.238 W. Shan, R. J. Hauenstein, A. J. Fischer, J. J. Song, W. G. Perry, M. D. Bremser, R. F. Davis, B. Goldenberg: Appl. Phys. Lett. 66, 985 (1995) 32.239 C. F. Li, Y. S. Huang, L. Malikova, F. H. Pollak: Phys. Rev. B 55, 9251 (1997) 32.240 A. K. Viswanath, J. I. Lee, D. Kim, C. R. Lee, J. Y. Leam: Phys. Rev. B 58, 16333 (1998) 32.241 S. Chichibu, T. Azuhata, T. Sota, S. Nakamura: J. Appl. Phys. 79, 2784 (1996) 32.242 M. Smith, G. D. Chen, J. Y. Lin, H. X. Jiang, M. A. Khan, C. J. Sun, Q. Chen, J. W. Yang: J. Appl. Phys. 79, 7001 (1996) 32.243 M. Smith, G. D. Chen, J. Y. Lin, H. X. Jiang, A. Salvador, B. N. Sverdlov, A. Botchkarev, H. Morkoc¸: Appl. Phys. Lett. 66, 3474 (1995) 32.244 F. Hamdani, A. Botchkarev, H. Tang, W. K. Kim, H. Morkoc¸: Appl. Phys. Lett. 71, 3111 (1997) 32.245 F. Hamdani, A. Botchkarev, W. Kim, H. Morkoc¸, M. Yeadon, J. M. Gibson, S. C. Y. Tsen, D. J. Smith, D. C. Reynolds, D. C. Look, K. Evans, C. W. Litton, W. C. Mitchel, P. Hemenger: Appl. Phys. Lett. 70, 467 (1997) 32.246 W. Shan, A. J. Fischer, J. J. Song, G. E. Bulman, H. S. Kong, M. T. Leonard, W. G. Perry, M. D. Bremser, B. Goldenberg, R. F. Davis: Appl. Phys. Lett. 69, 740 (1996) 32.247 S. Chichibu, T. Azuhata, T. Sota, H. Amano, I. Akasaki: Appl. Phys. Lett. 70, 2085 (1997) 32.248 I. A. Buyanova, J. P. Bergman, B. Monemar, H. Amano, I. Akasaki: Appl. Phys. Lett. 69, 1255 (1996) 32.249 B. J. Skromme, K. C. Palle, C. D. Poweleit, H. Yamane, M. Aoki, F. J. Disalvo: Appl. Phys. Lett. 81, 3765 (2002)
Group III Nitrides
32.268 S. Pau, J. Kuhl, M. A. Khan, C. J. Sun: Phys. Rev. B 58, 12916 (1998) 32.269 G. Pozina, J. P. Bergman, T. Paskova, B. Monemar: Appl. Phys. Lett. 75, 412 (1999) 32.270 M. Leroux, B. Beaumont, N. Grandjean, P. Lorenzini, S. Haffouz, P. Vennegues, J. Massies, P. Gibart: Mater. Sci. Eng. B 50, 97 (1997) 32.271 M. Leroux, N. Grandjean, B. Beaumont, G. Nataf, F. Semond, J. Massies, P. Gibart: J. Appl. Phys. 86, 3721 (1999) 32.272 B. J. Skromme, G. L. Martinez: Mater. Res. Soc. Symp. 595, W9.8. (1999) 32.273 D. C. Reynolds, D. C. Look, B. Jogai, V. M. Phanse, R. P. Vaudo: Solid State Commun. 103, 533 (1997) 32.274 B. Santic, C. Merz, U. Kaufmann, R. Niebuhr, H. Obloh, K. Bachem: Appl. Phys. Lett. 71, 1837 (1997) 32.275 A. K. Viswanath, J. I. Lee, S. Yu, D. Kim, Y. Choi, C. H. Hong: J. Appl. Phys. 84, 3848 (1998) 32.276 R. A. Mair, J. Li, S. K. Duan, J. Y. Lin, H. X. Jiang: Appl. Phys. Lett. 74, 513 (1999) 32.277 D. G. Thomas, J. J. Hopfield: Phys. Rev. 128, 2135 (1962) 32.278 H. Saito, S. Shionoya, E. Hanamura: Solid State Commun. 12, 227 (1973) 32.279 U. Kaufmann, M. Kunzer, C. Merz, I. Akasaki, H. Amano: Mater. Res. Soc. Symp. Proc. 395, 633 (1996) 32.280 K. P. Korona, J. P. Bergman, B. Monemar, J. M. Baranowski, K. Pakula, L. Grzegory, S. Porowski: Mater. Sci. Forum 258-263, 1125 (1997) 32.281 G. Neu, M. Teisseire, N. Grandjean, H. Lahreche, B. Beaumont, I. Grzegory, S. and Porowski: Proc. Phys. 87, 1577 (2001) 32.282 C. H. Henry, K. Nassau: Phys. Rev. B 1, 1628 (1970) 32.283 O. Lagerstedt, B. Monemar: J. Appl. Phys. 45, 2266 (1974) 32.284 R. Dingle, M. Ilegems: Solid State Commun. 9, 175 (1971) 32.285 S. Fischer, C. Wetzel, E. E. Haller, B. K. Meyer: Appl. Phys. Lett. 67, 1298 (1995) 32.286 M. A. L. Johnson, Z. Yu, C. Boney, W. C. Hughes, J. W. Cook Jr, J. F. Schetzina, H. Zao, B. J. Skromme, J. A. Edmond: MRS Proc. 449, 271 (1997) 32.287 M. Smith, G. D. Chen, J. Y. Lin, H. X. Jiang, A. Salvador, B. N. Sverdlov, A. Botchkarev, H. Morkoc, B. Goldenberg: Appl. Phys. Lett. 68, 1883 (1996) 32.288 G. D. Chen, M. Smith, J. Y. Lin, H. X. Jiang, A. Salvador, B. N. Sverdlov, A. Botchkarev, H. Morkoc: J. Appl. Phys. 79, 2675 (1995) 32.289 T. Ogino, M. Aoki: Jpn. J. Appl. Phys. 19, 2395 (1980) 32.290 K. Saarinen, T. Laine, S. Kuisma, J. Nissilä, P. Hautojärvi, L. Dobrzynski, J. M. Baranowski, K. Pakula, R. Stepniewski, M. Wojdak, A. Wysmolek, T. Suski, M. Leszczynski, I. Grzegory, S. Porowski: Phys. Rev. Lett. 79, 3030 (1997)
801
Part D 32
32.250 Y. S. Huang, Fred H. Pollak, S. S. Park, K. Y. Lee, H. Morkoc¸: J. Appl. Phys. 94, 899 (2003) 32.251 B. K. Meyer: In: Free and Bound Excitons in GaN Epitaxial Films, MRS Proc., Vol. 449, ed. by F. A. Ponce, T. D. Moustakas, I. Akasaki, B. A. Monemar (Materials Research Society, Pittsburgh, Pennsylvania 1997) p. 497 32.252 B. Monemar, J. P. Bergman, I. A. Buyanova, H. Amano, I. Akasaki, T. Detchprohm, K. Hiramatsu, N. Sawaki: Solid State Electron. 41, 239 (1995) 32.253 D. Kovalev, B. Averboukh, D. Volm, B. K. Meyer: Phys. Rev. B 54, 2518 (1996) 32.254 G. D. Chen, M. Smith, J. Y. Lin, H. X. Jiang, S.-H. Wei, M. A. Khan, C. J. Sun: Appl. Phys. Lett. 68, 2784 (1996) 32.255 G. Mahler, U. Schroder: Phys. Stat. Sol. (b) 61, 629 (1974) 32.256 B. Monemar: In: Gallium Nitride I, ed. by J. I. Pankove, T. D. Moustakas (Academic, San Diego 1998) p. 305 32.257 D. C. Reynolds, D. C. Look, W. Kim, O. Aktas, A. Botchkarev, A. Salvador, H. Morkoc¸, D. N. Talwar: J. Appl. Phys. 80, 594 (1996) 32.258 R. Stepniewski, M. Potemski, A. Wysmolek, K. Pakula, J. M. Baranowski, J. Lusakowski, I. Grzegory, S. Porowski, G. Martinez, P. Wyder: Phys. Rev. B 60, 4438 (1999) 32.259 G. Neu, M. Teisseire, E. Frayssinet, W. Knap, M. L. Sadowski, A. M. Witowski, K. Pakula, M. Leszczynski, P. Prystawsko: Appl. Phys. Lett. 77, 1348 (2000) 32.260 J. M. Baranowski, Z. Liliental-Weber, K. Korona, K. Pakula, R. Stepniewski, A. Wysmolek, I. Grzegory, G. Nowak, S. Porowski, B. Monemar, P. Bergman: III–V Nitrides, Vol. 449 (MRS Proc., Pittsburg, PA 1997) p. 393 32.261 J. M. Baranowski and S. Porowski, Proc. 23rd Int. Conf. on Physics of Semiconductors, Berlin, p.497 (1996) 32.262 S. J. Pearton, C. R. Abernathy, J. W. Lee, C. B. Vartuli, C. B. Mackenzi, J. D. Ren, R. G. Wilson, J. M. Zavada, R. J. Shul, J. C. Zolper: Mater. Res. Soc. Symp. Proc. 423, 124 (1996) 32.263 B. Monemar: J. Mater. Sci.: Mater. Electron. 10, 227 (1999) 32.264 M. Reshchikov, D. Huang, F. Yun, L. He, H. Morkoc¸, D. C. Reynolds, S. S. Park, K. Y. Lee: Appl. Phys. Lett. 79, 3779 (2001) 32.265 A. K. Viswanath: Semicond. Semimetals 73, 63 (2002) 32.266 B. Monemar, P. P. Paskov, T. Paskova, J. P. Bergman, G. Pozina, W. M. Chan, P. N. Hai, I. A. Buyanova, H. Amano, I. Akasaki: Mater. Sci. Eng. B 93, 112 (2002) 32.267 G. Pozina, N. V. Edwards, J. P. Bergman, T. Paskova, B. Monemar, M. D. Bremser, R. F. Davis: Appl. Phys. Lett. 78, 1062 (2001)
References
802
Part D
Materials for Optoelectronics and Photonics
Part D 32
32.291 J. Neugebauer, C. G. Van de Walle: Appl. Phys. Lett. 69, 503 (1996) 32.292 T. Mattila, R. M. Nieminen: Phys. Rev. 55, 9571 (1997) 32.293 E. R. Glaser, T. A. Kennedy, K. Doverspike, L. B. Rowland, D. K. Gaskill, J. A. Freitas Jr, M. Asif Khan, D. T. Olson, J. N. Kuznia, D. K. Wickenden: Phys. Rev. B 51, 13326 (1995) 32.294 P. Perlin, T. Suski, H. Teisseyre, M. Leszczynski, I. Grzegory, J. Jun, S. Porowski, P. Boguslawski, J. Berholc, J. C. Chervin, A. Polian, T. D. Moustakas: Phys. Rev. Lett. 75, 296 (1995) 32.295 R. Zhang, T. F. Kuech: Appl. Phys. Lett. 72, 1611 (1998) 32.296 I. Shalish, L. Kronik, G. Segal, Y. Rosenwaks, Y. Shapira, U. Tisch, J. Salzman: Phys. Rev. B 59, 9748 (1999) 32.297 E. Calleja, F. J. Sanchez, D. Basak, M. A. SanchezGarsia, E. Munoz, I. Izpura, F. Calle, J. M. G. Tijero, J. L. Sanchez-Rojas, B. Beaumont, P. Lorenzini, P. Gibart: Phys. Rev. B 55, 4689 (1997) 32.298 M. A. Reshchikov, F. Shahedipour, R. Y. Korotkov, M. P. Ulmer, B. W. Wessels: Physica B 273-274, 103 (1999) 32.299 J. Elsner, R. Jones, M. I. Heggie, P. K. Sitch, M. Haugk, Th. Frauenheim, S. Öberg, P. R. Briddon: Phys. Rev. B 58, 12571 (1998) 32.300 F. A. Ponce, D. P. Bour, W. Gotz, P. J. Wright: Appl. Phys. Lett. 68, 57 (1996) 32.301 D. M. Hofmann, D. Kovalev, G. Steude, B. K. Meyer, A. Hoffmann, L. Eckey, R. Heitz, T. Detchprom, H. Amano, I. Akasaki: Phys. Rev. B 52, 16702 (1995) 32.302 R. Y. Korotkov, M. A. Reshchikov, B. W. Wessels: Physica B 273-274, 80 (1999) 32.303 D. G. Thomas, J. J. Hopfield, W. M. Augustyniak: Phys. Rev. A 140, 202 (1965) 32.304 R. Seitz, C. Gaspar, T. Monteiro, E. Pereira, M. Leroux, B. Beaumont, P. Gibart: MRS Internet J. Nitride Semicond. Res. 2, article 36 (1997) 32.305 M. Godlewski, V. Yu. Ivanov, A. Kaminska, H. Y. Zuo, E. M. Goldys, T. L. Tansley, A. Barski, U. Rossner, J. L. Rouvicre, M. Arlery, I. Grzegory, T. Suski, S. Porowski, J. P. Bergman, B. Monemar: Mat. Sci. Forum 258-263, 1149 (1997) 32.306 H. Haag, B. Hönerlage, O. Briot, R. L. Aulombard: Phys. Rev. B 60, 11624 (1999) 32.307 M. A. Reshchikov, F. Yun, H. Morkoc¸, S. S. Park, K. Y. Lee: Appl. Phys. Lett. 78, 2882 (2001) 32.308 S. Pacesova, L. Jastrabik: Czech. J. Phys. B 29, 913 (1979) 32.309 R. A. Youngman, J. H. Harris: J. Am. Ceram. Soc. 73, 3238 (1990) 32.310 J. H. Harris, R. A. Youngman, R. G. Teller: J. Mater. Res. 5, 1763 (1990) 32.311 M. Katsikini, E. C. Paloura, T. S. Cheng, C. T. Foxon: J. Appl. Phys. 82, 1166 (1997)
32.312 J. Pastrnak, S. Pacesova, L. Roskovcova: Czech. J. Phys. B24, 1149 (1974) 32.313 F. Karel, J. Pastrnak, J. Hejduk, V. Losik: Phys. Stat. Sol. 15, 693 (1966) 32.314 F. Karel, J. Mares: Czech. J. Phys. B 22, 847 (1972) 32.315 G. E. Archangelskii, F. Karel, J. Mares, S. Pacesova, J. Pastrnak: Phys. Stat. Sol. 69, 173 (1982) 32.316 R. G. Wilson, R. N. Schwartz, C. R. Abernathy, S. J. Pearton, N. Newman, M. Rubin, T. Fu, J. M. Zavada: Appl. Phys. Lett. 65, 992 (1994) 32.317 J. D. MacKenzie, C. R. Abernathy, S. J. Pearton, U. Hömmerich, X. Wu, R. N. Schwartz, R. G. Wilson, J. M. Zavada: Appl. Phys. Lett. 69, 2083 (1996) 32.318 X. Wu, U. Hömmerich, J. D. MacKenzie, C. R. Abernathy, S. J. Pearton, R. G. Wilson, R. N. Schwartz, J. M. Zavada: J. Lumin. 72-74, 284 (1997) 32.319 S. J. Pearton, J. D. MacKenzie, C. R. Abernathy, U. Hömmerich, X. Wu, R. G. Wilson, R. N. Schwartz, J. M. Zavada, F. Ren: Appl. Phys. Lett. 71, 1807 (1997) 32.320 J. Li, K. B. Nam, M. L. Nakarmi, J. Y. Lin, H. X. Jiang: Appl. Phys. Lett. 81, 3365 (2002) 32.321 E. Kuokstis, J. Zhang, Q. Fareed, J. W. Yang, G. Simin, M. A. Khan, R. Gaska, M. Shur, C. Rojo, L. Schowalter: Appl. Phys. Lett. 81, 2755 (2002) 32.322 K. B. Nam, J. Li, M. L. Nakarmi, J. Y. Lin, H. X. Jiang: Appl. Phys. Lett. 82, 1694 (2003) 32.323 T. Onuma, S. F. Chichibu, T. Sota, K. Asai, S. Sumiya, T. Shibata, M. Tanaka: Appl. Phys. Lett. 81, 652 (2002) 32.324 M. Morita, K. Tsubouchi, N. Mikoshiba: Jpn. J. Appl. Phys. 21, 1102 (1982) 32.325 F. R. B. Hossain, X. Tang, K. Wongchotigul, M. G. Spencer: Proc. SPIE 42, 2877 (1996) 32.326 X. Tang, F. R. B. Hossian, K. Wongchotigul, M. G. Spencer: Appl. Phys. Lett. 72, 1501 (1998) 32.327 Y. Shishkin, R. P. Devaty, W. J. Choyke, F. Yun, T. King, H. Morkoc¸: Phys. Stat. Sol. (a) 188, 591 (2001) 32.328 Q. Guo, A. Yoshida: Jpn. J. Appl. Phys. 33, 2453 (1994) 32.329 P. B. Perry, R. F. Rutz: Appl. Phys. Lett. 33, 319 (1978) 32.330 J. Pasternak, L. Roskovcova: Phys. Stat. Sol. 26, 591 (1968) 32.331 T. Yodo, H. Yona, H. Ando, D. Nosei, Y. Harada: Appl. Phys. Lett. 80, 968 (2002) 32.332 J. Bauer, L. Biste, D. Bolze: Phys. Stat. Sol. 39, 173 (1977) 32.333 R. G. Gordon, D. M. Hoffmann, U. Riaz: J. Mater. Res. 6, 5 (1991) 32.334 H. Demiryont, L. R. Thompson, G. J. Collins: Appl. Opt. 25, 1311 (1986) 32.335 W. J. Meng, J. A. Sell, G. L. Eesley: J. Appl. Phys. 74, 2411 (1993) 32.336 I. Akasaki, M. Hashimoto: Solid State Commun. 5, 851 (1967) 32.337 A. T. Collins, E. C. Lightowlers, P. J. Dean: Phys. Rev. 158, 833 (1967)
Group III Nitrides
32.362 J. Wagner, H. Obloh, M. Kunzer, M. Maier, K. Kohler, B. Johs: J. Appl. Phys. 89, 2779 (2000) 32.363 H. Jiang, G. Y. Zhao, H. Ishikawa, T. Egawa, T. Jimbo, M. J. Umeno: Appl. Phys. 89, 1046 (2001) 32.364 T. J. Ochalski, B. Gil, P. Lefebvre, M. Grandjean, M. Leroux, J. Massies, S. Nakamura, H. Morkoc¸: Appl. Phys. Lett. 74, 3353 (1999) 32.365 M. A. Khan, J. M. Van Hove, J. N. Kuznia, D. T. Olson: Appl. Phys. Lett. 58, 2408 (1991) 32.366 T. Tanaka, A. Watanabe, H. Amano, Y. Kobayashi, I. Akasaki, S. Yamazaki, M. Koike: Appl. Phys. Lett. 65, 593 (1994) 32.367 M. Stutzmann, O. Ambacher, A. Cros, M. S. Brandt, H. Angerer, R. Dimitrov, N. Reinacher, T. Metzger, R. Hopler, D. Brunner, F. Freudenberg, R. Handschuh, Ch. Deger: presented at the E-MRS Straßburg, Symposium L (1997) 32.368 S. Nakamura, T. Mukai: J. Vac. Sci. Technol. A 13, 6844 (1995) 32.369 H. Amano, T. Takeuchi, S. Sota, H. Sakai, I. Akasaki: In: III–V nitrides, Vol. 449, ed. by F. A. Ponce, T. D. Moustakas, I. Akasaki, B. Menemar (MRS Proc., Pittsburgh, Pennsylvania 1997) p. 1143 32.370 K. P. O’Donnell, R. W. Martin, C. Trager-Cowan, M. E. White, K. Esona, C. Deatcher, P. G. Middleton, K. Jacobs, W. van der Stricht, C. Merlet, B. Gil, A. Vantomme, J. F. W. Mosselmans: Mater. Sci. Eng. B 82, 194 (2001) 32.371 T. Nagatomo, T. Kuboyama, H. Minamino, O. Omoto: Jpn. J. Appl. Phys. 28, L1334 (1989) 32.372 W. Shan, W. Walukiewicz, E. E. Haller, B. D. Little, J. J. Song, M. D. McCluskey, N. M. Johnson, Z. C. Feng, M. Schurman, R. A. Stall: J. App. Phys. 84, 4452 (1998) 32.373 S. Pereira, M. R. Correia, T. Monteiro, E. Pereira, E. Alves, A. D. Sequeira, N. Franco: Appl. Phys. Lett. 78, 2137 (2001) 32.374 I. Akasaki, H. Amano: Jpn. J. Appl., Phys. 36, 5393 (1997) 32.375 S. Yamasaki, S. Asami, N. Shibata, M. Koike, K. Manabe, T. Tanaka, H. Amano, I. Akasaki: Appl. Phys. Lett. 66, 1112 (1995) 32.376 N. Yoshimoto, T. Matsuoka, A. Katsui: Appl. Phys. Lett. 59, 2251 (1991) 32.377 S. Nakamura, T. Mukai, M. Seno: Jpn. J. Appl. Phys. 31, L16 (1993) 32.378 S. Nakamura, N. Iwasa, S. Nagahama: Jpn. J. Appl. Phys. 32, L338 (1993) 32.379 K. S. Kim, A. Saxler, P. Kung, R. Razeghi, K. Y. Lim: Appl. Phys. Lett. 71, 800 (1997) 32.380 K. Starosta: Phys. Status Solidi A 68, K55 (1981) 32.381 T. J. Kistenmacher, S. A. Ecelberger, W. A. Bryden: J. Appl. Phys. 74, 1684 (1993) 32.382 S. Yamaguchi, M. Kariya, S. Nitta, T. Takeuchi, C. Wetzel, H. Amano, I. Akasaki: Appl. Phys. Lett. 73, 830 (1998) 32.383 G. Davies: Phys. Rep. 176, 83 (1989)
803
Part D 32
32.338 A. J. Noreika, M. H. Francombe, S. A. Zeitman: J. Vac. Sci. Technol. 6, 194 (1969) 32.339 K. Osamura, N. Nakajima, Y. Murakami, P. H. Shingu, A. Ohtsuki: Solid State Commun. 46, 3432 (1975) 32.340 T. Inushima, T. Yaguchi, A. Nagase, T. Shiraishi: Ins. Phys. Conf. Ser. 142, 971 (1996) 32.341 A. Wakahara, T. Tsuchiya, A. Yoshida: J. Cryst. Growth 99, 385 (1990) 32.342 K. Kubota, Y. Kobayashi, K. Fujimoto: J. Appl. Phys. 66, 2984 (1989) 32.343 T. L. Tansley, C. P. Foley: J. Appl. Phys. 59, 3241 (1986) 32.344 S. Yamaguchi, M. Kariya, S. Nitta, T. Takeuchi, C. Wetzel, H. Amano, I. Akasaki: Appl. Phys. Lett. 76, 876 (2000) 32.345 V. Yu. Davydov, A. A. Klochikhin, R. P. Seisyan, V. V. Emtsev, S. V. Ivanov, F. Bechstedt, J. Furthmuller, H. Harima, A. V. Mudryi, J. Aderhold, O. Semchinova, J. Graul: Phys. Stat. Solidi (b)R 229, 1 (2002) 32.346 T. Inushima, V. V. Mamutin, V. A. Vekshin, S. V. Ivanov, T. Sakon, M. Motokawa, S. Ohoya: J. Crystal Growth 227-228, 481 (2001) 32.347 J. Wu, W. Walukiewicz, K. M. Yu, J. W. Ager III, E. E. Haller, H. Lu, W. J. Schaff: Appl. Phys. Lett. 80, 4741 (2002) 32.348 J. Wu, W. Walukiewicz, W. Shan, K. M. Yu, J. W. Ager III, E. E. Haller, H. Lu, W. J. Schaff: Phys. Rev. B 60, 201403 (2002) 32.349 J. Wu, W. Walukiewicz, K. M. Yu, J. W. Ager III, E. E. Haller, H. Lu, W. J. Schaff, Y. Saito, Y. Nanishi: Appl. Phys. Lett. 80, 3967 (2002) 32.350 K. Osamura, S. Naka, Y. Murakami: J. Appl. Phys. 46, 3432 (1975) 32.351 A. Wakahara, T. Tsuchida, A. Yoshida: Vacuum 41, 1071 (1990) 32.352 K. L. Westra, M. J. Brett: Thin Solid Films 192, 234 (1990) 32.353 J. W. Trainor, K. Rose: J. Electron. Meter. 3, 821 (1974) 32.354 Q. Guo, O. Kato, M. Fujisawa, A. Yoshida: Solid State Commun. 83, 721 (1992) 32.355 Q. Guo, H. Ogawa, A. Yoshida: J. Electron. Spectrosc. Relat. Phenom. 79, 9 (1996) 32.356 V. A. Tyagai, O. V. Snitko, A. M. Evstigneev, A. N. Krasiko: Phys. Stat. Sol. (b) 103, 589 (1981) 32.357 F. Yun, M. A. Reshchikov, L. He, T. King, H. Morkoc¸, S. W. Novak, L. Wei: J. Appl. Phys. Rapid Commun. 92, 4837 (2002) 32.358 S. Yoshida, S. Misawa, S. Gonda: J. Appl. Phys. 53, 6844 (1982) 32.359 S. A. Nikishin, N. N. Faleev, A. S. Zubrilov, V. G. Antipov, H. Temkin: Appl. Phys. Lett. 76, 3028 (2000) 32.360 W. Shan, J. W. Ager III, K. M. Yu, W. Walukiewicz, E. E. Haller, M. C. Martin, W. R. McKinney, W. Yang: J. Appl. Phys. 85, 8505 (1999) 32.361 Ü. Özgür, G. Webb-Wood, H. O. Everitt, F. Yun, H. Morkoc¸: Appl. Phys. Lett. 79, 4103 (2001)
References
804
Part D
Materials for Optoelectronics and Photonics
32.384 W. R. Bryden, T. J. Kistenmacher: Electrical transport properties of InN, GaInN and AlInN. In: Properties of Group III Nitrides, ed. by J. H. Edgar (INSPEC, London 1994) 32.385 S. M. Bedair, F. G. McIntosh, J. C. Roberts, E. L. Piner, K. S. Boutros, N. A. El-Masry: J. Crystal Growth 178, 32 (1997)
32.386 S. N. Mohammad, A. Salvador, H. Morkoc¸: Proc. IEEE 83, 1306 (1995) 32.387 M.-Y. Ryu, C. Q. Chen, E. Kuokstis, J. W. Yang, G. Simin, M. A. Khan: Appl. Phys. Lett. 80, 3730 (2002) 32.388 K. Kim, W. R. L. Lambrecht, B. Segall: Phys. Rev. B 53, 7018 (1997)
Part D 32
805
The III–V nitride semiconductors, gallium nitride, aluminium nitride, and indium nitride, have been recognized as promising materials for novel electronic and optoelectronic device applications for some time now. Since informed device design requires a firm grasp of the material properties of the underlying electronic materials, the electron transport that occurs within these III–V nitride semiconductors has been the focus of considerable study over the years. In an effort to provide some perspective on this rapidly evolving field, in this paper we review analyses of the electron transport within these III–V nitride semiconductors. In particular, we discuss the evolution of the field, compare and contrast results obtained by different researchers, and survey the current literature. In order to narrow the scope of this chapter, we will primarily focus on electron transport within bulk wurtzite gallium nitride, aluminium nitride, and indium nitride for this analysis. Most of our discussion will focus on results obtained from our ensemble semi-classical threevalley Monte Carlo simulations of the electron transport within these materials, our results conforming with state-of-the-art III–V nitride semiconductor orthodoxy. Steady-state and transient electron transport results are presented. We conclude our discussion by presenting some recent developments on the electron transport within these materials.
The III–V nitride semiconductors, gallium nitride (GaN), aluminium nitride (AlN), and indium nitride (InN), have been known as promising materials for novel electronic and optoelectronic device applications for some time now [33.1–4]. In terms of electronics, their wide energy gaps, large breakdown fields, high thermal conductivities, and favorable electron transport characteristics, make GaN, AlN, and InN, and alloys of these materials, ideally suited for novel
33.1
Electron Transport Within Semiconductors and the Monte Carlo Simulation Approach ........................... 33.1.1 The Boltzmann Transport Equation .................................. 33.1.2 Our Ensemble Semi-Classical Monte Carlo Simulation Approach 33.1.3 Parameter Selections for Bulk Wurtzite GaN, AlN, and InN......... 33.2 Steady-State and Transient Electron Transport Within Bulk Wurtzite GaN, AlN, and InN .............................................. 33.2.1 Steady-State Electron Transport Within Bulk Wurtzite GaN ........... 33.2.2 Steady-State Electron Transport: A Comparison of the III–V Nitride Semiconductors with GaAs ......... 33.2.3 Influence of Temperature on the Electron Drift Velocities Within GaN and GaAs................. 33.2.4 Influence of Doping on the Electron Drift Velocities Within GaN and GaAs................. 33.2.5 Electron Transport in AlN ............ 33.2.6 Electron Transport in InN............ 33.2.7 Transient Electron Transport ....... 33.2.8 Electron Transport: Conclusions... 33.3 Electron Transport Within III–V Nitride Semiconductors: A Review ......... 33.3.1 Evolution of the Field ................ 33.3.2 Recent Developments ................ 33.3.3 Future Perspectives ................... 33.4 Conclusions ......................................... References ..................................................
806 807 808 808
810 811
812
812
815 816 818 820 822 822 822 824 825 826 826
high-power and high-frequency electron device applications. On the optoelectronics front, the direct nature of the energy gaps associated with GaN, AlN, and InN, make this family of materials, and its alloys, well suited for novel optoelectronic device applications in the visible and ultraviolet frequency range. While initial efforts to study these materials were hindered by growth difficulties, recent improvements in material quality have made the realization of a number of
Part D 33
Electron Tran
33. Electron Transport Within the III–V Nitride Semiconductors, GaN, AlN, and InN: A Monte Carlo Analysis
806
Part D
Materials for Optoelectronics and Photonics
Part D 33.1
III–V nitride semiconductor-based electronic [33.5–9] and optoelectronic [33.9–12] devices possible. These developments have fueled considerable interest in these III–V nitride semiconductors. In order to analyze and improve the design of III–V nitride semiconductor-based devices, an understanding of the electron transport that occurs within these materials is necessary. Electron transport within bulk GaN, AlN, and InN has been examined extensively over the years [33.13–32]. Unfortunately, uncertainty in the material parameters associated with GaN, AlN, and InN remains a key source of ambiguity in the analysis of the electron transport within these materials [33.32]. In addition, some recent experimental [33.33] and theoretical [33.34] developments have cast doubt upon the validity of widely accepted notions upon which our understanding of the electron transport mechanisms within the III–V nitride semiconductors, GaN, AlN, and InN, has evolved. Another confounding matter is the sheer volume of research activity being performed on the electron transport within these materials, presenting the researcher with a dizzying array of seemingly disparate approaches and results. Clearly, at this critical juncture at least, our understanding of the electron transport within the III–V nitride semiconductors, GaN, AlN, and InN, remains in a state of flux. In order to provide some perspective on this rapidly evolving field, we aim to review analyses of the electron transport within the III–V nitride semiconductors, GaN, AlN, and InN, within this paper. In particular, we will discuss the evolution of the field and survey the current literature. In order to narrow the scope of this review, we will primarily focus on the electron transport within bulk wurtzite GaN, AlN, and InN for the purposes of this paper. Most of our discussion will focus upon results obtained from our ensemble semi-classical three-valley Monte Carlo simulations of the electron transport within
these materials, our results conforming with state-of-theart III–V nitride semiconductor orthodoxy. We hope that researchers in the field will find this review useful and informative. We begin our review with the Boltzmann transport equation, which underlies most analyses of the electron transport within semiconductors. The ensemble semiclassical three-valley Monte Carlo simulation approach that we employ in order to solve this Boltzmann transport equation is then discussed. The material parameters corresponding to bulk wurtzite GaN, AlN, and InN are then presented. We then use these material parameter selections and our ensemble semi-classical three-valley Monte Carlo simulation approach to determine the nature of the steady-state and transient electron transport within the III–V nitride semiconductors. Finally, we present some recent developments on the electron transport within these materials. This paper is organized in the following manner. In Sect. 33.1, we present the Boltzmann transport equation and our ensemble semi-classical three-valley Monte Carlo simulation approach that we employ in order to solve this equation for the III–V nitride semiconductors, GaN, AlN, and InN. The material parameters, corresponding to bulk wurtzite GaN, AlN, and InN, are also presented in Sect. 33.1. Then, in Sect. 33.2, using results obtained from our ensemble semi-classical three-valley Monte Carlo simulations of the electron transport within these III–V nitride semiconductors, we study the nature of the steady-state electron transport that occurs within these materials. Transient electron transport within the III–V nitride semiconductors is also discussed in Sect. 33.2. A review of the III– V nitride semiconductor electron transport literature, in which the evolution of the field is discussed and a survey of the current literature is presented, is then featured in Sect. 33.3. Finally, conclusions are provided in Sect. 33.4.
33.1 Electron Transport Within Semiconductors and the Monte Carlo Simulation Approach The electrons within a semiconductor are in a perpetual state of motion. In the absence of an applied electric field, this motion arises as a result of the thermal energy that is present, and is referred to as thermal motion. From the perspective of an individual electron, thermal motion may be viewed as a series of trajectories, interrupted by a series of random scattering events. Scattering may arise
as a result of interactions with the lattice atoms, impurities, other electrons, and defects. As these interactions lead to electron trajectories in all possible directions, i. e., there is no preferred direction, while individual electrons will move from one location to another, when taken as an ensemble, and assuming that the electrons are in thermal equilibrium, the overall electron distribu-
Electron Transport Within GaN, AlN and InN: Monte Carlo Analysis
33.1.1 The Boltzmann Transport Equation An electron ensemble may be characterized by its distribution function, f (r, p, t), where r denotes the position, p represents the momentum, and t indicates time. The response of this distribution function to an applied electric field, E, is the issue at stake when one investigates the electron transport within a semiconductor. When the dimensions of the semiconductor are large, and quantum effects are negligible, the ensemble of electrons may be treated as a continuum, so the corpuscular nature of the individual electrons within the ensemble, and the attendant complications which
arise, may be neglected. In such a circumstance, the evolution of the distribution function, f (r, p, t), may be determined using the Boltzmann transport equation. In contrast, when the dimensions of the semiconductor are small, and quantum effects are significant, then the Boltzmann transport equation, and its continuum description of the electron ensemble, is no longer valid. In such a case, it is necessary to adopt quantum transport methods in order to study the electron transport within the semiconductor [33.35]. For the purposes of this analysis, we will focus on the electron transport within bulk semiconductors, i. e., semiconductors of sufficient dimensions so that the Boltzmann transport equation is valid. Ashcroft and Mermin [33.36] demonstrated that this equation may be expressed as ∂f ∂ f . (33.1) = − p˙ · ∇p f − r˙ · ∇r f + ∂t ∂t scat The first term on the right-hand side of (33.1) represents the change in the distribution function due to external forces applied to the system. The second term on the right-hand side of (33.1) accounts for the electron diffusion which occurs. The final term on the right-hand side of (33.1) describes the effects of scattering. Owing to its fundamental importance in the analysis of the electron transport within semiconductors, a number of techniques have been developed over the years in order to solve the Boltzmann transport equation. Approximate solutions to the Boltzmann transport equation, such as the displaced Maxwellian distribution function approach of Ferry [33.14] and Das and Ferry [33.15] and the nonstationary charge transport analysis of Sandborn et al. [33.37], have proven useful. Low-field approximate solutions have also proven elementary and insightful [33.17, 20, 38]. A number of these techniques have been applied to the analysis of the electron transport within the III–V nitride semiconductors, GaN, AlN, and InN [33.14, 15, 17, 20, 38, 39]. Alternatively, more sophisticated techniques have been developed which solve the Boltzmann transport equation directly. These techniques, while allowing for a rigorous solution of the Boltzmann transport equation, are rather involved, and require intense numerical analysis. They are further discussed by Nag [33.40]. For studies of the electron transport within the III–V nitride semiconductors, GaN, AlN, and InN, by far the most common approach to solving the Boltzmann transport equation has been the ensemble semi-classical Monte Carlo simulation approach. Of the III–V nitride semiconductors, the electron transport within GaN
807
Part D 33.1
tion will remain static. Accordingly, no net current flow occurs. With the application of an applied electric field, E, each electron in the ensemble will experience a force, −q E. While this force may have a negligible impact upon the motion of any given individual electron, taken as an ensemble, the application of such a force will lead to a net aggregate motion of the electron distribution. Accordingly, a net current flow will occur, and the overall electron ensemble will no longer be in thermal equilibrium. This movement of the electron ensemble in response to an applied electric field, in essence, represents the fundamental issue at stake when we study the electron transport within a semiconductor. In this section, we provide a brief tutorial on the issues at stake in our analysis of the electron transport within the III–V nitride semiconductors, GaN, AlN, and InN. We begin our analysis with an introduction to the Boltzmann transport equation. This equation describes how the electron distribution function evolves under the action of an applied electric field, and underlies the electron transport within bulk semiconductors. We then introduce the Monte Carlo simulation approach to solving this Boltzmann transport equation, focusing on the ensemble semi-classical three-valley Monte Carlo simulation approach used in our simulations of the electron transport within the III–V nitride semiconductors. Finally, we present the material parameters corresponding to bulk wurtzite GaN, AlN, and InN. This section is organized in the following manner. In Sect. 33.1.1, the Boltzmann transport equation is introduced. Then, in Sect. 33.1.2, our ensemble semiclassical three-valley Monte Carlo simulation approach to solving this Boltzmann transport equation is presented. Finally, in Sect. 33.1.3, our material parameter selections, corresponding to bulk wurtzite GaN, AlN, and InN, are presented.
33.1 Electron Transport and Monte Carlo Simulation
808
Part D
Materials for Optoelectronics and Photonics
Part D 33.1
has been studied the most extensively using this ensemble Monte Carlo simulation approach [33.13, 16, 18, 19, 21, 22, 27, 29, 32], with AlN [33.24, 25, 29] and InN [33.23, 28, 29, 31] less so. The Monte Carlo simulation approach has also been used to study the electron transport within the two-dimensional electron gas of the AlGaN/GaN interface which occurs in high electron mobility AlGaN/GaN field-effect transistors [33.41,42]. At this point, it should be noted that the complete solution of the Boltzmann transport equation requires the resolution of both steady-state and transient responses. Steady-state electron transport refers to the electron transport that occurs long after the application of an applied electric field, i. e., once the electron ensemble has settled to a new equilibrium state (we are not necessarily referring to thermal equilibrium here, since thermal equilibrium is only achieved in the absence of an applied electric field). As the distribution function is difficult to visualize quantitatively, researchers typically study the dependence of the electron drift velocity (the average electron velocity determined by statistically averaging over the entire electron ensemble) on the applied electric field in the analysis of steady-state electron transport; in other words, they determine the velocity–field characteristic. Transient electron transport, by way of contrast, refers to the transport that occurs while the electron ensemble is evolving into its new equilibrium state. Typically, it is characterized by studying the dependence of the electron drift velocity on the time elapsed, or the distance displaced, since the electric field was initially applied. Both steady-state and transient electron transport within the III–V nitride semiconductors, GaN, AlN, and InN, are reviewed within this paper.
33.1.2 Our Ensemble Semi-Classical Monte Carlo Simulation Approach For the purposes of our analysis of the electron transport within the III–V nitride semiconductors, GaN, AlN, and InN, we employ ensemble semi-classical Monte Carlo simulations. A three-valley model for the conduction band is employed. Nonparabolicity is considered in the lowest conduction band valley, this nonparabolicity being treated through the application of the Kane model [33.43]. In the Kane model, the energy band of the Γ valley is assumed to be nonparabolic, spherical, and of the form 2 k2
2m ∗
= E (1 + αE) ,
(33.2)
where k denotes the crystal momentum, E represents the energy above the minimum, m ∗ is the effective mass, and the nonparabolicity coefficient, α, is given by m∗ 2 1 , (33.3) 1− α= Eg me where m e and E g denote the free electron mass and the energy gap, respectively [33.43]. The scattering mechanisms considered in our analysis are (1) ionized impurity, (2) polar optical phonon, (3) piezoelectric [33.44, 45], and (4) acoustic deformation potential. Intervalley scattering is also considered. Piezoelectric scattering is treated using the well established zinc blende scattering rates, and so a suitably transformed piezoelectric constant, e14 , must be selected. This may be achieved through the transformation suggested by Bykhovski et al. [33.44, 45]. We also assume that all donors are ionized and that the free electron concentration is equal to the dopant concentration. The motion of three thousand electrons is examined in our steady-state electron transport simulations, while the motion of ten thousand electrons is considered in our transient electron transport simulations. The crystal temperature is set to 300 K and the doping concentration is set to 1017 cm−3 in all cases, unless otherwise specified. Electron degeneracy effects are accounted for by means of the rejection technique of Lugli and Ferry [33.46]. Electron screening is also accounted for following the Brooks–Herring method [33.47]. Further details of our approach are discussed in the literature [33.16, 21–24, 29, 32, 48].
33.1.3 Parameter Selections for Bulk Wurtzite GaN, AlN, and InN The material parameter selections, used for our simulations of the electron transport within the III–V nitride semiconductors, GaN, AlN, and InN, are tabulated in Table 33.1. These parameter selections are the same as those employed by Foutz et al. [33.29]. While the band structures corresponding to bulk wurtzite GaN, AlN, and InN are still not agreed upon, the band structures of Lambrecht and Segall [33.49] are adopted for the purposes of this analysis. For the case of bulk wurtzite GaN, the analysis of Lambrecht and Segall [33.49] suggests that the lowest point in the conduction band is located at the center of the Brillouin zone, at the Γ point, the first upper conduction band valley minimum also occurring at the Γ point, 1.9 eV above the lowest point in the conduction band, the second upper conduction
Electron Transport Within GaN, AlN and InN: Monte Carlo Analysis
33.1 Electron Transport and Monte Carlo Simulation
selections are from Foutz et al. [33.29] Parameter
Mass density g/cm3 Longitudinal sound velocity (cm/s) Transverse sound velocity (cm/s) Acoustic deformation potential (eV) Static dielectric constant High-frequency dielectric constant Effective mass (Γ1 valley)
Piezoelectric constant, e14 C/cm2 Direct energy gap (eV) Optical phonon energy (meV) Intervalley deformation potentials (eV/cm) Intervalley phonon energies (meV)
GaN
AlN
InN
6.15 6.56 × 105 2.68 × 105 8.3 8.9 5.35 0.20 m e 3.75 × 10−5 3.39 91.2 109 91.2
3.23 9.06 × 105 3.70 × 105 9.5 8.5 4.77 0.48 m e 9.2 × 10−5 6.2 99.2 109 99.2
6.81 6.24 × 105 2.55 × 105 7.1 15.3 8.4 0.11 m e 3.75 × 10−5 1.89 89.0 109 89.0
Table 33.2 The valley parameter selections corresponding to bulk wurtzite GaN, AlN, and InN. These parameter selections
are from Foutz et al. [33.29]. These parameters were originally determined from the band structural calculations of Lambrecht and Segall [33.49] GaN
AlN
InN
Valley number
1
2
3
Valley location Valley degeneracy Effective mass Intervalley energy separation (eV) Energy gap (eV)
Nonparabolicity eV−1 Valley location Valley degeneracy Effective mass Intervalley energy separation (eV) Energy gap (eV)
Nonparabolicity eV−1 Valley location Valley degeneracy Effective mass Intervalley energy separation (eV) Energy gap (eV)
Nonparabolicity eV−1
Γ1 1 0.2 m e − 3.39 0.189 Γ1 1 0.48 m e − 6.2 0.044 Γ1 1 0.11 m e − 1.89 0.419
Γ2 1 me 1.9 5.29 0.0 L-M 6 me 0.7 6.9 0.0 A 1 me 2.2 4.09 0.0
L–M 6 me 2.1 5.49 0.0 K 2 me 1.0 7.2 0.0 Γ2 1 me 2.6 4.49 0.0
band valley minima occurring along the symmetry lines between the L and M points, 2.1 eV above the lowest point in the conduction band; see Table 33.2. For the case of bulk wurtzite AlN, the analysis of Lambrecht and Segall [33.49] suggests that the lowest point in the conduction band is located at the center of the Brillouin zone, at the Γ point, the first upper conduction band valley minima occurring along the symmetry lines between the L and M points, 0.7 eV above the lowest
point in the conduction band, the second upper conduction band valley minima occurring at the K points, 1 eV above the lowest point in the conduction band; see Table 33.2. For the case of bulk wurtzite InN, the analysis of Lambrecht and Segall [33.49] suggests that the lowest point in the conduction band is located at the center of the Brillouin zone, at the Γ point, the first upper conduction band valley minimum occurring at the A point, 2.2 eV above the lowest point in the conduction band,
Part D 33.1
Table 33.1 The material parameter selections corresponding to bulk wurtzite GaN, AlN, and InN. These parameter
809
810
Part D
Materials for Optoelectronics and Photonics
Part D 33.2
the second upper conduction band valley minimum occurring at the Γ point, 2.6 eV above the lowest point in the conduction band; see Table 33.2. We ascribe an effective mass equal to the free electron mass, m e , to all of the upper conduction band valleys. The nonparabolicity coefficient, α, corresponding to each upper conduction band valley is set to zero, so the upper conduction band valleys are assumed to be completely parabolic. For our simulations of the electron transport within gallium arsenide (GaAs), the material parameters employed are mostly from Littlejohn et al. [33.50], although it should be noted that the mass density, the energy gap, and the sound velocities are from Blakemore [33.51]. It should be noted that the energy gap associated with InN has been the subject of some controversy since 2002. The pioneering experimental results of Tansley and Foley [33.52], reported in 1986, suggested that InN has an energy gap of 1.89 eV. This value has been used extensively in Monte Carlo simulations of the electron transport within this material since that time [33.23, 28, 29, 31]; typically, the influence of the energy gap on the electron transport occurs through its impact on the nonparabolicity coefficient, α. In 2002, Davydov et al. [33.53], Wu et al. [33.54], and Matsuoka et al. [33.55], presented experimental evidence which instead suggests a considerably smaller energy gap for InN, around 0.7 eV. As this new result is still the subject of some controversy, we adopt the traditional Tansley and Foley [33.52] energy gap value for the purposes of
our present analysis, noting that even if the newer value for the energy gap was adopted, it would only change our electron transport results marginally; the sensitivity of the velocity–field characteristic associated with bulk wurtzite GaN to variations in the nonparabolicity coefficient, α, has been explored, in detail, by O’Leary et al. [33.32]. The band structure associated with bulk wurtzite GaN has also been the focus of some controversy. In particular, Brazel et al. [33.56] employed ballistic electron emission microscopy measurements in order to demonstrate that the first upper conduction band valley occurs only 340 meV above the lowest point in the conduction band for this material. This contrasts rather dramatically with more traditional results, such as the calculation of Lambrecht and Segall [33.49], which instead suggest that the first upper conduction band valley minimum within wurtzite GaN occurs about 2 eV above the lowest point in the conduction band. Clearly, this will have a significant impact upon the results. While the results of Brazel et al. [33.56] were reported in 1997, electron transport simulations adopted the more traditional intervalley energy separation of about 2 eV until relatively recently. Accordingly, we have adopted the more traditional intervalley energy separation for the purposes of our present analysis. The sensitivity of the velocity– field characteristic associated with bulk wurtzite GaN to variations in the intervalley energy separation has been explored, in detail, by O’Leary et al. [33.32].
33.2 Steady-State and Transient Electron Transport Within Bulk Wurtzite GaN, AlN, and InN The current interest in the III–V nitride semiconductors, GaN, AlN, and InN, is primarily being fueled by the tremendous potential of these materials for novel electronic and optoelectronic device applications. With the recognition that informed electronic and optoelectronic device design requires a firm understanding of the nature of the electron transport within these materials, electron transport within the III–V nitride semiconductors has been the focus of intensive investigation over the years. The literature abounds with studies on steady-state and transient electron transport within these materials [33.13–34, 38, 39, 41, 42, 48]. As a result of this intense flurry of research activity, novel III–V nitride semiconductor-based devices are starting to be deployed in today’s commercial products. Future developments in the III–V nitride semiconductor field will undoubtedly require an even deeper understand-
ing of the electron transport mechanisms within these materials. In the previous section, we presented details of the Monte Carlo simulation approach that we employ for the analysis of the electron transport within the III–V nitride semiconductors, GaN, AlN, and InN. In this section, an overview of the steady-state and transient electron transport results we obtained from these Monte Carlo simulations is provided. In the first part of this section, we focus upon bulk wurtzite GaN. In particular, the velocity–field characteristic associated with this material will be examined in detail. Then, an overview of our steady-state electron transport results, corresponding to the three III–V nitride semiconductors under consideration in this analysis, will be given, and a comparison with the more conventional III–V compound semiconductor, GaAs, will be presented. A comparison between the tem-
822
Part D
Materials for Optoelectronics and Photonics
Part D 33.3
GaAs. It is clear that among the three III–V nitride semiconductors considered, InN exhibits superior transient electron transport characteristics. In particular, InN has the largest overshoot velocity and the distance over which this overshoot occurs, 0.3 µm, is longer than in either GaN and AlN. GaAs exhibits a longer overshoot relaxation distance, approximately 0.7 µm, but the electron drift velocity exhibited by InN is greater than that of GaAs for all distances.
33.2.8 Electron Transport: Conclusions In this section, steady-state and transient electron transport results, corresponding to the III–V nitride semiconductors, GaN, AlN, and InN, were presented, these results being obtained from our Monte Carlo simulations of the electron transport within these materials.
Steady-state electron transport was the dominant theme of our analysis. In order to aid in the understanding of these electron transport characteristics, a comparison was made between GaN and GaAs. Our simulations showed that GaN is more robust to variations in crystal temperature and doping concentration than GaAs, and an analysis of our Monte Carlo simulation results showed that polar optical phonon scattering plays the dominant role in accounting for these differences in behavior. This analysis was also performed for the other III–V nitride semiconductors considered in this analysis – AlN and InN – and similar results were obtained. Finally, we presented some key transient electron transport results. These results indicated that the transient electron transport that occurs within InN is the most pronounced of all of the materials under consideration in this review (GaN, AlN, InN, and GaAs).
33.3 Electron Transport Within III–V Nitride Semiconductors: A Review Pioneering investigations into the material properties of the III–V nitride semiconductors, GaN, AlN, and InN, were performed during the earlier half of the twentieth century [33.63–65]. The III–V nitride semiconductor materials available at the time, small crystals and powders, were of poor quality, and completely unsuitable for device applications. Thus, it was not until the late 1960s, when Maruska and Tietjen [33.66] employed chemical vapor deposition to fabricate GaN, that interest in the III–V nitride semiconductors experienced a renaissance. Since that time, interest in the III–V nitride semiconductors has been growing, the material properties of these semiconductors improving considerably over the years. As a result of this research effort, there are currently a number of commercial devices available that employ the III–V nitride semiconductors. More III–V nitride semiconductor-based device applications are currently under development, and these should become available in the near future. In this section, we present a brief overview of the III–V nitride semiconductor electron transport field. We start with a survey describing the evolution of the field. In particular, the sequence of critical developments that have occurred that contribute to our current understanding of the electron transport mechanisms within the III–V nitride semiconductors, GaN, AlN, and InN, is chronicled. Then, some of the current literature is presented, with particular emphasis being placed on the most recent developments in the field and how such
developments are modifying our understanding of the electron transport mechanisms within the III–V nitride semiconductors, GaN, AlN, and InN. Finally, frontiers for further research and investigation are presented. This section is organized in the following manner. In Sect. 33.3.1, we present a brief survey describing the evolution of the field. Then, in Sect. 33.3.2, the current literature is discussed. Finally, frontiers for further research and investigation are presented in Sect. 33.3.3.
33.3.1 Evolution of the Field The favorable electron transport characteristics of the III–V nitride semiconductors, GaN, AlN, and InN, have long been recognized. As early as the 1970s, Littlejohn et al. [33.13] pointed out that the large polar optical phonon energy characteristic of GaN, in conjunction with its large intervalley energy separation, suggests a high saturation electron drift velocity for this material. As the high-frequency electron device performance is, to a large degree, determined by this saturation electron drift velocity [33.14], the recognition of this fact ignited enhanced interest in this material and its III–V nitride semiconductor compatriots, AlN and InN. This enhanced interest, and the developments which have transpired as a result of it, are responsible for the III–V nitride semiconductor industry of today. In 1975, Littlejohn et al. [33.13] were the first to report results obtained from semi-classical Monte Carlo
Electron Transport Within GaN, AlN and InN: Monte Carlo Analysis
temperature influences the velocity-field characteristic associated with bulk wurtzite GaN. Later that year, Kolník et al. [33.19] reported on employing full-band Monte Carlo simulations of the electron transport within bulk wurtzite GaN and bulk zinc blende GaN, finding that bulk zinc blende GaN exhibits a much higher lowfield electron drift mobility than bulk wurtzite GaN. The peak electron drift velocity corresponding to bulk zinc blende GaN was found to be only marginally greater than that exhibited by bulk wurtzite GaN. In 1997, Bhapkar and Shur [33.22] reported on employing ensemble semi-classical three-valley Monte Carlo simulations of the electron transport within bulk and confined wurtzite GaN. Their simulations demonstrated that the two-dimensional electron gas within a confined wurtzite GaN structure will exhibit a higher low-field electron drift mobility than bulk wurtzite GaN, by almost an order of magnitude, this being in agreement with experiment. In 1998, Albrecht et al. [33.27] reported on employing ensemble semi-classical five-valley Monte Carlo simulations of the electron transport within bulk wurtzite GaN, with the aim of determining elementary analytical expressions for a number of electron transport metrics corresponding to bulk wurtzite GaN, for the purposes of device modeling. Electron transport within the other III–V nitride semiconductors, AlN and InN, has also been studied using ensemble semi-classical Monte Carlo simulations of the electron transport. In particular, by employing ensemble semi-classical three-valley Monte Carlo simulations, the velocity–field characteristic associated with bulk wurtzite AlN was studied and reported by O’Leary et al. [33.24] in 1998. They found that AlN exhibits the lowest peak and saturation electron drift velocities of the III–V nitride semiconductors considered in this analysis. Similar simulations of the electron transport within bulk wurtzite AlN were also reported by Albrecht et al. [33.25] in 1998. The results of O’Leary et al. [33.24] and Albrecht et al. [33.25] were found to be quite similar. The first known simulation of the electron transport within bulk wurtzite InN was the semi-classical three-valley Monte Carlo simulation of O’Leary et al. [33.23], reported in 1998. InN was demonstrated to have the highest peak and saturation electron drift velocities of the III–V nitride semiconductors. The subsequent ensemble full-band Monte Carlo simulations of Bellotti et al. [33.28], reported in 1999, produced results similar to those of O’Leary et al. [33.23]. The first known study of transient electron transport within the III–V nitride semiconductors was that performed by Foutz et al. [33.21], reported in 1997. In
823
Part D 33.3
simulations of the steady-state electron transport within bulk wurtzite GaN. A one-valley model for the conduction band was adopted in their analysis. Steady-state electron transport, for both parabolic and nonparabolic band structures, was considered in their analysis, nonparabolicity being treated through the application of the Kane model [33.43]. The primary focus of their investigation was the determination of the velocity-field characteristic associated with GaN. All donors were assumed to be ionized, and the free electron concentration was taken to be equal to the dopant concentration. The scattering mechanisms considered were (1) ionized impurity, (2) polar optical phonon, (3) piezoelectric, and (4) acoustic deformation potential. For the case of the parabolic band, in the absence of ionized impurities, they found that the electron drift velocity monotonically increases with the applied electric field strength, saturating at a value of about 2.5 × 107 cm/s for the case of high applied electric fields. In contrast, for the case of the nonparabolic band, and in the absence of ionized impurities, a region of negative differential mobility was found, the electron drift velocity achieving a maximum of about 2 × 107 cm/s at an applied electric field strength of about 100 kV/cm, with further increases in the applied electric field strength resulting in a slight decrease in the corresponding electron drift velocity. The role of ionized impurity scattering was also investigated by Littlejohn et al. [33.13]. In 1993, Gelmont et al. [33.16] reported on ensemble semi-classical two-valley Monte Carlo simulations of the electron transport within bulk wurtzite GaN, this analysis improving upon the analysis of Littlejohn et al. [33.13] by incorporating intervalley scattering into the simulations. They found that the negative differential mobility found in bulk wurtzite GaN is much more pronounced than that found by Littlejohn et al. [33.13], and that intervalley transitions are responsible for this. For a doping concentration of 1017 cm−1 , Gelmont et al. [33.16] demonstrated that the electron drift velocity achieves a peak value of about 2.8 × 107 cm/s at an applied electric field of about 140 kV/cm. The impact of intervalley transitions on the electron distribution function was also determined and shown to be significant. The impact of doping and compensation on the velocity-field characteristic associated with bulk wurtzite GaN was also examined. Since these pioneering investigations, ensemble Monte Carlo simulations of the electron transport within GaN have been performed numerous times. In particular, in 1995 Mansour et al. [33.18] reported the use of such an approach in order to determine how the crystal
33.3 Electron Transport Within III–V Nitride Semiconductors
824
Part D
Materials for Optoelectronics and Photonics
Part D 33.3
this study, ensemble semi-classical three-valley Monte Carlo simulations were employed in order to determine how the electrons within wurtzite and zinc blende GaN, initially in thermal equilibrium, respond to the sudden application of a constant electric field. The velocity overshoot that occurs within these materials was examined. It was found that the electron drift velocities that occur within the zinc blende phase of GaN are slightly greater than those exhibited by the wurtzite phase owing to the slightly higher steady-state electron drift velocity exhibited by the zinc blende phase of GaN. A comparison with the transient electron transport that occurs within GaAs was made. Using the results from this analysis, a determination of the minimum transit time as a function of the distance displaced since the application of the applied electric field was performed for all three materials considered in this study: wurtzite GaN, zinc blende GaN, and GaAs. For distances in excess of 0.1 µm, both phases of GaN were shown to exhibit superior performance (reduced transit time) when contrasted with that associated with GaAs. A more general analysis, in which transient electron transport within GaN, AlN, and InN was studied, was performed by Foutz et al. [33.29], and reported in 1999. As with their previous study, Foutz et al. [33.29] determined how electrons, initially in thermal equilibrium, respond to the sudden application of a constant electric field. For GaN, AlN, InN, and GaAs, it was found that the electron drift velocity overshoot only occurs when the applied electric field exceeds a certain critical applied electric field strength unique to each material. The critical applied electric field strengths, 140 kV/cm for the case of wurtzite GaN, 450 kV/cm for the case of AlN, 65 kV/cm for the case of InN, and 4 kV/cm for the case of GaAs, were shown to correspond to the peak electron drift velocity in the velocity-field characteristic associated with each of these materials; recall Fig. 33.4. It was found that InN exhibits the highest peak overshoot velocity, and that this overshoot lasts over prolonged distances compared with AlN, InN, and GaAs. A comparison with the results of experiment was performed. In addition to Monte Carlo simulations of the electron transport within these materials, a number of other types of electron transport studies have been performed. In 1975, for example, Ferry [33.14] reported on the determination of the velocity-field characteristic associated with wurtzite GaN using a displaced Maxwellian distribution function approach. For high applied electric fields, Ferry [33.14] found that the electron drift velocity associated with GaN monotonically increases
with the applied electric field strength (it does not saturate), reaching a value of about 2.5 × 107 cm/s at an applied electric field strength of 300 kV/cm. The device implications of this result were further explored by Das and Ferry [33.15]. In 1994, Chin et al. [33.17] reported on a detailed study of the dependence of the low-field electron drift mobilities associated with the III–V nitride semiconductors, GaN, AlN, and InN, on crystal temperature and doping concentration. An analytical expression for the low-field electron drift mobility, µ, determined using a variational principle, was used for the purposes of this analysis. The results obtained were contrasted with those from experiment. A subsequent mobility study was reported in 1997 by Look et al. [33.38]. Then, in 1998, Weimann et al. [33.26] reported on a model for determining how the scattering of electrons by the threading dislocations within bulk wurtzite GaN influence the low-field electron drift mobility. They demonstrated why the experimentally measured lowfield electron drift mobility associated with this material is much lower than that predicted from Monte Carlo analyses: threading dislocations were not taken into account in the Monte Carlo simulations of the electron transport within the III–V nitride semiconductors, GaN, AlN, and InN. While the negative differential mobility exhibited by the velocity-field characteristics associated with the III–V nitride semiconductors, GaN, AlN, and InN, is widely attributed to intervalley transitions, and while direct experimental evidence confirming this has been presented [33.67], Krishnamurthy et al. [33.34] suggest that the inflection points in the bands located in the vicinity of the Γ valley are primarily responsible for the negative differential mobility exhibited by wurtzite GaN instead. The relative importance of these two mechanisms (intervalley transitions and inflection point considerations) were evaluated by Krishnamurthy et al. [33.34], for both bulk wurtzite GaN and an AlGaN alloy.
33.3.2 Recent Developments There have been a number of interesting recent developments in the study of the electron transport within the III–V nitride semiconductors which have influenced the direction of thought in this field. On the experimental front, in 2000 Wraback et al. [33.33] reported on the use of a femtosecond optically detected time-of-flight experimental technique in order to experimentally determine the velocity–field characteristic associated with bulk wurtzite GaN. They found that the peak electron
Electron Transport Within GaN, AlN and InN: Monte Carlo Analysis
et al. [33.71] and reported in 2002. Bulutay et al. [33.72] studied the electron momentum and energy relaxation times within the III–V nitride semiconductors and reported the results of this study in 2003. It is particularly interesting to note that their arguments add considerable credence to the earlier inflection point argument of Krishnamurthy et al. [33.34]. In 2004, Brazis and Raguotis [33.73] reported on the results of a Monte Carlo study involving additional phonon modes and a smaller intervalley energy separation for bulk wurtzite GaN. Their results were found to be much closer to the experimental results of Wraback et al. [33.33] than those found previously. The influence of hot-phonons on the electron transport mechanisms within the III–V nitride semiconductors, GaN, AlN, and InN, has been the focus of considerable recent investigation. In particular, in 2004 Silva and Nascimento [33.74], Gökden [33.75], and Ridley et al. [33.76], to name just three, presented results related to this research focus. These results suggest that hot-phonon effects play a significant role in influencing the nature of the electron transport within the III–V nitride semiconductors, GaN, AlN, and InN. In particular, Ridley et al. [33.76] point out that the saturation electron drift velocity and the applied electric field strength at which the peak in the velocity–field characteristic occurs are both influenced by hot-phonon effects. The role that hot-phonons play in influencing device performance was studied by Matulionis and Liberis [33.77]. Research into the role that hot-phonons play in influencing the electron transport mechanisms within the III–V nitride semiconductors, GaN, AlN, and InN, seems likely to continue into the foreseeable future.
33.3.3 Future Perspectives It is clear that our understanding of the electron transport within the III–V nitride semiconductors, GaN, AlN, and InN, is, at present at least, in a state of flux. A complete understanding of the electron transport mechanisms within these materials has yet to be achieved, and is the subject of intense current research. Most troubling is the discrepancy between the results of experiment and those of simulation. There are a two principal sources of uncertainty in our analysis of the electron transport mechanisms within these materials: (1) uncertainty in the material properties, and (2) uncertainty in the underlying physics. We discuss each of these subsequently. Uncertainty in the material parameters associated with the III–V nitride semiconductors, GaN, AlN, and
825
Part D 33.3
drift velocity, 1.9 × 107 cm/s, is achieved at an applied electric field strength of 225 kV/cm. No discernible negative differential mobility was observed. Wraback et al. [33.33] suggested that the large defect density characteristic of the GaN samples they employed, which were not taken into account in Monte Carlo simulations of the electron transport within this material, accounts for the difference between this experimental result and that obtained using simulation. They also suggested that decreasing the intervalley energy separation from about 2 eV to 340 meV, as suggested by the experimental results of Brazel et al. [33.56], may also account for these observations. The determination of the electron drift velocity from experimental measurements of the unity gain cut-off frequency, f t , has been pursued by a number of researchers. The key challenge in these analyses is the de-embedding of the parasitics from the experimental measurements so that the true intrinsic saturation electron drift velocity may be obtained. Eastman et al. [33.68] present experimental evidence which suggests that the saturation electron drift velocity within bulk wurtzite GaN is about 1.2 × 107 –1.3 × 107 cm/s. A more recent report, by Oxley and Uren [33.69], suggests a value of 1.1 × 107 cm/s. The role of self-heating was also probed by Oxley and Uren [33.69] and shown to be relatively insignificant. A completely satisfactory explanation for the discrepancy between these results and those from the Monte Carlo simulations has yet to be provided. Wraback et al. [33.70] performed a subsequent study on the transient electron transport within wurtzite GaN. In particular, using their femtosecond optically detected time-of-flight experimental technique in order to experimentally determine the velocity overshoot that occurs within bulk wurtzite GaN, they observed substantial velocity overshoot within this material. In particular, a peak transient electron drift velocity of 7.25 × 107 cm/s was observed within the first 200 fs after photoexcitation for an applied electric field strength of 320 kV/cm. These experimental results were shown to be consistent with the theoretical predictions of Foutz et al. [33.29]. On the theoretical front, there have been a number of recent developments. In 2001, O’Leary et al. [33.30] presented an elementary, one-dimensional analytical model for the electron transport within the III–V compound semiconductors, and applied it to the cases of wurtzite GaN and GaAs. The predictions of this analytical model were compared with those of Monte Carlo simulations and were found to be in satisfactory agreement. Hotelectron energy relaxation times within the III–V nitride semiconductors were recently studied by Matulionis
33.3 Electron Transport Within III–V Nitride Semiconductors
826
Part D
Materials for Optoelectronics and Photonics
Part D 33
InN, remains a key source of ambiguity in the analysis of the electron transport with these materials [33.32]. Even for bulk wurtzite GaN, the most studied of the III–V nitride semiconductors considered in this analysis, uncertainty in the band structure remains an issue [33.56]. The energy gap associated with InN and the effective mass associated with this material continue to fuel debate; see, for example, Davydov et al. [33.53], Wu et al. [33.54], and Matsuoka et al. [33.55]. Variations in the experimentally determined energy gap associated with InN, observed from sample to sample, further confound matters. Most recently, Shubina et al. [33.78] suggested that nonstoichiometry within InN may be responsible for these variations in the energy gap. Further research will have to be performed in order to confirm this. Given this uncertainty in the band structures associated with the III–V nitride semiconductors, it is
clear that new simulations of the electron transport will have to be performed once researchers have settled on the appropriate band structures. We thus view our present results as a baseline, the sensitivity analysis of O’Leary et al. [33.32] providing some insights into how variations in the band structures will impact upon the results. Uncertainty in the underlying physics is considerable. The source of the negative differential mobility remains a matter to be resolved. The presence of hotphonons within these materials, and how such phonons impact upon the electron transport mechanisms within these materials, remains another point of contention. It is clear that a deeper understanding of these electron transport mechanisms will have to be achieved in order for the next generation of III–V nitride semiconductor-based devices to be properly designed.
33.4 Conclusions In this paper, we reviewed analyses of the electron transport within the III–V nitride semiconductors GaN, AlN, and InN. In particular, we have discussed the evolution of the field, surveyed the current literature, and presented frontiers for further investigation and analysis. In order to narrow the scope of this review, we focused on the electron transport within bulk wurtzite GaN, AlN, and InN for the purposes of this paper. Most of our discussion focused upon results obtained from our ensemble semi-classical three-valley Monte Carlo simulations of the electron transport within these materials, our results conforming with state-of-the-art III–V nitride semiconductor orthodoxy. We began our review with the Boltzmann transport equation, since this equation underlies most analy-
ses of the electron transport within semiconductors. A brief description of our ensemble semi-classical three-valley Monte Carlo simulation approach to solving the Boltzmann transport equation was then provided. The material parameters, corresponding to bulk wurtzite GaN, AlN, and InN, were then presented. We then used these material parameter selections, and our ensemble semi-classical threevalley Monte Carlo simulation approach, to determine the nature of the steady-state and transient electron transport within the III–V nitride semiconductors. Finally, we presented some recent developments on the electron transport within these materials, and pointed to fertile frontiers for further research and investigation.
References 33.1 33.2 33.3 33.4 33.5
S. Strite, H. Morkoç: J. Vac. Sci. Technol. B 10, 1237 (1992) H. Morkoç, S. Strite, G. B. Gao, M. E. Lin, B. Sverdlov, M. Burns: J. Appl. Phys. 76, 1363 (1994) S. N. Mohammad, H. Morkoç: Prog. Quantum Electron. 20, 361 (1996) S. J. Pearton, J. C. Zolper, R. J. Shul, F. Ren: J. Appl. Phys. 86, 1 (1999) M. A. Khan, J. W. Yang, W. Knap, E. Frayssinet, X. Hu, G. Simin, P. Prystawko, M. Leszczynski, I. Grzegory, S. Porowski, R. Gaska, M. S. Shur,
33.6
33.7 33.8
B. Beaumont, M. Teisseire, G. Neu: Appl. Phys. Lett. 76, 3807 (2000) X. Hu, J. Deng, N. Pala, R. Gaska, M. S. Shur, C. Q. Chen, J. Yang, G. Simin, M. A. Khan, J. C. Rojo, L. J. Schowalter: Appl. Phys. Lett. 82, 1299 (2003) W. Lu, V. Kumar, E. L. Piner, I. Adesida: IEEE Trans. Electron Dev. 50, 1069 (2003) A. Jiménez, Z. Bougrioua, J. M. Tirado, A. F. Braña, E. Calleja, E. Muñoz, I. Moerman: Appl. Phys. Lett. 82, 4827 (2003)
Electron Transport Within GaN, AlN and InN: Monte Carlo Analysis
33.10 33.11 33.12
33.13 33.14 33.15 33.16 33.17 33.18 33.19 33.20 33.21 33.22 33.23 33.24 33.25 33.26
33.27 33.28 33.29 33.30 33.31
33.32 33.33
33.34
A. A. Burk Jr., M. J. O’Loughlin, R. R. Siergiej, A. K. Agarwal, S. Sriram, R. C. Clarke, M. F. MacMillan, V. Balakrishna, C. D. Brandt: Solid-State Electron. 43, 1459 (1999) M. Umeno, T. Egawa, H. Ishikawa: Mater. Sci. Semicond. Process. 4, 459 (2001) A. Krost, A. Dadgar: Phys. Status Solidi A 194, 361 (2002) C. L. Tseng, M. J. Youh, G. P. Moore, M. A. Hopkins, R. Stevens, W. N. Wang: Appl. Phys. Lett. 83, 3677 (2003) M. A. Littlejohn, J. R. Hauser, T. H. Glisson: Appl. Phys. Lett. 26, 625 (1975) D. K. Ferry: Phys. Rev. B 12, 2361 (1975) P. Das, D. K. Ferry: Solid-State Electron. 19, 851 (1976) B. Gelmont, K. Kim, M. Shur: J. Appl. Phys. 74, 1818 (1993) V. W. L. Chin, T. L. Tansley, T. Osotchan: J. Appl. Phys. 75, 7365 (1994) N. S. Mansour, K. W. Kim, M. A. Littlejohn: J. Appl. Phys. 77, 2834 (1995) J. Kolník, ˙I. H. O˘ guzman, K. F. Brennan, R. Wang, P. P. Ruden, Y. Wang: J. Appl. Phys. 78, 1033 (1995) M. Shur, B. Gelmont, M. A. Khan: J. Electron. Mater. 25, 777 (1996) B. E. Foutz, L. F. Eastman, U. V. Bhapkar, M. S. Shur: Appl. Phys. Lett. 70, 2849 (1997) U. V. Bhapkar, M. S. Shur: J. Appl. Phys. 82, 1649 (1997) S. K. O’Leary, B. E. Foutz, M. S. Shur, U. V. Bhapkar, L. F. Eastman: J. Appl. Phys. 83, 826 (1998) S. K. O’Leary, B. E. Foutz, M. S. Shur, U. V. Bhapkar, L. F. Eastman: Solid State Commun. 105, 621 (1998) J. D. Albrecht, R. P. Wang, P. P. Ruden, M. Farahmand, K. F. Brennan: J. Appl. Phys. 83, 1446 (1998) N. G. Weimann, L. F. Eastman, D. Doppalapudi, H. M. Ng, T. D. Moustakas: J. Appl. Phys. 83, 3656 (1998) J. D. Albrecht, R. P. Wang, P. P. Ruden, M. Farahmand, K. F. Brennan: J. Appl. Phys. 83, 4777 (1998) E. Bellotti, B. K. Doshi, K. F. Brennan, J. D. Albrecht, P. P. Ruden: J. Appl. Phys. 85, 916 (1999) B. E. Foutz, S. K. O’Leary, M. S. Shur, L. F. Eastman: J. Appl. Phys. 85, 7727 (1999) S. K. O’Leary, B. E. Foutz, M. S. Shur, L. F. Eastman: Solid State Commun. 118, 79 (2001) T. F. de Vasconcelos, F. F. Maia Jr., E. W. S. Caetano, V. N. Freire, J. A. P. da Costa, E. F. da Silva Jr.: J. Cryst. Growth 246, 320 (2002) S. K. O’Leary, B. E. Foutz, M. S. Shur, L. F. Eastman: J. Electron. Mater. 32, 327 (2003) M. Wraback, H. Shen, J. C. Carrano, T. Li, J. C. Campbell, M. J. Schurman, I. T. Ferguson: Appl. Phys. Lett. 76, 1155 (2000) S. Krishnamurthy, M. van Schilfgaarde, A. Sher, A.B. Chen: Appl. Phys. Lett. 71, 1999 (1997)
33.35 33.36 33.37 33.38
33.39 33.40 33.41 33.42 33.43 33.44 33.45
33.46 33.47 33.48 33.49
33.50 33.51 33.52 33.53
33.54
33.55 33.56
33.57 33.58 33.59
D. K. Ferry, C. Jacoboni (Eds.): Quantum Transport in Semiconductors (Plenum, New York 1992) N. W. Ashcroft, N. D. Mermin: Solid State Physics (Saunders College, Philadelphia 1976) P. A. Sandborn, A. Rao, P. A. Blakey: IEEE Trans. Electron Dev. 36, 1244 (1989) D. C. Look, J. R. Sizelove, S. Keller, Y. F. Wu, U. K. Mishra, S. P. DenBaars: Solid State Commun. 102, 297 (1997) N. A. Zakhleniuk, C. R. Bennett, B. K. Ridley, M. Babiker: Appl. Phys. Lett. 73, 2485 (1998) B. R. Nag: Electron Transport in Compound Semiconductors (Springer, Berlin, Heidelberg 1980) M. S. Krishnan, N. Goldsman, A. Christou: J. Appl. Phys. 83, 5896 (1998) R. Oberhuber, G. Zandler, P. Vogl: Appl. Phys. Lett. 73, 818 (1998) W. Fawcett, A. D. Boardman, S. Swain: J. Phys. Chem. Solids 31, 1963 (1970) A. Bykhovski, B. Gelmont, M. Shur, A. Khan: J. Appl. Phys. 77, 1616 (1995) A. D. Bykhovski, V. V. Kaminski, M. S. Shur, Q. C. Chen, M. A. Khan: Appl. Phys. Lett. 68, 818 (1996) P. Lugli, D. K. Ferry: IEEE Trans. Electron Dev. 32, 2431 (1985) K. Seeger: Semiconductor Physics: An Introduction, 9th edn. (Springer, Berlin, Heidelberg 2004) S. K. O’Leary, B. E. Foutz, M. S. Shur, L. F. Eastman: J. Mater. Sci.: Mater. Electron. 17, 87 (2006) W. R. L. Lambrecht, B. Segall: In: Properties of Group III Nitrides, EMIS Datareviews Series, ed. by J. H. Edgar (Inspec, London 1994) Chap. 4 M. A. Littlejohn, J. R. Hauser, T. H. Glisson: J. Appl. Phys. 48, 4587 (1977) J. S. Blakemore: J. Appl. Phys. 53, 123 (1982) T. L. Tansley, C. P. Foley: J. Appl. Phys. 59, 3241 (1986) V. Y. Davydov, A. A. Klochikhin, V. V. Emtsev, S. V. Ivanov, V. V. Vekshin, F. Bechstedt, J. Furthmüller, H. Harima, A. V. Mudryi, A. Hashimoto, A. Yamamoto, J. Aderhold, J. Graul, E. E. Haller: Phys. Status Solidi B 230, R4 (2002) J. Wu, W. Walukiewicz, K. M. Yu, J. W. Ager III., E. E. Haller, H. Lu, W. J. Schaff, Y. Saito, Y. Nanishi: Appl. Phys. Lett. 80, 3967 (2002) T. Matsuoka, H. Okamoto, M. Nakao, H. Harima, E. Kurimoto: Appl. Phys. Lett. 81, 1246 (2002) E. G. Brazel, M. A. Chin, V. Narayanamurti, D. Kapolnek, E. J. Tarsa, S. P. DenBaars: Appl. Phys. Lett. 70, 330 (1997) J. G. Ruch: IEEE Trans. Electron Dev. 19, 652 (1972) M. S. Shur, L. F. Eastman: IEEE Trans. Electron Dev. 26, 1677 (1979) M. Heiblum, M. I. Nathan, D. C. Thomas, C. M. Knoedler: Phys. Rev. Lett. 55, 2200 (1985)
827
Part D 33
33.9
References
828
Part D
Materials for Optoelectronics and Photonics
Part D 33
33.60
33.61 33.62 33.63 33.64 33.65 33.66 33.67 33.68
33.69
A. Palevski, M. Heiblum, C. P. Umbach, C. M. Knoedler, A. N. Broers, R. H. Koch: Phys. Rev. Lett. 62, 1776 (1989) A. Palevski, C. P. Umbach, M. Heiblum: Appl. Phys. Lett. 55, 1421 (1989) A. Yacoby, U. Sivan, C. P. Umbach, J. M. Hong: Phys. Rev. Lett. 66, 1938 (1991) E. Tiede, M. Thimann, K. Sensse: Chem. Berichte 61, 1568 (1928) W. C. Johnson, J. B. Parsons, M. C. Crew: J. Phys. Chem. 36, 2561 (1932) R. Juza, H. Hahn: Z. Anorg. Allg. Chem. 239, 282 (1938) H. P. Maruska, J. J. Tietjen: Appl. Phys. Lett. 15, 327 (1969) Z. C. Huang, R. Goldberg, J. C. Chen, Y. Zheng, D. B. Mott, P. Shu: Appl. Phys. Lett. 67, 2825 (1995) L. F. Eastman, V. Tilak, J. Smart, B. M. Green, E. M. Chumbes, R. Dimitrov, H. Kim, O. S. Ambacher, N. Weimann, T. Prunty, M. Murphy, W. J. Schaff, J. R. Shealy: IEEE Trans. Electron Dev. 48, 479 (2001) C. H. Oxley, M. J. Uren: IEEE Trans. Electron Dev. 52, 165 (2005)
33.70
33.71
33.72 33.73 33.74 33.75 33.76 33.77 33.78
M. Wraback, H. Shen, J. C. Carrano, C. J. Collins, J. C. Campbell, R. D. Dupuis, M. J. Schurman, I. T. Ferguson: Appl. Phys. Lett. 79, 1303 (2001) A. Matulionis, J. Liberis, L. Ardaravi˘cius, M. Ramonas, I. Matulioniene, J. Smart: Semicond. Sci. Technol. 17, 9 (2002) C. Bulutay, B. K. Ridley, N. A. Zakhleniuk: Phys. Rev. B 68, 115205 (2003) R. Brazis, R. Raguotis: Appl. Phys. Lett. 85, 609 (2004) A. A. P. Silva, V. A. Nascimento: J. Lumin. 106, 253 (2004) S. Gökden: Physica E 23, 198 (2004) B. K. Ridley, W. J. Schaff, L. F. Eastman: J. Appl. Phys. 96, 1499 (2004) A. Matulionis, J. Liberis: IEE Proc. Circ. Dev. Syst. 151, 148 (2004) T. V. Shubina, S. V. Ivanov, V. N. Jmerik, M. M. Glazov, A. P. Kalavarskii, M. G. Tkachman, A. Vasson, J. Leymarie, A. Kavokin, H. Amano, I. Akasaki, K. S. A. Butcher, Q. Guo, B. Monemar, P. S. Kop’ev: Phys. Status Solidi A 202, 377 (2005)
829
34. II–IV Semiconductors for Optoelectronics: CdS, CdSe, CdTe
II–IV Semicon 34.1 Background ........................................ 34.2 Solar Cells ........................................... 34.2.1 Basic Description of Solar Cells .... 34.2.2 Design of Cd-Based Solar Cells .... 34.2.3 Development of CdS/CdTe Solar Cells ................ 34.2.4 CdZnTe Solar Cells ...................... 34.2.5 The Future of Cd-Based Solar Cells .............. 34.3 Radiation Detectors ............................. 34.3.1 Basic Description of Semiconductor Radiation Detectors .... 34.3.2 CdTe and CdZnTe Radiation Detectors.................................. 34.3.3 Performance of CdTe and CdZnTe Detectors ...... 34.3.4 Applications of CdTe and CdZnTe Detectors ...... 34.4 Conclusions ......................................... References ..................................................
829 829 829 830 831 834 834 834 835 835 836 839 840 840
34.1 Background Cd-based compounds are very important semiconductor materials in the II–VI family. The attraction of Cd-based binary and ternary compounds arises from their promis-
ing applications as solar cells, γ - and X-ray detectors etc. These devices made from Cd-based materials are being widely applied in many fields.
34.2 Solar Cells With the development of human society, energy sources in the earth are being slowly exhausted and we are faced with a serious problem. The solar cell is one substitute for fossil fuels and is being realized throughout the world. For this reason, solar-cell technologies have been developed since work was started by Becquerel in 1839 [34.1]. The solar cell has now been applied to daily life, industry, agriculture, space exploration, military affairs etc. Solar cells have many advantages. Firstly, sunlight as an energy source for power generation is not only limitless but can be used freely. Secondly, since light is
directly converted to electricity, the conversion process is clean, noise-free and not harmful to the environment, unlike a mechanical power generator. Thirdly, solar cells need little maintenance.
34.2.1 Basic Description of Solar Cells A solar cell is a semiconductor device that directly converts light energy into electrical energy through the photovoltaic process. The basic structure of a solar cell is shown in Fig. 34.1. A typical solar cell consists of a junction formed between an n-type and a p-type
Part D 34
Owing to their suitable band gaps and high absorption coefficients, Cd-based compounds such as CdTe and CdS are the most promising photovoltaic materials available for lowcost high-efficiency solar cells. Additionally, because of their large atomic number, Cdbased compounds such as CdTe and CdZnTe, have been applied to radiation detectors. For these reasons, preparation techniques for these materials in the polycrystalline films and bulk single crystals demanded by these devices have advanced significantly in recent decades, and practical applications have been realized in optoelectronic devices. This chapter mainly describes the application of these materials in solar cells and radiation detectors and introduces recent progress.
834
Part D
Materials for Optoelectronics and Photonics
Part D 34.3
In addition to dry processes such as screen printing, close-spaced sublimation etc., electrodeposition [34.24–27] has been also investigated for preparing polycrystalline CdTe layers for solar cells. Awakura and coworkers [34.25–27] reported the cathodic deposition behavior of CdTe thin layers under irradiation by visible light using ammoniacal basic aqueous solution as an electrolytic bath. Both deposition current density and current efficiency for the CdTe deposition were enhanced by irradiation. The deposited rate was over 10 times high than non-photoassisted electrodeposition. This was believed to represent significant progress in reducing the cost of Cd-based solar cells. To date, solar cells with an n-CdS/p-CdTe heterojunction have been reported with efficiencies as high as 16% [34.22]. Recently, it was reported that thin-layered n-CdS/p-CdTe heterojunction solar cells have already been manufactured industrially [34.28]. n-CdS film with a thickness range of 500–1000 Å was deposited from an aqueous solution directly onto a transparent conductive oxide (TCO) substrate. After the CdS film was annealed for densification and grain growing, p-CdTe layer was formed by electrochemical deposition. The CdTe film was then annealed in air at 450 ◦ C. Subsequently, monolithic TCO/CdS/CdTe was cut into discrete cells using an infrared laser. After other preparing process, a maximum efficiency of 10.6% for a CdTe 0.94-m2 module with a power of 91.5 W was fabricated. The test results showed good stability. For practical applications, a 10-MW CdTe solar-cell manufacturing plant has been constructed [34.28].
34.2.4 CdZnTe Solar Cells The ternary compound cadmium zinc telluride (CdZnTe, CZT) has potential for the preparation of high-efficiency tandem solar cell since its band gap can be tuned from 1.45 to 2.26 eV [34.29, 30]. McCandless et al. [34.29] deposited Cd1−x Znx Te films using the physical vapor deposition (PVD) and vapor transport deposition (VTD) techniques. The film composition was between 0.35 and 0.6, corresponding to a band gap from 1.7 to 1.9 eV. Post-deposition treatment of CdZnTe films in ZnCl2 vapor at 400 ◦ C resulted in no change to
the alloy composition and caused recrystallization. Solar cells made from Cd1−x Znx Te films with x ≈ 0.35 exhibited Voc = 0.78 V and Jsc < 10 mA/cm2 . These results were similar to those obtained from CdS window layers. Analysis of the spectral response indicated that Cd1−x Znx Te with x ≈ 0.35 has a band gap of about 1.7 eV. Gidiputti et al. [34.30] used two deposition technologies, co-sputtering from CdTe and ZnTe targets and co-close-spaced sublimation (CCSS) from CdTe and ZnTe powders, to prepare CdZnTe films. A structure similar to the CdTe superstrate configuration was initially utilized for cell fabrication: glass/ITO/CdS/CZT/graphite. Typical solar cell parameters obtained for CdZnTe/CdS (when E g (CdZnTe) = 1.72 eV) solar cells were Voc = 720 mV, and Jsc = 2 mA/cm2 . However, the spectral response indicated increasing loss of photocurrent at longer wavelengths. In order to improve the collection efficiency, CdZnTe devices were annealed in a H2 atmosphere. This postprocessing treatment showed that Jsc increased to over 10 mA/cm2 . Study of CdZnTe solar cells is being carried out in various directions.
34.2.5 The Future of Cd-Based Solar Cells From the development process of Cd-based solar cells, the study on the laboratory scale is being transfered to large-scale deposition and cell fabrication. Modules are being developed throughout the world, using the screen printing, evaporative deposition and close-space sublimation [34.31–33] In order to make a commercial Cd-based photovoltaic cell with its full potential, a large-scale high-throughput manufacturing process is required. The process must possess excellent yields and produce highefficiency devices with good long-term stability. In order to progress towards these goals, a pilot system for continuous, inline processing of CdS/CdTe devices has been developed [34.34–36]. High-quality low-cost thin-film CdTe modules with an average total area efficiency of 8% and cascaded production-line yield of > 70% have been manufactured, and technology-development programs will further increase production-line module efficiency to 13% within five years [34.36].
34.3 Radiation Detectors A radiation detector is a device that converts a radiation ray into electrical signal. They can be divided into gas-filled detectors, scintillation detectors and
semiconductor detectors. Since semiconductor radiation detectors have a high spectrometric performance, and can be made portable, they have been applied
II–IV Semiconductors for Optoelectronics: CdS, CdSe, CdTe
Progresses in Crystal Growth of High Quality CdTe and CdZnTe Various techniques have been applied to grow highquality CdTe and CdZnTe single crystals. The Bridgman method [34.44, 45], the traveling-heater method [34.46, 47], growth from Te solvent [34.48], the gradient-freeze method [34.49,50], and physical vapor transport [34.51, 52] are the most widely used. Crystals with applicable quality and size have become available, and have also fostered the rapid progress of research on CdTe. As-grown CdTe single crystals commonly contain high concentration of both residual impurities and intrinsic defects. Preparation of high-purity highresistivity CdTe and CdZnTe crystals has been widely attempted [34.53]. Early works was done by Triboulet and Marfaing [34.54] in obtaining high-purity lightly compensated zone-melting growth following synthesis by the Bridgman method. The room-temperature carrier concentrations range from 1 to 5×1013 cm−3 , and the resistivity from 100 to 400 Ω cm. The carrier mobility at 32 K reaches as high as 1.46 × 105 cm2 /Vs. The total concentration of electrically active centers was estimated to be about 1014 cm−3 . Usually, as-grown CdTe crystal shows p-type conductivity owing to the existence of remaining acceptor impurities or native defects. For this reason, many results have been reported in preparing high-resistivity CdTe. Chlorine (Cl) is thought to be a suitable donor for the compensation of these remaining acceptors because
Cl can be doped quite uniformly due to its very small segregation coefficient [34.55]. The growth of high-resistivity CdTe:Cl single crystals with device quality was successfully performed by the traveling-heater method (THM) [34.55]. Solvent alloys for THM growth were synthesized in ampoules filled with Te, CdTe and CdCl2 so that the molar ratio of Te/Cd was the same as in the solvent zone during the growth. The Cl concentration in the grown crystal was 2 weight − ppm. According to the dynamics of the crystal growth, it is important to control the shape of the solid–liquid to grow high-quality single crystals. Therefore, the solvent volume was optimized. The use of a slightly tilted seed from B was also effective in limiting the generation of twins with different directions. Single-crystal (111) wafers, larger than 30 × 30 mm2 were successfully obtained from a grown crystal with a diameter of 50 mm. Pt/CdTe/In detectors with dimensions of 2 × 2 × 0.5 mm3 showed better energy resolution, because a higher electric field can be applied. The effective detector resistivity was estimated to be 1011 Ωcm. CdTe doped with chlorine (Cl) or indium (In) with a resistivity of 3 × 109 Ωcm and CdZnTe with a resistivity of 5 × 1010 Ωcm were grown by the high-pressure Bridgman (HPB) technique [34.56]. The material was polycrystalline with large grains and twins. Although the crystalline quality of HPB CdTe and CdZnTe is poor, the grains are large enough to obtain volume detectors of several cm3 . Photoluminescence (PL) spectra at 4 K showed that the free exciton could be observed and the FWHM of the bound excitons is very low. Some impurity emissions were identified. The detectors were fabricated from HPB CdTe and CdZnTe. These detectors showed excellent performance. Gamma-ray spectra were presented with high-energy resolution in an energy range from 60 to 600 keV. Using a 10×10×2 mm2 HPB detector, at a bias of 300 V, the peak at 122 keV from 57 Co had a FWHM of 5.2 KeV. Detectors with high-energy resolution were fabricated. Because of the difference in vapor pressure between Cd and Te, grown crystals contain a large number of Cd vacancies (VCd ). These Cd vacancies manifest acceptor behaviour. Therefore, high-resistivity CdTe can also be obtained by controlling the concentration of Cd vacancies. However, a prerequisite is that the purity of the CdTe crystal is high enough that the remaining impurities do not play a substantial role in determining the conductivity. Recently, the preparation of ultra-highpurity CdTe single crystals was reported [34.57, 58]. In order to obtain a high purity the starting mater-
837
Part D 34.3
problem of poor transport and collection of carriers, especially hole, can be overcome by applying high voltage to device and a range of electrode config. The former is related to high resistivity material, and later is related to the design of a detector. In addition, it was found that detected pulse signal intensity degraded with the time [34.42, 43]. This phenomenon was thought to be mainly related to the defects existing in bandgap. When the detector starts to work, these defects could act as slowly ionized acceptors. The low resistivity and poor quality material always exhibits such a polarization effect. Summarizing above, improvement of a detector performance is equivalent to the improvement of the crystallinity of CdTe and CdZnTe. The key feature of all applications except substrate materials of CdTe and CdZnTe is the resistivity. This is because high resistivity can be obtained only by controlling native defects and impurity concentration. For many years, much effort was done in preparing high quality CdTe and CnZnTe single crystals.
34.3 Radiation Detectors
II–IV Semiconductors for Optoelectronics: CdS, CdSe, CdTe
34.3.4 Applications of CdTe and CdZnTe Detectors Owing to the convenience of the smaller collimator, better resolution and temperature stability, CdTe and CZT detectors have been used in safeguard applications by the International Atomic Energy Agency (IAEA) and some countries for over ten years [34.64, 65]. With the gradual improvement in performance, CdTe and CdZnTe detectors are replacing NaI detectors used in spent-fuel attribute tests [34.66], though their sensitivity is still low compared to NaI and Ge detectors, although already sufficient for many applications. CdTe detectors have a sensitive volume of about 20–100 mm3 and a probe diameter of 8–9 mm. CdZnTe detectors have a larger volume than CdTe detectors. The largest commercial CdZnTe detectors have a geometric volume of 1687 mm3 (15 × 15 × 7.5 mm3 ). Detectors are mainly of hemispheric design to obtain
high carrier-collection efficiency. These large-volume detectors have been made into portable and hand-held isotope-identification devices and are being used to detect radioactive sources.They will become commercially available in the near future. At present, conventional X-ray film or scintillator mammograms are used in medical diagnostics such as screening for breast cancer. However, these show a nonlinear response to X-ray intensity and the detection quantum efficiency is low. Room-temperature semiconductor detectors such as CdTe and CdZnTe have favorable physical characteristics for medical applications. From the start of these investigations in the 1980s, rapid progress has been achieved [34.67–72]. Barber [34.68] presented results concerning, first, a CdTe two-dimensional (2-D) imaging system (20 × 30 mm2 with 400×600 pixels) for dental radiology and, second, a CdZnTe fast pulse-correction method applied to a 5×5×5 mm3 CdZnTe detector (energy resolution of 5% for a detection efficiency of 85% at 122 keV) for medical imaging. After that, a 2-mm-thick CdZnTe detector was fabricated for application to digital mammography [34.70]. The preliminary images showed high spatial resolution and efficiency. Furthermore, CdTe and CdZnTe detectors with a thickness of 0.15–0.2 mm were fabricated [34.72]. The detectors are indium-bumpbonded onto a small version of a chip. Their detection quantum efficiency was measured as 65%. This result showed that CdTe and CdZnTe detectors are superior to scintillator-based digital systems, whose quantum efficiency is typically around 30 −-40%. This showed that CdTe and CdZnTe detectors have potential applications in medical imaging, as well as industrially for nondestructive evaluation inspection. In universe exploration, Cd-based detectors can be used in an advanced Compton telescope (ACT) planned as the next-generation space-based instrument devoted to observations of low/medium-energy γ -rays (≈0.2–30 MeV) and to the nonthermal energy exploration telescope (NeXT) [34.73]. In the universe, radiation rays have a wide energy range of 0.5–80 keV. In order to detect this wide range of radiation, Takahashi et al. [34.74–76] proposed a new focal-plane detector based on the idea of combining an X-ray chargecoupled device (CCD) and a CdTe pixel detector as the wide-band X-ray imager (WXI). The WXI consists of a soft-X-ray imager and a hard-X-ray imager. For the detection of soft X-rays (10–20 keV) with high positional resolution, a CCD with a very thin dead layer will be used. For hard X-rays, CdTe pixel detectors serve as absorbers. This study is now under way.
839
Part D 34.3
very high resolution. Owing to its high stopping power and high resolution at room temperature, pixel imagers have also been developed in the same group, and it is thought that this large diode detector could possibly be a substitute for scintillation detectors. Since the carriers drift slow and have a short lifetime in CdTe detectors, the number of photons in the photopeak is reduced and the spectrum is distorted by a tail towards lower energies. For these reasons, CdTe detectors are usually made into Schottky diodes, because this structure can withstand much higher bias voltage with a leakage current orders of magnitude lower than detectors with Ohmic contacts. Takahashi et al. [34.63] adopted the configuration of Schottky CdTe diode, which due to the low leaking current, makes it possible to apply a much higher bias voltage to ensure complete charge collection in relatively thin (< 1 mm) devices. Both the improved charge-collection efficiency and the low leakage current lead to an energy resolution of better than 600 eV FWHM at 60 keV for a 2×2 mm2 device without any electronics for charge-loss correction. Meanwhile, they also fabricated large-area detectors with dimensions of 21.5×21.5 mm2 , with a thickness of 0.5 mm and an energy resolution of 2.8 keV. Stacked detectors can measure the energies as high as 300 keV. Furthermore, a large-array detector, consisting of 1024 individual CdTe diodes, was also made. Every detector had a dimension of 1.2×5.0 mm2 . The total area, including the spaces between the detector elements, is 44×44 mm2 . This array detector is expected to be used in next-generation Compton telescopes.
34.3 Radiation Detectors
840
Part D
Materials for Optoelectronics and Photonics
34.4 Conclusions
Part D 34
Devices fabricated from Cd-based compounds, such as solar cells and radiation detectors, are being applied in our daily life. In the case of solar cells, although recent success have improved their conversion efficiency and reduced the cost, many problems remain. Fundamental understanding of the CdTe-based solarcell properties is limited, particularly as a result of their polycrystalline nature. Therefore, the fundamental electronic properties of polycrystalline Cd-based thin films should be studied deeply. Other challenges are to reduce the cost and to lengthen the operating life span. These problems are being studied and solved [34.77]. In the case of radiation detectors, CdTe and CdZnTe detectors have many advantages, such as roomtemperature operation, high count rates, small size, and direct conversion of photons to charge, which make them attractive candidates for a wide variety of applications in industrial gauging and analysis, as well as medical instrumentation and other areas. These detectors are being made available commercially at present. However, they have severe problems such as polarization effects, long-term stability and their high price. Further efforts should still be focused on the preparation of high-quality materials and improvement of the stability and reliability of detectors. We are confident that radiation detectors made from
Cd-based compounds will achieve more widespread application.
• • • • • • • • •
Definition of Terms Cd-based compound semiconductor: a semiconductor that contains the element Cd. Heterojunction: a junction between semiconductors that differ in their doping-level conductivities, and also in their atomic or alloy composition. Band gap: energy difference between the conduction band and the valence band. n-type conductivity: a semiconductor material, with electrons as the majority charge carriers, that is formed by doping with donor atoms. p-type conductivity: a semiconductor material in which the dopants create holes as the majority charge carrier, formed by doping with acceptor atoms. Solar cell: a semiconductor device that converts the energy of sunlight into electric energy. Also called a photovoltaic cell. Semiconductor detector: a device that converts the incident photons directly into an electrical pulse. Conversion efficiency: the ratio of incident photon energy and output electricity energy. Detection efficiency: percentage of radiation incident on a detector system that is actually detected.
References 34.1 34.2 34.3
34.4 34.5
34.6 34.7 34.8
E. Becquerel: Compt. Rend. Acad. Sci. (Paris) 9, 561 (1839) Yu. A. Vodakov, G. A. Lomakina, G. P. Naumov, Yu. P. Maslakovets: Sov. Phys. Solid State 2, 1 (1960) T. Aramoto, S. Kumazawa, H. Higuchi, T. Arita, S. Shibutani, T. Nishio, J. Nakajima, M. Tsuji, A. Hanafusa, T. Hibino, K. Omura, H. Ohyama, M. Murozono: Jpn. J. Appl. Phys. 36, 6304 (1997) B. Yang, Y. Ishikikawa, T. Miki, Y. Doumae, M. Isshiki: J. Cryst. Growth 179, 410 (1997) A. W. Brinkman: Properties of Narrow Gap Cadmium-Based Compounds. In: Electronic Materials Information Services, Vol. 10, ed. by P. Capper (IEE, London 1994) p. 591 R. W. Swank: Phys. Rev. 156, 844 (1967) D. A. Cusano: Solid State Electron. 6, 217 (1963) R. G. Little, M. J. Nowlan: Progress in Photovoltaics 5, 309 (1997)
34.9
34.10
34.11
34.12 34.13
34.14 34.15
Y.-S. Tyan, E. A. Perez-Albuerne: In: Proc. 16th IEEE Photovoltaic Specialists Conf. (IEEE, New York 1982) p. 794 J. M. Woodcock, A. K. Turner, M. E. Özsan, J. G. Summers: In: Proc. 22nd IEEE Photovoltaic Specialists Conf., Las Vegas (IEEE, New York 1991) p. 842 K. Kuribayashi, H. Matsumoto, H. Uda, Y. Komatsu, A. Nakano, S. Ikegami: Jpn. J. Appl. Phys. 22, 1828 (1993) J. Britt, C. Ferekides: Appl. Phys. Lett 62, 2851 (1993) H. W. Schock, A. Shah: In: Proc. 14th European Photovoltaics Solar Energy Conf., ed. by H. A. Ossenbrink, P. Helm, H. Ehmann (H. S. Stephens & Ass., Bedford, UK 1997) p. 2000 A. D. Compaan, A. Gupta, J. Drayton, S.-H. Lee, S. Wang: Phys. Stat. Solid B 241, 779 (2004) R. W. Dutton, R. S. Muller: Solid State Electron. 11, 749 (1968)
II–IV Semiconductors for Optoelectronics: CdS, CdSe, CdTe
34.16 34.17
34.18
34.20
34.21 34.22
34.23 34.24 34.25 34.26 34.27 34.28 34.29
34.30
34.31 34.32
34.33
34.34
34.35
34.36
34.37 34.38 34.39
34.40 34.41
34.42 34.43
34.44 34.45 34.46 34.47 34.48 34.49 34.50 34.51 34.52 34.53
34.54 34.55 34.56 34.57
34.58 34.59
A. Abken, C. Hambro, P. Meyers, R. Powell, S. Zafar: NCPV and solar Program Review Meeting Proceeding, March 24-26 2003, Denver, Colorado (NREL, Golden, Colorado 2003) p. 393 Available in electronic form, NREL/CD-520-33586 K. Zanio: , Vol. 13 (Academic, New York 1978) p. 164 R. Triboulet, Y. Marfaing, A. Cornet, P. Siffert: J. Appl. Phys. 45, 2759 (1974) G. Sato, T. Takahashi, M. Sugiho, M. Kouda, T. Mitani, K. Nakazawa, Y. Okada, S. Watanabe: IEEE Trans. Nucl. Sci 48, 950 (2001) C. Szeles: Phys. Stat. Solid B 241, 783 (2004) H. Yoon, J. M. Van Scyoc, T. S. Gilbert, M. S. Goorsky, B. A. Brunett, J. C. Lund, H. Hermon, M. Schieber, R. B. James: Infrared Applications of Semiconductors II. Symposium, Boston, MA, USA, 1–4 Dec. 1997, ed. by D. L. McDaniel Jr., M. O. Manasreh, R. H. Miles, S. Sivananthan, P. A. Warrendale (Materials Research Society, Pittsburgh, PA 1998) p. 241. USA: Mater. Res. Soc, 1998 R. O. Bell, G. Entine, H. B. Serreze: Nucl. Instrum. Methods 117, 267 (1974) P. Siffert, J. Berger, C. Scharager, A. Cornet, R. Stuck, R. O. Bell, H. B. Serreze, F. V. Wald: IEEE Trans. Nucl. Sci. 23, 159 (1976) R. K. Route, M. Woff, R. S. Feigelson: J. Cryst. Growth 70, 379 (1984) K. Y. Lay, D. Nichols, S. McDevitt, B. E. Dean, C. J. Johnson: J. Cryst. Growth 86, 118 (1989) R. O. Bell, N. Hemmat, F. Wald: Phys. Stat. Solid A 1, 375 (1970) R. Triboulet, Y. Mafaing, A. Cornet, P. Siffert: J. Appl. Phys. 45, 375 (1970) K. Zanio: J. Electron. Mat. 3, 327 (1974) M. Azoulay, A. Raizman, G. Gafni, M. Roth: J. Cryst. Growth 101, 256 (1990) A. Tanaka, Y. Masa, S. Seto, T. Kawasaki: Mater. Res. Soc. Symp. Proc. 90, 111 (1987) W. Akutagawa, K. Zanio: J. Cryst. Growth 11, 191 (1971) C. Ceibel, H. Maier, R. Schmitt: J. Cryst. Growth 86, 386 (1988) M. Isshiki: Wide-gap II–VI Compounds for OptoElectronic Applications (Chapman Hall, London 1992) p. 3 R. Triboulet, Y. Mafaing: J. Electrochem. Soc. 120, 1260 (1973) M. Funaki, T. Ozaki, K. Satoh, R. Ohno: Nucl. Instr. Meth. A 322, 120 (1999) M. Fiederle, T. Feltgen, J. Meinhardt, M. Rogalla, K. W. Benz: J. Cryst. Growth 197, 635 (1999) B. Yang, Y. Ishikawa, Y. Doumae, T. Miki, T. Ohyama, M. Isshiki: J. Cryst. Growth 172, 370 (1997) S. H. Song, J. Wang, M. Isshiki: J. Cryst. Growth 236, 165 (2002) http://urila.tripod.com/crystal.htm
841
Part D 34
34.19
K. W. Mitchell, A. L. Fahrenbruch, R. W. Bube: J. Appl. Phys 48, 4365 (1977) H. Uda, A. Nakano, K. Kuribayashi, Y. Komatsu, H. Matsumoto, S. Ikegami: Jpn. J. Appl. Phys. 22, 1822 (1983) N. Nakayama, H. Matsumoto, K. Yamaguchi, S. Ikegami, Y. Hioki: Jpn. J. Appl. Phys. 15, 2281 (1976) S. Ikegami, T. Yamashita: J. Electron. Mater. 8, 705 (1979) N. Nakayama, H. Matsumoto, A. Nakano, S. Ikegami, H. Uda, T. Yamashita: Jpn. J. Appl. Phys. 19, 703 (1980) J. Britt, C. Ferikides: Appl. Phys. Lett 62, 2851 (1993) T. Aramoto, S. Kumazawa, H. Higuchi, T. Arita, S. Shibutani, T. Nishio, J. Nakajima, M. Tsuji, A. Hanafusa, T. Hibino, K. Omura, H. Ohyama, M. Murozono: Jpn. J. Appl. Phys. 36, 6304 (1997) M. Tsuji, T. Aramoto, H. Ohyama, T. Hibino, K. Omura: Jpn. J. Appl. Phys. 39, 3902 (2000) M. P. R. Panicker, M. Knaster, F. A. Kröger: J. Electrochem. Soc. 125, 566 (1978) K. Murase, H. Uchida, T. Hirato, Y. Awakura: J. Electrochem. Soc. 146, 531 (1999) K. Murase, M. Matsui, M. Miyake, T. Hirato, Y. Awakura: J. Electrochem. Soc. 150, 44 (2003) M. Miyake, K. Murase, H. Inui, T. Hirato, Y. Awakura: J. Electrochem. Soc. 151, 168 (2004) D. W. Cunningham, M. Rubcich, D. Skinner: Prog. Photovoltaics 10, 59 (2002) B. McCandless, K. Dobson, S. Hegedus, P. Paulson: NCPV and Solar Program Review Meeting Proceeding, March 24-26 2003, Denver, Colorado (NREL, Golden, Colorado 2003) p. 401 Available in electronic form, NREL/CD-520-33586 G. Gidiputti, P. Mahawela, M. Ramalingan, G. Sivaraman, S. Subramanian, C. S. Ferekides, D. L. Morel: NCPV and Solar Program Review Meeting Proceeding, March 24-26 2003, Denver, Colorado (NREL, Golden, Colorado 2003) p. 896 Available in electronic form, NREL/CD-520-33586 P. D. Maycock: PV News 17, 3 (1998) R. C. Powell, U. Jayamaha, G. L. Dorer, H. McMaster: Proc. NCPV Photovoltaics Program, Review, ed. by M. Al-Jassim, J. P. Thornton, J. M. Gee (American Institute of Physics, New York 1995) p. 1456 D. Bonnet, H. Richter, K.-H. Jager: Proc. 13th European Photovoltaic Solar Energy Conference, ed. by W. Freiesleben, W. Palz, H. A. Ossenbrink, P. Helm (Stephens, Bedford, UK 1996) p. 1456 K. Zweibel, H. Ullal: Proceeding of the 25th IEEE Photovoltaic Specialists Conference, Washington DC 1996 (IEEE, New York 1996) p. 745 K. L. Barth, R. A. Enzenroth, W. S. Sampath: NCPV and solar Program Review Meeting Proceeding, March 24-26 2003, Denver, Colorado (NREL, Golden, Colorado 2003) p. 904 Available in electronic form, NREL/CD-520-33586
References
842
Part D
Materials for Optoelectronics and Photonics
34.60 34.61 34.62
Part D 34
34.63
34.64 34.65
34.66 34.67
T. Takahashi, S. Watanabe: IEEE Trans. Nucl. Sci. 48, 950 (2001) M. Amman, J. S. Lee, P. N. Luke: J. Appl. Phys. 92, 3198 (2002) K. Nakazawa, K. Oonuki, T. Tanaka, Y. Kobayashi, K. Tamura, T. Mitani, G. Sato, S. Watanabe, T. Takahashi, R. Ohno, A. Kitajima, Y. Kuroda, M. Onishi: IEEE Trans. Nucl. Sci. 51, 1881 (2004) T. Takahashi, T. Mitani, Y. Kobayashi, M. Kouda, G. Sato, S. Watanabe, K. Nakazawa, Y. Okada, M. Funaki, R. Ohno, K. Mori: IEEE Trans. Nucl. Sci 49, 1297 (2002) R. Arlt, D. E. Rundquist: Nucl. Instr. Methods Phys. Res. A 380, 455 (1996) T. Prettyman: 2nd Workshop on Science and Modern Technology for Safeguards, Albuquerque, NM, U.S.A., 21-24 September 1998, ed. by C. Foggi, E. Petraglia (European Commission, Albuquerque, NM 1998) W. K. Yoon, Y. G. Lee, H. R. Cha, W. W. Na, S. S. Park: INMM J. Nucl. Mat. Manage. 27, 19 (1999) C. Scheiber, J. Chambron: Nucl. Instr. Meth. A 322, 604 (1992)
34.68 34.69 34.70
34.71 34.72
34.73
34.74
34.75
34.76
34.77
H. B. Barber: J. Electron. Mater. 25, 1232 (1996) L. Verger, J. P. Bonnefoy, F. Glasser, P. OuvrierBuffet: J. Electron. Mater. 26, 738 (1997) S. Yin, T. O. Tümay, D. Maeding, J. Mainprize, G. Mawdsley, M. J. Yaffe, W. J. Hamilton: IEEE Trans. Nucl. Sci. 46, 2093 (1999) C. Scheiber: Nucl. Instr. Meth. A 448, 513 (2000) S. Yin, T. O. Tümay, D. Maeding, J. Mainprize, G. Mawdsley, M. J. Yaffe, E. E. Gordon, W. J. Hamilton: IEEE Trans. Nucl. Sci. 49, 176 (2002) T. Tanaka, T. Kobayashi, T. Mitani, K. Nakazawa, K. Oonuki, G. Sato, T. Takahashi, S. Watanabe: New Astron. Rev. 48, 269 (2004) T. Takahashi, B. Paul, K. Hirose, C. Matsumoto, R. Ohno, T. Ozaki, K. Mori, Y. Tomita: Nucl. Instr. Meth. A 436, 111 (2000) T. Takahashi, K. Nakazawa, T. Kamae, H. Tajima, Y. Fukazawa, M. Nomachi, M. Kokubun: SPI 4851, 1228 (2002) T. Takahashi, K. Makishima, Y. Fukazawa, M. Kokubun, K. Nakazawa, M. Nomachi, H. Tajima, M. Tashiro, Y. Terada: New Astron. Rev. 48, 309 (2004) V. K. Krishna, V. Dutta: J. Appl. Phys 96, 3962 (2004)
843
35. Doping Aspects of Zn-Based Wide-Band-Gap Semiconductors
Doping Aspec
The present Chapter treats the wide-band-gap (defined here as greater than 2 eV) Zn chalcogenides (as well as ZnBeSe), i. e., ZnSe, ZnS, and ZnO, with roomtemperature band gaps of 2.7 eV, 3.7 eV, and 3.4 eV, respectively. We shall here concentrate mainly on bulk properties, since quantum dots and quantum wells are treated elsewhere in this Handbook except when these (or other nanostructures) are involved in bulk doping (Sect. 35.1.2). The primary emphasis will be on literature from 2000 to 2004. Moreover, since there have been few publications on ZnS in the last four years (our litarture search showed only seven publications) [35.1–7], the present review will effectively cover ZnSe, ZnBeSe, and ZnO. It is well known that the primary interest in these materials is their ability to provide light emission and/or detection in the green and higher spectral ranges. One of the major problems for these materials is obtaining good bipolar doping, in particular good p-type doping
35.1
ZnSe ................................................... 35.1.1 Doping – Overview .................... 35.1.2 Results on p-Type Material with N as the Primary Dopant ..... 35.2 ZnBeSe ............................................... 35.3 ZnO .................................................... 35.3.1 Doping..................................... 35.3.2 Optical Properties ...................... References ..................................................
843 843 845 848 849 849 850 851
in ZnO is not yet well established, so this aspect is also briefly covered.
for ZnO, ZnSe, and ZnBeSe with low fractions of Be; this problem has for instance been reviewed for ZnO by Pearton et al. [35.8] and by Look and Claflin [35.9] and for ZnSe by Neumark [35.10]. A second problem, especially for ZnSe-based devices, is that of degradation under photon irradiation, including those generated during light emission [35.11–14]. It is for this reason that ZnBeSe is of high interest, since Be is expected to harden ZnSe, i. e. to reduce defect formation and thus degradation [35.15–17]. ZnO is one of the most studied materials in the group of II–VI semiconductors because of its wide band gap (3.36 eV at room temperature) and its bulk exciton-binding energy (60 meV), which is larger than the room-temperature thermal energy. In addition to room-temperature ultraviolet (UV) optoelectronic devices, it can be used for magnetic [35.18] and biomedical applications [35.19] and references therein.
35.1 ZnSe 35.1.1 Doping – Overview Despite many years of effort, p-type doping of ZnSe is still a problem. The main success to date has been achieved with nitrogen as the primary dopant. Of other dopants, Li diffuses extremely fast [35.20] and also self-compensates via interstitial Li [35.20, 21], Na has a predicted maximum equilibrium solubility of
5 × 1017 cm−3 [35.22] and also self-compensates (via interstitial Na), as shown by Neumark et al. [35.23], P and As give DX centers and thus give deep levels (as summarized for instance by Neumark [35.10]), and Sb to date has given net acceptor concentrations of only about 1016 cm−3 (see Table 35.1). Regarding, N doping, Table 35.2 lists recent results on concentrations of holes (p) or net acceptors ([n a − n d ]), where n a (n d ) is the accep-
Part D 35
The present Chapter deals with the wide-bandgap (defined here as greater than 2 eV) Zn chalcogenides, i. e. ZnSe, ZnS, and ZnO (mainly in bulk form). However, since recent literature on ZnS is minimal, the main coverage is of ZnSe and ZnO. In addition Zn1−x Bex Se (x ≤ 0.5) is included, since Be is expected to reduce degradation (from light irradiation/emission) in ZnSe. The main emphasis for all these materials is on doping, in particular p-type doping, which has been a problem in all cases. In addition, the origin of light emission
844
Part D
Materials for Optoelectronics and Photonics
Table 35.1 p-type doping of ZnSe with dopants other than N p (cm−3 )
Dopant Sb Sb Sb Sb K Na Co-doping Li, I Co-doping Li, Cl GaAs:Zn nano-cluster
Part D 35.1
a metalorganic
na − nd (cm−3 ) 1.5 × 1016
Ea (meV)
Method
Reference
69
MOVPE MOVPE MOVPE PVT Eximer laser Eximer laser MOVPE MBE MOMBEa
[35.25] [35.26] [35.27] [35.28] [35.29] [35.29] [35.30] [35.31] [35.32]
≈ 1016 55±5 (7±3) × 1016 9 × 1017 5 × 1019 2 × 1016 3.8 × 1016 1 × 1017
molecular beam epitaxy
Table 35.2 Doped ZnSe with p or (n a − n d ) above 1018 cm−3 Sub-monolayer (N + Te) δ3 -doped Li3 N diffusion MOVPE-grown N-doped ZnSe/ZnTe:N δ-doped superlattice
Best p or na − nd (cm−3 )
Ea (meV)
Comments on degradation
Reference
6 × 1018 8 × 1018 1 × 1018 7 × 1018
38–87
Expected to be minimal
30
Expected to be high
[35.24, 33] [35.34] [35.35] [35.36]
Table 35.3 n-type doping of ZnSe Dopant
n (cm−3 )
Method
Cl
Cl
MBE
Cl
MBE
Al
4.2 × 1018 − 1.2 × 1019
MBE
Br Br
1.4–4.1 × 1017 4.0 × 1016
Vertical sublimation PVT
In
a donor–acceptor
Dopant diffusion
Comments
Reference
The PL is dominated by the Cl0 X line at 2.797 eV (10 K). Above 200 K, the intensity of the Cl0 X line decreases rapidly due to the presence of a nonradiative center with a thermal activation energy of ≈ 90 meV. The decrease of the Cl0 X line over the temperature range 10–200 K is due to the thermal activation of the Cl0 X line bound exciton to a free exciton with abactivation energy of ≈ 9.0 meV At high ZnCl2 beam intensity, crystallinity deteriorates due to excess Cl atom At low T , the dominate PL is due to neutral donorbound excitons; at high T , the dominate PL is due to free-to-bound recombination. At low T , two additional lines on the high-energy side are observed (light- and heavy-hole freeexciton transitions); one additional peak at the low-energy side (DAPa transition) Three deep levels are reported: an acceptor-like state at 0.55 eV above VBMb and two donor states at 0.16 eV and 0.80 eV below CBMc
[35.37]
Two deep electron traps with thermal activation energy 0.20 eV and 0.31 eV are reported A temperature range can be found where electron concentration decreases with an increase in temperature
pair; b valence band maximum; c conduction band maximum
[35.38] [35.39]
[35.40, 41]
[35.42] [35.43] [35.44]
Doping Aspects of Zn-Based Wide-Band-Gap Semiconductors
For completeness, we also list in Table 35.3 recent results on n-type doping.
35.1.2 Results on p-Type Material with N as the Primary Dopant Recent methods for p-type doping with p or [n a − n d ] exceeding 1018 cm−3 have been listed in Table 35.2. Note that all of these use N as the primary dopant. As additional comments we note that growth by metalorganic vapor-phase epitaxy (MOVPE) is now relatively standard, and that a quite comprehensive discussion of this method has recently been given [35.49] (although it must be noted that the “hole concentration” of 3 × 1018 cm−3 , given in Table 1 of Prete et al. [35.49] from data given in Fujita et al. [35.50], is in fact the N concentration, with Fujita et al. [35.50] giving p as 8 × 1017 cm−3 ); in view of this extensive recent paper, we do not discuss MOVPE here, but merely give in Table 35.4 some recent references (not in [35.49]). We further note that the use of a δ-ZnSe/ZnTe superlattice (SL) resulted in average Te concentrations of around 9%, which in turn increases the lattice mismatch between the GaAs substrate and the film, since the ZnTe lattice constant is larger than that of ZnSe. This is expected to lead to degradation problems [35.36]. A novel, interesting approach, which has given net acceptor concentrations up to 6 × 1018 cm−3 , is that of incorporating both N as a dopant and Te as a co-dopant into the δ-layer(s) with fractional ZnTe coverage, via molecular-beam epitaxy (MBE) [35.24]; as previously mentioned (Sect. 35.1.1), co-dopant here means a material which aids in the incorporation of the dopant, and it is well known that it is easy to obtain p-type ZnTe [35.51, 52]. Electrochemical capacitance–voltage (E–CV) profiling results for various samples are shown in Fig. 35.1 (Fig. 3 of [35.24]); it can be seen that good doping was obtained when three contiguous layers of N and Te were incor-
Table 35.4 Nitrogen-doped ZnSe grown by metalorganic chemical vapor deposition (MOCVD) or MOVPE na − nd (cm−3 )
Ea (meV)
Comments
Reference
6.7 × 1017
109
ZnSe:N epilayers were grown on ZnSe substrates by low-pressure MOCVD at 830 K and annealed in Zn saturated vapor. The net acceptor concentration is enhanced ZnSe:N grown on GaAs. A radio-frequency (RF) plasma nitrogen source was used for doping ZnSe:N grown by MOVPE with hydrazines as dopants. The acceptor concentration is limited by the residual impurities in the sources ZnSe:N was grown by photo-assisted MOVPE. Post-growth annealing is critical to reducing the hydrogen concentration (by a factor of 10)
[35.45]
1.2 × 1018
845
[35.35] [35.46] [35.47]
Part D 35.1
tor (donor) concentration, in various approaches, where these are greater than 1018 cm−3 . We note in connection with Table 35.2 that degradation associated with N can be a severe problem [35.11, 12], and we also give some comments on degradation in the table. We shall discuss two N-doped systems in more detail below Sect. 35.1.2. One uses delta-doping with Te as co-dopant (for this system, a material used to help in incorporating the dopant); this system has given net acceptor concentrations up to 6 × 1018 cm−3 [35.24] with very low Te concentrations, so that minimal degradation is expected. The second system is that of Li3 N doping, with a report of carrier concentrations close to 1019 cm−3 . We list recent work on p-type dopants other than N in Table 35.1. Interestingly, there are two reports that Sb gives quite low activation energies, one being 69 meV [35.25] and the other being 55 meV [35.27] (note that the activation energy for N is 111 meV [35.48]), with the former paper giving a net acceptor concentration of about 1016 cm−3 ; in this connection it should still be noted that, as mentioned, As and P are generally believed to form DX centers and give deep levels (for a summary [35.10]). Other dopants used were K and Na, with doping carried out via excimer laser annealing; high doping levels were reported, but the excimer procedure would be expected to introduce high defect densities and resultant strong degradation (note that the maximum equilibrium solubility for Na was predicted to be about 5 × 1017 cm−3 by Van de Walle et al. [35.22]). A further approach was that of co-doping, where the term in this case means incorporation of both donors and acceptors; here, experimental tests were reported for Li with I in one case, and with Cl in another, but in both cases net acceptor concentrations were only in the 1016 cm−3 range. An additional method was to use planes of p-type GaAs (doped with Zn) to inject holes into ZnSe; net acceptor concentrations of 1017 cm−3 were reported in [35.32], where metalorganic molecular beam epitaxy (MOMBE) was used.
35.1 ZnSe
Doping Aspects of Zn-Based Wide-Band-Gap Semiconductors
35.3 ZnO
849
Table 35.6 XRD, EPD, and C–V results for undoped, N-doped, and (N + Te) δ-doped ZnBeSe epilayers [35.76] FWHM (arcs) Be content (%) Te content (%) EPD (cm−2 ) n a − n d (cm−3 )
ZnBeSe
ZnBeSe : N
ZnBeSe : (N + Te)δ
ZnBeSe : (N + Te)δ3
23 3.1 0 4 × 104 –
30 2.6 0 1 × 105 2 × 1017
45 2.6 0.3 6 × 105 3 × 1017
51 2.5 0.5 5 × 105 1.5 × 1018
A problem for ZnBeSe, as for ZnSe, is that of p-type doping. The highest bulk net acceptor concentration in ptype ZnBeSe does not exceed ≈ 2 × 1017 cm−3 [35.76]. The best p-type results were again obtained via delta-
doping [35.76], using the same method that Lin et al. [35.24] used for ZnSe. The results from Guo et al. [35.76] are shown in Table 35.6 (Table I from [35.76]).
Part D 35.3
35.3 ZnO ZnO is a wide-band-gap (3.36 eV at room temperature) semiconductor with a bulk exciton-binding energy (60 meV), larger than the room-temperature thermal energy, which makes this material very suitable for a variety of applications (see recent reviews by Pearton et al. [35.18], Heo et al. [35.87] and Djuriˇsi´c et al. [35.88]) in the UV spectral range. However, as for ZnSe and ZnBeSe, one of the major problems for ZnO is p-type doping, and we shall therefore emphasize this aspect.
35.3.1 Doping ZnO can be grown by a wide range of techniques (some of which are listed in Table 35.7). As-grown ZnO is usually n-type, and heavily n-type ZnO is easily obtained by using group III elements. It is assumed that nominally undoped ZnO is n-type due to shallow native defects such as interstitial zinc (Zni ) [35.89, 90] or, alternatively, due to the presence of hydrogen [35.91]. Experimentally, hydrogen in ZnO has been observed
Table 35.7 p-type doping of ZnO Dopant
Growth method
Resistivity (cm)
As
Evaporation followed by sputtering Hybrid beam deposition RF sputtering followed by RTA Ultrasonic spray pyrolysis Thermal oxidization of Zn3 N2 thin films Implantation MOCVD CVD Direct-current (DC) reactive magnetron sputtering Ultrasonic spray pyrolysis
As P N N N N N N + Al N + In
Carrier concentration (cm−3 ) 4 × 1018
Mobility (cm2 /Vs)
Reference
0.4
Dopant concentration (cm−3 ) Mid 1019
4
[35.77]
2
3 × 1018
4 × 1017
35
[35.78]
1.0 × 1017 −1.7 × 1019 8.59 × 1018
0.53–3.51
[35.79]
24.1
[35.80]
0.59–4.4 ≈ 0.03 Up to 1021 10.11–15.3 3.02 17.3 57.3
0.017
Up to 3 × 1020
4.16 × 1017
[35.81]
Up to 7.3 × 1017 1.97 × 1018 1.06 × 1018 2.25 × 1017
2.51–6.02 1 0.34 0.43
[35.82] [35.83] [35.84] [35.85]
2.44 × 1018
155
[35.86]
850
Part D
Materials for Optoelectronics and Photonics
Part D 35.3
via electron paramagnetic resonance (EPR), electron nuclear double resonance (ENDOR), optical, and IR absorption measurements [35.92–95]. The activation energy of the hydrogen donor is 35–46 meV [35.92, 96]. We note that sometimes oxygen vacancies (VO ) are cited as shallow donors [35.97]; however, Zhang et al. [35.90] estimated this species to be a relatively deep level. Also, Vanheusden et al. [35.98, 99] suggested that charged oxygen vacancies are responsible for the deep green luminescence in ZnO (see below). Obtaining good p-type ZnO has however proven difficult. There is a good discussion and summary of growth methods as well as achieved resistivities in ptype ZnO up to 2003 in Look and Claflin [35.9] and Look et al. [35.102]. The latter publication also discusses background impurities in ZnO. We therefore present only some later results and give a short discussion of models proposed for p-type doping of ZnO. Group V acceptors, based on theoretical arguments, are expected to form very deep substitutional acceptors; for instance, Park et al. [35.103] have calculated that the ionization energies of N, P, and As are 0.40 eV, 0.93 eV, and 1.15 eV, respectively. So successes (Table 35.7) in obtaining p-type ZnO with N, P, and As are surprising. Also group I (Li, Na, and K) impurities [35.103] have, in general, lower ionization energies, but these impurities are amphoteric and thus self-compensate. Experimentally, interstitial Li and Na donors were observed by Orlinskii et al. [35.104], and recent attempt to use Li3 N to dope ZnO to be p-type produced n-type conductivity instead [35.105]. To achieve p-type doping, Wang and Zunger [35.106] have proposed a cluster co-doping method using Ga or Al as co-dopants along with group V dopants; experimentally, p-type ZnO has been obtained using co-doping with Al and In (Table 35.7); N−Ga co-doping has been attempted [35.107] but no p-type conductivity has been observed via the Hall effect. Recently, to explain p-type ZnO obtained via group V doping, Limpijumnong et al. [35.108] proposed, using first-principles calculations, that group V elements give shallow acceptors by forming complexes with native defects. Specifically, these authors proposed that ZnO:As and ZnO:Sb are p-type due to AsZn −2VZn and SbZn −2VZn complexes, which behave as shallow acceptors. These complexes have low formation energies (1.59 eV and 2.00 eV, respectively) as well as low ionization energies (0.15 eV and 0.16 eV, respectively). Experimentally, the activation energy for ZnO:As was reported to be between 0.12 [35.78] and 0.18 [35.109]. As for nitrogen, the most often used p-type dopant,
Look et al. [35.77] reported that the ionization energy was as low as 0.090 eV for heavily doped material (see also [35.110] and references therein). Regarding doping using phosphorous, we note that Kim et al. [35.79] obtained p-type ZnO only after annealing at high temperatures using rapid thermal annealing (RTA), while as-grown material was n-type. The authors suggested that the annealing removes the compensating donors; however, we suggest that the formation of shallow acceptor complexes cannot be ruled out, especially in view of enhanced n-type behavior with increased P concentration [35.87]. Finally, we note that Lee and Chang [35.111] have proposed, theoretically, ways to use [group I – Hydrogen] complexes for p-type doping. These authors have found that an intentional co-doping with H impurities suppresses the formation of compensating interstitials and greatly enhances the solubility of Li and Na acceptors. This type of effect, in general, was clearly predicted by Neumark [35.112]. H atoms can be easily removed from ZnO by post-growth annealing at relatively low temperatures. Apparently, this method is similar to that used to obtain p-type GaN. These authors [35.111] also found, as did Park et al. [35.103], that Li and Na have lower ionization energies than substitutional group V dopants such as nitrogen.
35.3.2 Optical Properties Finally, we shall briefly discuss some optical properties of ZnO. Low-temperature PL of undoped ZnO is dominated by near-band, edge emission, with up to 20 lines observed within the spectral range 3.34–3.38 eV [35.96]. Detailed studies of bound excitons (BX) and donor–acceptor pair luminescence have recently been published by Meyer et al. [35.96], so here Table 35.8 Low-temperature bound-excitonic position and
assignments BX line energy (eV) ≈ 3.3567
Assignment
In
Donor binding energy (meV) 63.2
≈ 3.3598
Ga
54.6
≈ 3.3628
H
≈ 3.3608
Al
37 35 46.1 54.8 51.55
References
[35.96, 100] [35.96, 101] [35.92] [35.95] [35.96] [35.96] [35.100]
Doping Aspects of Zn-Based Wide-Band-Gap Semiconductors
usually observed at (2.38 ± 0.04) eV [35.118–121]. The origin of this band, however, remains controversial: transitions associated with OZn antisites [35.119], oxygen vacancies [35.97–99, 122], zinc interstitials [35.123], ZnO antisites [35.124], donor–acceptor pairs [35.125], and Cu2+ ions [35.126] have all been suggested. It must be noted that the origin of the green luminescence could be different in ZnO prepared via different methods, since various defects and/or impurities can contribute to the emission [35.127]. We note that oxygen vacancies are the species most often suggested as the defect associated with the green luminescence. Oxygen vacancies can have three states – neutral, singly and doubly positively charged. The transition thus depends on the type of free carrier that is participating in recombination. Vanheusden et al. [35.98, 99] suggested that holes participate in this recombination while Djuriˇsi´c et al. [35.88] (also references therein) suggested the involvement of electrons. We have recently shown that the green luminescence most likely involves holes rather than electrons [35.128], via studies of quantum ZnO wires. A similar conclusion was also recently obtained by Kang et al. [35.129] who investigated PLD-grown ZnO. Their conclusion was that the most likely channel for the green PL is through a deep donor (attributed to oxygen vacancies) and the holes in the valence bands. Lastly, a further suggestion by Harada and Hashimoto [35.130] is that surface states associated with oxygen vacancies could play a significant role in the emission within the visible spectral region in bulk ZnO.
References 35.1
35.2 35.3 35.4 35.5
35.6 35.7
35.8
L. Svob, C. Thiandourme, A. Lusson, M. Bouanani, Y. Marfaing, O. Gorochov: Appl. Phys. Lett. 76, 1695 (2000) S. Kishimoto, T. Hasegawa, H. Kinto, O. Matsumoto, S. Iida: J. Cryst. Growth 214/215, 556 (2000) S. Kishimoto, A. Kato, A. Naito, Y. Yakamato, S. Lida: Phys. Status Solidi B 229, 391 (2002) Y. Abiko, N. Nakayama, K. Akimoto, T. Yao: Phys. Status Solidi B 229, 339 (2001) S. Nakamura, J. Yamaguchi, S. Takagimoto, Y. Yamada, T. Taguchi: J. Cryst. Growth 237/239, 1570 (2002) S. Kohiki, T. Suzuka, M. Oku, T. Yamamoto, S. Kishimoto, S. Iida: J. Appl. Phys. 91, 760 (2002) K. Ichino, Y. Matsuki, S. T. Lee, T. Nishikawa, M. Kitagawa, H. Kobayashi: Phys. Status Solidi C 1, 710 (2004) S. J. Pearton, D. P. Norton, K. Lp, Y. W. Heo, T. Steiner: J. Vac. Sci. Technol. B 22, 932 (2004)
35.9 35.10 35.11
35.12
35.13 35.14 35.15
35.16
D. C. Look, B. Claflin: Phys. Status Solidi B 241, 624 (2004) G. F. Neumark: Mater. Lett. 30, 131 (1997) D. Albert, J. Nürnberger, V. Hock, M. Ehinger, W. Faschinger, G. Landwehr: Appl. Phys. Lett. 74, 1957 (1999) V. N. Jmerik, S. V. Sorokin, T. V. Shubina, N. M. Shmidt, I. V. Sedova, D. L. Fedorov, S. V. Ivanov, P. S. Kop’ev: J. Cryst. Growth 214/215, 502 (2000) H. Ebe, B.-P. Zhang, F. Sakurai, Y. Segawa, K. Suto, J. Nishizawa: Phys. Status Solidi B 229, 377 (2002) K. Katayama, T. Nakamura: J. Appl. Phys. 95, 3576 (2004) A. Wagg, F. Fischer, H.-J. Lugauer, Th. Litz, T. Gerhard, J. Nürnberger, U. Lunz, U. Zehnder, W. Ossau, G. Landwehr, B. Roos, H. Richter: Mater. Sci. Eng. B 43, 65 (1997) C. Verie: J. Cryst. Growth 184/185, 1061 (1998)
851
Part D 35
we merely summarize some of their results and compare these with other reports. An important conclusion was that all boundexcitonic lines are due to neutral donor-bound excitons, rather than, as previously suggested, to acceptor-bound excitons [35.113–115]. For instance, the ≈ 3.357 eV and ≈ 3.3608 lines are attributed to In and Al donors, respectively [35.113–115]. Similar conclusions were obtained by Morhain et al. [35.100] using PL and selective PL (SPL) on MBE-grown ZnO. It must be noted that such assignments were previously proposed by Block et al. [35.116] and Gonzalez et al. [35.117]. In Table 35.8 we summarize the BX lines that have been identified with a specific impurity or center. A line at 3.3631 eV, which is slightly above the 3.3628 eV line, was also assigned to hydrogen by Look et al. [35.95] who performed Hall-effect, PL, and EPR measurements on a series of ZnO samples annealed in air at various temperatures. The dominant donor had an activation energy of ≈ 37 meV, but disappeared after high-temperature annealing, and was replaced by a 67 meV donor [35.95]. The line at ≈ 3.3631 eV has been assigned to the 37 meV donor; the authors suggested, following Hofmann et al. [35.92], that this donor is hydrogen. This assignment has been confirmed by Meyer et al. [35.96] by SPL and by Morhain et al. [35.100] by magneto-optics, with Meyer et al. [35.96] reporting an H ionization energy of ≈ 46.1 meV. Another important feature of bulk ZnO is a visible luminescence, often referred to as the green band. It is
References
852
Part D
Materials for Optoelectronics and Photonics
35.17
35.18
35.19
35.20 35.21
Part D 35
35.22 35.23 35.24
35.25 35.26 35.27
35.28 35.29 35.30 35.31 35.32 35.33
35.34
35.35
35.36
35.37 35.38 35.39
F. C. Peiris, U. Bindley, J. K. Furdyna, H. Kim, A. K. Raudas, M. Grimsditch: Appl. Phys. Lett. 79, 473 (2001) S. J. Pearton, C. R. Abernathy, M. E. Overberg, G. T. Thaler, D. P. Northon, N. Theodorpoulou, A. F. Hebard, Y. D. Park, F. Ren, J. Kim, L. A. Boatner: J. Appl. Phys. 93, 1 (2003) Y. W. Heo, D. P. Norton, L. C. Tien, Y. Kwon, B. S. Kang, F. Ren, S. J. Pearton, J. R. LaRoche: Mater. Sci. Eng. R 47, 1 (2004) M. A. Haase, H. Cheng, J. M. DePuydt, J. E. Potts: J. Appl. Phys. 67, 448 (1990) G. F. Neumark, S. P. Herko: J. Cryst. Growth 59, 189 (1982) C. G. Van de Walle, D. B. Laks, G. F. Neumark, S. T. Pantelides: Phys. Rev. B 47, 9425 (1993) G. F. Neumark, S. P. Herko, T. F. McGee III, B. J. Fitzpatrick: Phys. Rev. Lett. 53, 604 (1984) W. Lin, S. P. Guo, M. C. Tamargo, I. Kuskovsky, C. Tian, G. F. Neumark: Appl. Phys. Lett. 76, 2205 (2000) M. Takemura, H. Goto, T. Ido: Jpn. J. Appl. Phys. 36, L540 (1997) H. Goto, T. Ido, A. Takatasuka: J. Cryst. Growth 214/215, 529 (2000) H. Kalisch, H. Hamadeh, R. Rüland, J. Berntgen, A. Krysa, M. Hluken: J. Cryst. Growth 214/215, 1163 (2000) M. Prokesch, K. Irmscher, U. Rinas, H. Makino, T. Yao: J. Cryst. Growth 242, 155 (2002) Y. Hatanaka, M. Niraula, A. Nakamura, T. Aoki: Appl. Surf. Sci. 175/176, 462 (2001) I. Suemune, H. Ohsawa, T. Tawara, H. Machida, N. Shimoyama: J. Cryst. Growth 214/215, 562 (2000) M. Yoneta, H. Uechi, K. Nanami, M. Ohishi, H. Saito, K. Yoshino, K. Ohmori: Physica B 302, 166 (2001) J. Hirose, I. Suemune, A. Ueta, H. Machida, N. Shimoyama: J. Cryst. Growth 214/215, 524 (2000) I. L. Kuskovsky, Y. Gu, Y. Gong, H. F. Yan, J. Lau, G. F. Neumark, O. Maksimov, X. Zhou, M. C. Tamargo, V. Volkov, Y. Zhu, L. Wang: Phys. Rev. Lett. B 73, 195306 (2006) O. Schulz, M. Strassburg, T. Rissom, U. W. Pohl, D. Bimberg, M. Klude, D. Hommel: Appl. Phys. Lett. 81, 4916 (2002) E. D. Sim, Y. S. Joh, J. H. Song, H. L. Park, S. H. Lee, K. Jeong, S. K. Chang: Phys. Status Solidi B 229, 213 (2002) H. D. Jung, C. D. Song, S. Q. Wang, K. Arai, Y. H. Wu, Z. Zhu, T. Yao, H. Katayama-Yoshida: Appl. Phys. Lett. 70, 1143 (1997) S. Z. Wang, S. F. Yoon, L. He, X. C. Shen: J. Appl. Phys. 90, 2314 (2001) M. Yoneta, K. Nanami, H. Uechi, M. Ohishi, H. Saito, K. Yoshino: J. Cryst. Growth 237/239, 1545 (2002) Y. Gu, I. L. Kuskovsky, G. F. Neumark, X. Zhou, O. Maksimov, S. P. Guo, M. C. Tamargo: J. Lumin. 104, 77 (2003)
35.40
35.41
35.42 35.43
35.44 35.45
35.46 35.47 35.48 35.49 35.50 35.51
35.52 35.53 35.54 35.55 35.56
35.57
35.58
35.59
35.60 35.61
35.62 35.63
D. C. Oh, J. S. Song, J. H. Chang, T. Takai, T. Handa, M. W. Cho, T. Yao: Mater. Sci. Semicond. Process. 6, 567 (2003) D. C. Oh, J. H. Chang, T. Takai, J. S. Song, K. Godo, Y. K. Park, K. Shindo, T. Yao: J. Cryst. Growth 251, 607 (2003) H. Kato, H. Udono, I. Kikuma: J. Cryst. Growth 229, 79 (2001) M. Yoneta, T. Kubo, H. Kato, K. Yoshino, M. Ohishi, H. Saito, K. Ohmori: Phys. Status Solidi B 229, 291 (2002) K. Lott, O. Volobujeva, A. Öpik, T. Nirk, L. Türn, M. Noges: Phys. Status Solidi C 0, 618 (2003) J. F. Wang, D. Masugata, C. B. Oh, A. Omino, S. Seto, M. Isshikim: Phys. Status Solidi A 193, 251 (2002) U. W. Pohl, J. Gottfriedsen, H. Schumann: J. Cryst. Growth 209, 683 (2000) M. U. Ahmed, S. J. C. Irvine: J. Electron. Mater. 29, 169 (2000) P. J. Dean, W. Stutius, G. F. Neumark, B. J. Fitzpatrick, R. N. Bhargava: Phys. Rev. B 27, 2419 (1983) P. Prete, N. Lovergine: Prog. Cryst. Growth Char. Mater. 44, 1 (2002) Y. Fujita, T. Terada, T. Suzuki: Jpn. J. Appl. Phys. 34, L1034 (1995) C. M. Rouleau, D. H. Lowndes, G. W. McCamy, J. D. Budai, D. B. Poker, D. B. Geohegan, A. A. Puretzky, S. Zhu: Appl. Phys. Lett. 67, 2545 (1995) T. Baron, K. Saminadayar, N. Magnea: J. Appl. Phys. 83, 1354 (1998) S. Takagi: Acta Crystallogr. 15, 1311 (1962) D. Taupin: Bull. Soc. Franc. Miner. Crystallogr. 88, 469 (1964) M. A. G. Halliwell, M. H. Lyons, M. J. Hill: J. Cryst. Growth 68, 523 (1984) Y. Gu, I. L. Kuskovsky, M. van der Voort, G. F. Neumark, X. Zhou, M. C. Tamargo: Phys. Rev. B 71, 045340 (2005) W. Lin, B. S. Yang, S. P. Guo, A. Elmoumni, F. Fernandez, M. C. Tamargo: Appl. Phys. Lett. 75, 2608 (1999) N. J. Duddles, K. A. Dhese, P. Devine, D. E. Ashenford, C. G. Scott, J. E. Nicholls, J. E. Lunn: J. Appl. Phys. 76, 5214 (1994) S. W. Lim, T. Honda, F. Koyama, K. Iga, K. Inoue, K. Yanashima, H. Munekata, H. Kukimoto: Appl. Phys. Lett. 65, 2437 (1994) G. F. Neumark, R. M. Park, J. M. Depudyt: Phys. Today 47 (6), 26 (1994) M. Strassburg, O. Schulz, U. W. Pohl, D. Bimberg, S. Itoh, K. Nakano, A. Ishibashi, M. Klude, D. Hommel: IEEE J. Sel. Top. Quant. Electron. 7, 371 (2001) K. W. Kwak, R. D. King-Smith, D. Vanderbilt: Physica B 185, 154 (1993) B.-H. Cheong, C. H. Park, K. J. Chang: Phys. Rev. B 51, 10610 (1995)
Doping Aspects of Zn-Based Wide-Band-Gap Semiconductors
35.64
35.65 35.66 35.67
35.68
35.71
35.72 35.73 35.74 35.75 35.76
35.77 35.78 35.79 35.80 35.81
35.82 35.83 35.84
35.85 35.86 35.87 35.88 35.89
35.90 35.91 35.92
35.93 35.94
35.95
35.96
35.97 35.98
35.99
35.100
35.101 35.102 35.103 35.104
35.105 35.106 35.107
35.108 35.109
35.110 35.111 35.112 35.113 35.114
S. B. Zhang, S.-H. Wei, A. Zunger: Phys. Rev. B 63, 075205 (2001) C. G. Van de Walle: Phys. Rev. Lett. 85, 1012 (2000) D. M. Hofmann, A. Hofstaetter, F. Leiter, H. Zhou, F. Henecker, B. K. Meyer, S. B. Orlinskii, J. Schmidt, P. G. Baranov: Phys. Rev. Lett. 88, 045504 (2002) E. V. Lavrov, J. Weber, F. Börnert, C. G. Van de Walle, R. Helbig: Phys. Rev. B 66, 165205 (2001) M. D. McCluskey, S. J. Jokela, K. K. Zhuravlev, P. J. Simpson, K. G. Lynn: Appl. Phys. Lett. 81, 3807 (2002) D. C. Look, R. L. Jones, J. R. Sizelove, N. Y. Garces, N. C. Giles, L. E. Halliburton: Phys. Status Solidi A 195, 171 (2003) B. K. Meyer, H. Alves, D. M. Hofmann, W. Kriegseis, D. Forster, F. Bertram, J. Christen, A. Hoffmann, M. Straßburg, M. Dworzak, U. Haboeck, A. V. Rodina: Phys. Status Solidi B 241, 231 (2004) F. A. Kroger, H. J. Vink: J. Chem. Phys. 22, 250 (1954) K. Vanheusden, C. H. Seager, W. L. Warren, D. R. Tallent, J. A. Voigt: Appl. Phys. Lett. 68, 403 (1996) K. Vanheusden, W. L. Warren, C. H. Seager, D. R. Tallent, J. A. Voight: J. Appl. Phys. 79, 7983 (1996) C. Morhain, M. Teisseire-Doninelli, S. Vézian, C. Deparis, P. Lorenzini, F. Raymond, J. Guion, G. Neu: Phys. Status Solidi B 241, 631 (2004) H. J. Ko, Y. F. Chen, S. K. Hong, H. Wenisch, T. Yao, D. C. Look: Appl. Phys. Lett. 77, 3761 (2000) D. C. Look, B. Claflin, Ya. I. Alivov, S. J. Park: Phys. Stat. Sol. A 201, 2203 (2004) C. H. Park, S. B. Zhang, S.-H. Wei: Phys. Rev. B 66, 073202 (2002) S. Orlinskii, J. Schmdit, P. G. Baranov, D. M. Hof´, A. Meijerink: Phys. Rev. mann, C. de M. Donega Lett. 92, 047603 (2004) H.-J. Ko, Y. Chen, S.-K. Hong, T. Yao: J. Cryst. Growth 251, 628 (2003) L. G. Wang, A. Zunger: Phys. Rev. Lett. 90, 256401 (2003) M. Sumiya, A. Tsukazaki, S. Fuke, A. Ohtomo, H. Koinuma, M. Kawasaki: Appl. Surf. Sci. 223, 206 (2004) S. Limpijumnong, S. B. Zhang, S.-H. Wei, C. H. Park: Phys. Rev. Lett. 92, 155504 (2004) C. Morhain, M. Teisseire, S. Vézian, F. Vigué, F. Raymond, P. Lorenzini, J. Guion, G. Neu, J.-P. Faurie: Phys. Status Solidi B 229, 881 (2002) D. C. Look, B. Claflin, Ya. I. Alivov, S. J. Park: Phys. Status Solidi A 201, 2203 (2004) E.-C. Lee, K. J. Chang: Phys. Rev. B 70, 115210 (2004) G. F. Neumark: Phys. Rev. Lett. 62, 1800 (1989) G. Blattner, C. Klingshirn, R. Helbig, R. Meinl: Phys. Status Solidi B 107, 105 (1981) C. Klingshirn, W. Maier, G. Blatter, P. J. Dean, G. Klobbe: J. Cryst. Growth 59, 352 (1982)
853
Part D 35
35.69 35.70
W. Faschinger, S. Gundel, J. Nürnberger, D. Albert: Proc. Conf. Optoelectronic and Microelectronic Materials and Devices (IEEE, Piscataway 2000) p. 41 S. Gundel, W. Faschinger: Phys. Rev. B 65, 035208 (2001) I. L. Kuskovsky, G. F. Neumark, J. G. Tischler, B. A. Weinstein: Phys. Rev. B 63, 161201 (2001) P. Desgardin, J. Oila, K. Sarrnen, P. Hautojärvi, E. Tourni´ e, J.-P. Faurie, C. Corbel: Phys. Rev. B 62, 15711 (2000) S. Tomiya, S. Kijima, H. Okuyama, H. Tsukamoto, T. Hino, S. Taniguchi, H. Noguchi, E. Kato, A. Ishibashi: J. Appl. Phys. 86, 3616 (1999) F. El. Akkad: Semicond. Sci. Technol. 2, 629 (1987) A. Kamata, H. Yoshida: Jpn. J. Appl. Phys. (Pt. 2) 135, L87 (1996) S. E. Grillo, M. Ducarrori, M. Nadal, E. Tournié, J.P. Faurie: J. Appl. Phys. D: Appl. Phys. 35, 3015 (2002) C. Chauvet, E. Tournié, J.-P. Faurie: Phys. Rev. B 61, 5332 (2000) V. Bousquet, E. Tournié, M. Laügt, P. Ven´ egu` es, J.P. Faurie: Appl. Phys. Lett. 70, 3564 (1997) J. P. Faurie, V. Bousquet, P. Brunet, E. Tournié: J. Cryst. Growth 184/185, 11 (1998) M. Malinski, L. Bychto, S. Legowski, J. Szatkowski, J. Zakrzewski: Microelectron. J. 32, 903 (2001) S. P. Guo, W. Lin, X. Zhou, M. C. Tamargo, C. Tian, I. L. Kuskovsky, G. F. Neumark: J. Appl. Phys. 90, 1725 (2001) D. C. Look, G. M. Renlund, R. H. Burgener II, J. R. Sizelove: Appl. Phys. Lett. 85, 5268 (2004) Y. R. Ryu, T. S. Lee, H. W. White: Appl. Phys. Lett. 83, 87 (2003) K.-K. Kim, H. S. Kim, D.-K. Hwang, J.-H. Lim, S.J. Park: Appl. Phys. Lett. 83, 63 (2003) J. M. Bian, X. M. Li, C. Y. Zhang, W. D. Yu, X. D. Gao: Appl. Phys. Lett. 85, 4070 (2004) B. S. Li, Y. C. Liu, Z. Z. Zhi, D. Z. Shen, Y. M. Lu, J. Y. Zhang, X. W. Fan, R. X. Mu, D. O. Henderson: J. Mater. Res. 18, 8 (2003) C. C. Lin, S. Y. Shen, S. Y. Cheng, H. Y. Li: Appl. Phys. Lett. 84, 5040 (2004) W. Xu, Z. Ye, T. Zhou, B. Zhao, L. Zhu, J. Huang: J. Cryst. Growth 265, 133 (2004) X. Li, Y. Yan, T. A. Gessert, C. L. Perkins, D. Young, C. DeHart, M. Young, T. J. Coutts: J. Vac. Sci. Technol. A 21, 1342 (2003) J. G. Lu, Z. Z. Ye, F. Zhuge, Y. J. Zeng, B. H. Zhao, L. P. Zhu: Appl. Phys. Lett. 85, 3134 (2004) J. M. Bian, X. M. Li, X. D. Gao, W. D. Yu, L. D. Chen: Appl. Phys. Lett. 84, 541 (2004) Y. W. Heo, K. Ip, S. J. Park, S. J. Peaton, D. P. Norton: Appl. Phys. A 78, 53 (2004) A. B. Djuriˇsi´c, Y. Chan, E. H. Li: Mater. Sci. Eng. R 38, 237 (2002) D. C. Look, J. W. Hemsky, J. R. Sizelove: Phys. Rev. Lett. 82, 2552 (1999)
References
854
Part D
Materials for Optoelectronics and Photonics
Part D 35
35.115 J. Gutowski, N. Presser, I. Broser: Phys. Rev. B 38, 9746 (1988) 35.116 D. Block, A. Hervé, R. T. Cox: Phys. Rev. B 25, 6049 (1982) 35.117 C. Gonzalez, D. Block, R. T. Cox, A. Hervé: J. Cryst. Growth 59, 357 (1982) 35.118 X. Liu, X. Wu, H. Cao, R. P. H. Chang: J. Appl. Phys. 95, 3141 (2004) 35.119 B. Lin, Z. Fu, Y. Jia: Appl. Phys. Lett. 79, 943 (2001) 35.120 D. Banejee, J. Y. Lao, D. Z. Wang, J. Y. Huang, Z. F. Ren, D. Steeves, B. Kimball, M. Sennett: Appl. Phys. Lett. 83, 2061 (2003) 35.121 T.-B. Hur, G. S. Jeen, Y.-H. Hwang, H.-K. Kim: J. Appl. Phys. 94, 5787 (2003) 35.122 P. H. Hasai: Phys. Rev. 130, 989 (1963) 35.123 M. Liu, A. H. Kitai, P. Mascher: J. Lumin. 54, 35 (1992)
35.124 D. C. Reynolds, S. C. Look, B. Jogai, H. Morkoc: Solid State Commun. 101, 643 (1997) 35.125 D. C. Reynolds, S. C. Look, B. Jogai: J. Appl. Phys. 89, 6189 (2001) 35.126 N. Y. Garces, L. Wang, L. Bai, N. C. Giles, I. E. Halliburton, G. Cantwell: Appl. Phys. Lett. 81, 622 (2002) 35.127 D. Li, H. Leung, A. B. Djuriˇsi´c, Z. T. Liu, M. H. Xie, S. L. Shi, S. J. Xu, W. K. Chan: Appl. Phys. Lett. 85, 1601 (2004) 35.128 Y. Gu, I. L. Kuskovsky, M. Yin, S. O’Brien, G. F. Neumark: Appl. Phys. Lett. 85, 3833 (2004) 35.129 H. S. Kang, J. S. Kang, J. W. Kim, S. Y. Lee: Phys. Status Solidi C 1, 2550 (2004) 35.130 Y. Harada, S. Hashimoto: Phys. Rev. B 68, 045421 (2003)
855
36. II–VI Narrow-Bandgap Semiconductors for Optoelectronics
II–VI Narrow36.1 Applications and Sensor Design ............ 858 36.2 Photoconductive Detectors in HgCdTe and Related Alloys ................ 36.2.1 Introduction to the Technology of Photoconductor Arrays ........... 36.2.2 Theoretical Fundamentals for Long-Wavelength Arrays ....... 36.2.3 Special Case of Medium-Wavelength Arrays.... 36.2.4 Nonequilibrium Effects in Photoconductors ...................
860 860 861 863 863
36.3 SPRITE Detectors .................................. 864 36.4 Photoconductive Detectors in Closely Related Alloys ....................... 866 36.5 Conclusions on Photoconductive HgCdTe Detectors ... 867 36.6 Photovoltaic Devices in HgCdTe ............. 36.6.1 Ideal Photovoltaic Devices .......... 36.6.2 Nonideal Behavior in HgCdTe Diodes....................... 36.6.3 Theoretical Foundations of HgCdTe Array Technology ........ 36.6.4 Manufacturing Technology for HgCdTe Arrays ...................... 36.6.5 HgCdTe 2-D Arrays for the 3–5 µm (MW) Band ......... 36.6.6 HgCdTe 2-D Arrays for the 8–12 µm (LW) Band ......... 36.6.7 HgCdTe 2-D Arrays for the 1–3 µm (SW) Band ........... 36.6.8 Towards “GEN III Detectors”........ 36.6.9 Conclusions and Future Trends for Photovoltaic HgCdTe Arrays ....
867 868 869 870 873 878 879 879 880 882
36.7 Emission Devices in II–VI Semiconductors 882 36.0.1 Historical Perspective and Early Detectors ................... 856 36.0.2 Introduction to HgCdTe .............. 857 36.0.3 Introduction to Device Types....... 857
References .................................................. 883
The main commercial application for narrow-bandgap semiconductors is in infrared radiation detection. There are very few elemental or compound semiconductors
with the correct energy gap to sense photons within the infrared spectrum, particularly at longer wavelengths. It is also beneficial to accurately match the spectral sen-
36.8 Potential for Reduced-Dimensionality HgTe–CdTe 883
Part D 36
The field of narrow-gap II–VI materials is dominated by the compound semiconductor mercury cadmium telluride, (Hg1−x Cdx Te or MCT), which supports a large industry in infrared detectors, cameras and infrared systems. It is probably true to say that HgCdTe is the third most studied semiconductor after silicon and gallium arsenide. Hg1−x Cdx Te is the material most widely used in high-performance infrared detectors at present. By changing the composition x the spectral response of the detector can be made to cover the range from 1 µm to beyond 17 µm. The advantages of this system arise from a number of features, notably: close lattice matching, high optical absorption coefficient, low carrier generation rate, high electron mobility and readily available doping techniques. These advantages mean that very sensitive infrared detectors can be produced at relatively high operating temperatures. Hg1−x Cdx Te multilayers can be readily grown in vapor-phase epitaxial processes. This provides the device engineer with complex doping and composition profiles that can be used to further enhance the electro-optic performance, leading to low-cost, large-area detectors in the future. The main purpose of this chapter is to describe the applications, device physics and technology of II–VI narrow-bandgap devices, focusing on HgCdTe but also including Hg1−x Mnx Te and Hg1−x Znx Te. It concludes with a review of the research and development programs into third-generation infrared detector technology (so-called GEN III detectors) being performed in centers around the world.
856
Part D
Materials for Optoelectronics and Photonics
Part D 36
sitivity to certain atmospheric windows, so the ability to tailor the wavelength is very important. By using an alloy of two different compounds with widely separate energy gaps it is possible to synthesize crystals with an intermediate energy gap. II–VI compounds have crystal properties that make them very suitable for mixing and they have a range of bandgaps from near zero for the semimetal Hg compounds to more than 1 eV. However, amongst the wider bandgap II–VI semiconductors, only Cd, Zn, Mn and Mg have been shown to open up the bandgap of the Hg-based semimetals HgTe and HgSe. By far the most developed alloy system is Hg1−x Cdx Te (HgCdTe), which is a semiconductor formed from the semimetal HgTe and the wide-bandgap semiconductor CdTe. By adjusting the alloy composition “x”, the properties (including the bandgap) can be varied smoothly between HgTe and CdTe. There has been some interest in developing alternative ternary alloys to replace HgCdTe, since from theoretical considerations the already weak Hg−Te lattice bond is further destabilized by alloying with CdTe and there is potential for obtaining materials with increased hardness and detectors with better temperature stability. Most other compound combinations have crystal growth problems or doping limitations that make them unsuitable for device fabrication, with the possible exception of Hg1−x Mnx Te and Hg1−x Znx Te. Photoconductive detectors have been reported using Hg1−x Mnx Te, and photovoltaic detectors using both materials, but devices have not reached the maturity of Hg1−x Cdx Te. Also, the technology reported for these materials is very similar to that of HgCdTe, and so many of the detector design, technology and performance factors described in this chapter for HgCdTe are also relevant to other II–VI alloys. The main purpose of this chapter is to describe the applications, device physics and technology of II–VI narrow-bandgap devices, focusing on HgCdTe. It concludes with a review of the research and development programs into third-generation infrared detector technology (so-called GEN III detectors) being performed in centers around the world.
36.0.1 Historical Perspective and Early Detectors Passive thermal imaging is the term used to describe imaging of the natural thermal radiation emitted by all objects around us. The contrast in such images is due to temperature differences and changes in emissivity or spectral radiance of surfaces. The atmosphere
is rather inconvenient for infrared imaging and is only transparent in certain wavelength “windows”. There is a short-waveband (SW) window between 2.0 and 2.25 µm, a medium-waveband (MW) window between 3.0 and 5.0 µm and a long-waveband (LW) window between 7.5 and 14 µm. The spectral radiance curve for bodies around room temperature shows a peak that best matches the LW window and this is the preferred wavelength of operation for thermal imaging detectors. At first sight the MW window looks compromised by the photon flux, which is some two orders lower than the LW window. However, it is much easier to make detectors for this wavelength, and the photon flux disadvantage can be offset by using staring architectures that enable a longer integration (or stare) time, and so this band is very widely used. The SW window is rarely used for passive thermal imaging because of low flux, but active imaging using a source such as starlight or an infrared laser is of growing interest. The first infrared photon detectors, based on thallous sulfide, were developed in the USA during World War I and were sensitive to about 1.4 µm. The next important developments occurred before and during World War II in Germany, with work on thin-film polycrystalline PbS devices with a response up to 2.5 µm, later extended further to the 3–5 µm region using PbSe and PbTe. During the 1950s and 1960s indium antimonide (InSb) detectors emerged that were capable of detecting wavelengths up to 5.5 µm, and during the same period impurity photoconductivity was studied in doped germanium and doped silicon. Germanium was favored at this time because it was available with fewer compensating impurities and gave better detector performance. Ge : Hg, with an impurity activation energy of 0.09 eV, provided the first practical detector to be used in realtime thermal imaging, employing linear arrays for the 8–13 µm region, although it required cooling to below 30 K. Because of the stringent cooling requirements, extrinsic silicon devices have not found favor for terrestrial applications. The next important phase of semiconductor infrared detector research took place during the late 1960s and 1970s, when research efforts were directed towards an intrinsic detector for the 8–13 µm band that would operate more conveniently at around 80 K. Two alloy semiconductors were developed, Hg1−x Cdx Te (HgCdTe) and Pb1−x Snx Te (LTT). The first report of the synthesis of the semimetal HgTe and the wide-bandgap semiconductor CdTe to form the semiconductor alloy HgCdTe was published by the Royal Radar Establishment in Malvern, UK [36.1]. This landmark paper
II–VI Narrow-Bandgap Semiconductors for Optoelectronics
reported both photoconductive as well as photovoltaic response at wavelengths extending out to 12 µm, and pointed out that this new alloy semiconductor showed promise for intrinsic infrared detectors. Soon after many centers around the world switched detector development for major thermal-imaging programs to the HgCdTe system. High-performance LW and MW linear arrays were produced for the first generation of thermal imaging equipment developed and manufactured in the 1970s through to the present. Many tens of thousands of detectors have been delivered.
36.0.2 Introduction to HgCdTe
36.0.3 Introduction to Device Types HgCdTe Photoconductive Arrays A photoconductor usually comprises a small slab or element of material with two contacts. The aim is to detect the change in resistance of the element when the photon
HgCdTe Photovoltaic Arrays A photovoltaic device is essentially a light-sensitive diode. Photons absorbed in the semiconductor create electron–hole pairs, and the minority carriers diffuse to the p–n junction where they are “separated” and the voltage across the junction changes. Because there is an integration of carriers, the signal can be built up over a time called the integration time, and there is the potential for larger signals and better infrared sensitivity than can be achieved with photoconductors. In the mid-1970s attention turned to the use of photovoltaic HgCdTe for thermal imaging applications. At
Part D 36
Hg1−x Cdx Te is the material most widely used for highperformance infrared detectors at present. By changing the composition x, the detector spectral response can be made to cover the range from 1 µm to beyond 17 µm. The advantages of this system arise from a number of features, notably: close lattice matching, high optical absorption coefficient, low carrier generation rate, high electron mobility and readily available doping techniques. These advantages mean that very sensitive infrared detectors can be produced at relatively high operating temperatures. HgCdTe continues to be developed as the material of choice for high-performance longwavelength (8–12 µm) arrays and has an established market at the medium- (3–5 µm) and short-wavelength (1–3 µm) ranges. In the LW band, the main competitive technologies are Pb1−x Snx Te (LTT) and multiple quantum well (MQW) detectors (usually using AlGaAs/GaAs technology). Work on LTT largely stopped in about the mid 1970s partly because the large dielectric constant made them unsuitable for photoconductors. However, there is more recent interest in large arrays of LTT photodiodes due to a potential cost advantage, but at present poor diode quality and excess noise makes them inferior to Hg1−x Cdx Te on grounds of sensitivity alone. MQW arrays are essentially tuned to a wavelength, say 8.0 µm, and need deeper cooling to suppress thermal leakage currents. The imaging performance can be good due to the absence of low-frequency noise sources but they have a much lower ultimate sensitivity than HgCdTe.
flux is changed. The first HgCdTe devices were photoconductive because of the simplicity of the technology, and the relative ease of achieving near-ideal infrared performance and excellent reliability. HgCdTe photoconductive detectors have been in routine production since the early 1980s and are often called first-generation detectors. They are the key component of the US Common Module Thermal Imager and, in the form of the SPRITE detector, they feature in the UK Class II Common Module imager. Detectors for thermal imaging are most commonly fabricated with a peak response in the 8–12 µm atmospheric window region and are cooled to 80 K by means of a Joule–Thompson expansion cooler or, more recently, a Stirling engine refrigerator. They are also quite commonly made for operation in the 3–5 µm atmospheric window, and either use cooling to 80 K or employ Peltier (or thermoelectric) coolers to cool to around 200 K. Peltier-cooled MW detectors are used in many small handheld cameras and a whole host of heat sensing applications. The reliable performance, low levels of defects and easily understood physics has led to a long product life for photoconductive arrays. However the array size is limited and first-generation thermal imaging systems need to employ complex optics to scan the infrared image over the array to build up a scene. The main limitation to developing larger arrays arises from the difficulty involved with amplifying and multiplexing elements electronically on the focal plane. In consequence, there needs to be a separate electrical connection to each photoconductor so that they can be connected to low noise current amplifiers outside of the cryogenic encapsulation. For larger arrays of, say, more than several hundred elements the cryogenic encapsulations become cumbersome and expensive. Also, the power consumption can become a problem with too many elements, so this and cryogenics set a practical limit to the size of photoconductor arrays for commercial thermal imaging to a few hundred elements.
857
858
Part D
Materials for Optoelectronics and Photonics
Part D 36.1
that time it was seen that, in the future, many infrared applications would need higher radiometric performance and/or higher spatial resolution than could be achieved with first-generation photoconductive infrared detectors. Photovoltaic arrays consume very little power, and can be easily multiplexed using an on-focal plane silicon chip, so they are well-suited to long linear and large, two-dimensional infrared arrays. Systems based upon such focal planes can be made smaller and lighter, with lower power consumption, and can result in much higher performance than systems based on first-generation detectors. Photovoltaic detectors can also have less low frequency noise, faster response time, and can avoid the need for complex scanning optics. To some extent these advantages are offset by the more complex processes needed to fabricate photovoltaic detectors, and so their development and industrialization have been slower, particularly for large arrays. Another point is that, unlike photoconductive detectors, the field of photovoltaic HgCdTe arrays shows a large variety of different material growth methods and device structures, often unique to individual companies and research organizations. The collective wealth of data on photovoltaic devices is therefore spread over a wide field. Large two-dimensional and long linear arrays have the common feature that they are all mass-connected to a custom-designed silicon integrated circuit called a multiplexer or ROIC (for readout integrated circuit). The multiplexer performs the function of integrating the infrared signal and scanning the array. The evolution of large arrays was delayed by the slow emergence of silicon integrated circuits large enough to perform this
function economically. Another economic factor was matching the cost of first-generation infrared cameras which had a well-established market and had set a benchmark for display picture points and cost. Cost depends strongly on array size and infrared array pixels tend to be much larger than those commonly found in visible imaging arrays. Infrared arrays then tend to be physically large and, together with the extra complexity of the manufacturing process, relatively difficult to costreduce. The commercial viability of second-generation focal plane arrays has depended on matching the spatial resolution (number of elements in the array) and cost of existing first-generation systems, which was only really achieved in the 1990s. HgCdTe Metal–Insulator–Semiconductor (MIS) Arrays The MIS HgCdTe detector operates much like a silicon charge-coupled device (CCD). The MIS detector was the basic element in a family of “monolithic” HgCdTe arrays in which the detection, integration and multiplexing functions were all done within the HgCdTe material itself. Unlike the PV and PC detectors, the MIS device operates under strongly nonequilibrium conditions, with large electric fields in the deep depletion regions. This makes the MIS detector much more sensitive to material and process defects than the PV and PC detectors [36.2]. This sensitivity, particularly acute for LW devices, caused the monolithic approach to be abandoned in favor of various hybrid approaches where the IR photon detection is performed in HgCdTe, and the signal processing is restricted to the silicon multiplexer.
36.1 Applications and Sensor Design Currently the most important market for HgCdTe arrays is in thermal imaging in the long (8–12 µm) and medium wavebands (3–5 µm). Often the detector is the performance-limiting component in the system, and it is necessary to use detectors with a sensitivity limited only by the random rate of arrival of photons from the scene (so-called background limited or BLIP detectors). In a narrow-gap semiconductor using both photoconductive and photovoltaic detectors, it is necessary to cool so that the thermal generation and associated excess noise are suppressed and the sensitivity becomes “BLIP-limited”. The choice of cooler is therefore a key technology in an infrared detector, and the common options are described here. One of the points to emphasize
is that the focal plane array is only one of a number of components that need to be optimized to preserve the BLIP performance. The optical design, cryogenics and signal processing are critical to maintaining the sensitivity of the focal plane array. The precision engineering involved in designing infrared detectors and coolers, particularly for survival in high shock and vibration environments, is still challenging. The means of cooling depends on the detector type and application. For instance, photoconductive arrays often need a lot of cooling power because of the Joule heating on the focal plane and the need for many bond wires that add to the thermal load. Two-dimensional arrays, on the other hand, often consume little power
862
Part D
Materials for Optoelectronics and Photonics
Part D 36.2
for the maximum responsivity of ≈ 1 × 105 V/W is obtained. The responsivity observed in practical devices is lower than the calculated value, typically by a factor of two, because the anodic oxidation technique used to produce low recombination velocity surfaces also enhances the surface conductance, shunting the bulk of the device and lowering its resistance, i. e., n 0 is effectively increased in (36.5). The behavior of a typical device, showing the saturation in responsivity with bias, is shown in Fig. 36.5. Responsivities in photoconductors tend to be low and there have been a number of novel techniques reported to boost the signal. Several methods concentrate on prolonging the life of minority carriers. One technique [36.11, 12] is to use a remote negative contact and an opaque screen to define the sensitive area so that holes are swept under the screen and contribute to the signal for longer. Another technique [36.13, 14] is to use a low recombination velocity n+ n contact to increase the responsivity by a factor of five by blocking the recombination of holes. Even larger increases have been obtained [36.15] by using a heterojunction contact, i. e., an epitaxially grown double layer is employed with a high x region of n-type HgCdTe between the active region and the metal contacts. The theory of devices with blocking contacts has been published in several papers [36.14–16]. Significant increases in responsivity have also been obtained by increasing the element resistance by defining a “meander path” or “labyrinth” device (Fig. 36.4). The principal noise sources are generation– recombination (g–r) noise due to fluctuations in the optically or thermally generated free-carrier densities and Johnson–Nyquist noise, associated with the finite resistance of the devices. In addition, noise with a spectral power density varying with frequency as 1/ f is usually observed, which has its origin in surface trapping and mobility modulation effects. The equation for root mean square g–r noise for a small device in strong sweep-out, and in which the background-induced carrier density pb τa /2τ p0 , is given by: 3 1/2 1 l ηφb B Vg−r = , (36.6) n 0 µh t w where B is the bandwidth. Using the same parameters as used above, and assuming a background flux of 5 × 1016 cm−2 /s ( f/2 cold shield and 300 K ambient temperature), the value for Vg−r in saturation is 5 × 10−9 V/Hz1/2 . This corresponds to a noiseequivalent resistance of approximately 1.5 kΩ, and since
the actual resistance of the device is ≈ 100 Ω the design of low-noise preamplifiers is relatively straightforward. A plot of the g–r noise for a practical device, with a peak response wavelength of 12 µm, exposed to 300 K background radiation in an f/2 field of view, is shown in Fig. 36.5. The saturation of the noise at high bias is clearly demonstrated. A necessary condition for background-limited operation is that pb p0 or ηφb τ n2 (36.7) i , t n0 where n i is the intrinsic carrier density, which is approximately 1013 cm−3 . The lifetime is itself a function of the background flux, but in reduced field of view, values in excess of 2 µs are observed. Putting η = 0.6, t = 10 µm and n 0 = 5 × 1014 cm−3 , we see that background-limited operation should be observed when φb > 1 × 1015 cm−2 /s, i. e., a field of view greater than about 5◦ , and for a background scene temperature of 300 K. It is generally the case [36.17–19] that the lifetime in good-quality n-type HgCdTe, with a cut-off wavelength near 10 µm at 80 K, is determined by Auger 1 recombination, except possibly at very low donor concentrations near 1 × 1014 cm−3 , where Shockley–Read recombination may be significant. Auger 1 is essentially impact ionization by electrons in the high-energy tail of the Fermi–Dirac distribution, which have energies greater than the band gap E g . An expression for Auger lifetime is: n 2i τ = 2τAi1 (36.8) , n(n + p) where τAi1 is the intrinsic Auger 1 lifetime, which has a value of approximately 1 × 10−3 s. The sensitivity of a detector is often described by the parameter called the detectivity. The detectivity (or D∗ ) is a signal-to-noise parameter normalized for area and bandwidth, and is used to compare photoconductor performance. Dividing the responsivity by the g–r noise expression gives η1/2 1 ∗ Dλ = (36.9) . 2E λ φb The limiting D∗ in zero background flux is given by η 2τAi1 1/2 ∗ . (36.10) Dλ = 2E λ n 0 t Using the values for the parameters as taken earlier Dλ∗ = 1 × 1012 cmHz1/2 /W
(36.11)
866
Part D
Materials for Optoelectronics and Photonics
in this band can be obtained at temperatures up to about 240 K. The spatial resolution of the SPRITE detector when the scan velocity and the carrier velocity are matched throughout the device length, is determined by the diffusive spread of the photogenerated carriers and the spatial averaging in the readout zone. This may be expressed through the modulation transfer function (MTF): 1 2 sin(ksl/2) MTF = (36.17) , ks l 1 + ks2 Q 3h where ks is the spatial frequency. The behavior of noise in the device at high frequencies is described in several papers [36.26,27]. Some optimization of the shape of the filament has been carried out, involving a tapering of the read-out zone and a slight tapering of the main body of
the element [36.28]. Following these modifications, very good agreement with (36.17) was obtained. In practice, the length of the read-out zone can be chosen sufficiently small that the diffusion term sets the limit. It was believed initially that this limit was fundamental, and that the only way in which it could be reduced was to restrict the integration by means of a shorter device. In fact, several different methods have been discussed [36.29] by means of which better spatial resolution can be obtained at the expense of additional power dissipation on the focal plane. The technique that has found most favor with system designers has been to increase the focal length of the detector lens in an afocal system, usually by employing anamorphic optics [36.30]. This allows the diffusion spot radius to be reduced below the diffraction spot radius.
Part D 36.4
36.4 Photoconductive Detectors in Closely Related Alloys There has been some interest in developing alternative ternary alloys to replace HgCdTe, as from theoretical considerations it has been shown that the already weak Hg−Te lattice bond is further destabilized by alloying with CdTe [36.31]. It is predicted that the Hg−Te bond may be more stable in alternative alloys, leading to materials with increased hardness and detectors with better temperature stability. Apart from Cd and Zn, the other elements capable of opening up a bandgap in the semimetals HgTe and HgSe are Mn and Mg. In the case of Mg, little reinforcement of the Hg−Te bond occurs at compositions appropriate to 10 µm detectors, and in the case of Hg1−x Cdx Se, difficulties have been experienced in obtaining type-conversion and lightly doped n-type material. Most of the attention, therefore, has been focused on Hg1−x Znx Te and Hg1−x Mnx Te. As mentioned in the introduction, the technology and general properties of these materials are very similar to HgCdTe and much of the device processing is common to both. The status of the research is described by [36.32]. The hardness of Hg1−x Znx Te is about a factor of two better than HgCdTe and interdiffusion about one tenth, so this compound offers promise of competing with HgCdTe. However Hg1−x Znx Te presents more difficult material problems than HgCdTe. For instance, the separation of the liquidus and solidus curves is large and leads to high segregation coefficients. Also, the solidus lines are flat, leading to a strong composition dependence on growth temperature, and a very high mercury
overpressure is needed for bulk crystal growth. The best crystals have been grown by the traveling heater method (THM). A Dλ∗ value of 8 × 109 cm Hz1/2 /W has been quoted [36.33] for photoconductive detectors at 80 K prepared from LPE material, a value substantially lower than that typical of HgCdTe devices. 10.6 µm laser detectors with Dλ∗ values in the range 3–6.5 × 107 cmHz1/2 /W have been reported [36.34], comparable to those observed in equilibrium devices in HgCdTe. The most promising results, however, are those for longwavelength detectors, of interest for space applications, with cut-off wavelengths as long as 17 µm [36.35]. The Dλ∗ values were 8–10 × 1010 cm Hz1/2 /W, measured at 65 K. Photodiodes have been produced by all of the established techniques, including ion implantation into THM material [36.36] and Hg diffusion into isothermal vapor phase material [36.37]. Both report comparable performance to HgCdTe diodes. The maximum annealing temperature is reported to be 10 to 20◦ better than HgCdTe. Challenges for the future will be to match the uniformity and defect levels of HgCdTe. Hg1−x Mnx Te is a semimagnetic narrow-gap semiconductor, but strictly it is not a II–VI ternary compound because Mn is not a group II element but is included here for completeness. Hg1−x Mnx Te also has material disadvantages compared to HgCdTe and HgMnTe crystals need to be much more uniform in composition to achieve the same wavelength uniformity. For epitaxial growth the strong variation of lattice parameter with composition is also thought to be a disadvantage com-
868
Part D
Materials for Optoelectronics and Photonics
Part D 36.6
the eight diodes in one channel. Consequently the detector performance can be relatively insensitive to defects in the HgCdTe, and this is the reason why LW long linear arrays have reached maturity much earlier than LW matrix arrays. Long linear arrays can also use the MW band, but the flux levels are over an order less than the LW band and the performance is compromised by the short integration time in a scanned system. MW detectors then tend to use matrix arrays where the integration time can approach the frame time. The problem of point defects is considerably reduced in the medium waveband and large 2-D arrays are more practical. The emphasis for MW detectors is on developing wafer-scale processes to provide large, economical 2-D arrays for staring thermal imaging cameras. The MW band thermal imaging market is concentrated on arrays using half-TV (320 × 256 or 384 × 288) or full-TV formats (640 × 480 or 640 × 512), and is divided between indium antimonide (InSb), HgCdTe and platinum silicide. Broadly, manufacturing companies from the USA and Israel tend to prefer InSb, and European and some US companies have specialized in HgCdTe. Currently, infrared cameras based on HgCdTe arrays are in production and are producing remarkable sensitivities, over an order better than first-generation cameras. Figure 36.10 shows a representative image from a state of the art HgCdTe 2-D array. Unlike photoconductive arrays, manufacturers use a variety of different technologies for photovoltaic arrays. Sections 36.6.1 to 36.6.3 describe the theory of photovoltaic detectors and the fundamental principles behind HgCdTe device technology. These principles help to explain the various approaches used by manufacturers to produce detectors in both the LW and MW bands described in Sects. 36.6.4 to 36.6.7. Section 36.6.8 describes the research and development progress in so-called Gen III (third-generation thermal imaging equipment) programs aimed at advanced infrared detectors.
36.6.1 Ideal Photovoltaic Devices The current–voltage characteristic for an ideal diffusionlimited diode exposed to a photon flux φλ is given by: qVd (36.18) Id = Is exp − 1 − q Aηφλ , kT where Id is the diode current, Is is the diffusion current, Vd is the diode bias voltage (taking negative values in reverse-bias), η is the quantum efficiency and A is
the detector area. The second term in (36.18) is the photocurrent, Iph . The quantum efficiency is given by: η = (1 − R)[1 − exp(−αλ t)]F ,
(36.19)
where R is the reflectivity of the front surface, normally minimized by an antireflection coating, αλ is the absorption coefficient, t is the sample thickness, which is typically around 6–10 µm to give adequate absorption in HgCdTe detectors, and F is a geometry factor which describes the number of photogenerated carriers within the pixel which reach the junction before recombining. The condition that the detector be background limited is crucial for high-performance systems, and to meet this condition the internal thermal generation must be much less than the photon generation in the lowest flux case, i. e. Is Iph . By differentiating (36.18), Is is given by KT/qR0 , where R0 is the zero bias resistance. The condition for background-limited performance is therefore often written as R0 kT/qIph , and this is the origin of the commonly used figure of merit, R0 A. As an example, in the case of a long waveband system, say 10 µm cut-off, with an F2 optic and a lowest background scene temperature of −40 ◦ C, an R0 A of greater than 30 Ω cm2 is required. Detectors need to be cooled sufficiently to suppress thermally generated currents, but there are always pressures to avoid very low temperatures to give savings in power and cooldown time and better engine reliability. This is particularly the case for cut-off wavelengths longer than 10 µm, and detector technologies have emerged that provide high R0 A as a first priority. The white noise current at low frequencies is given by: qVd 2 i n = 2qIs 1 + exp B + 2q(q Aηφλ )B , kT (36.20)
where B is the bandwidth in Hz. In the absence of photocurrent, the noise at zero bias is equal to the Johnson noise, 4kTB/R0 . In reverse bias it tends to the normal expression for shot noise, 2q(Is + Iph )B. Note that the mean-square shot noise in reverse bias is half that of the Johnson noise at zero bias. The current responsivity is ηq/E λ , where E λ is the photon energy, and this leads to the general expression for detectivity: ηq A ∗ Dλ = . (36.21) E λ i n2 When the photocurrent exceeds the diffusion current, the device is said to be background limited (BLIP), and
870
Part D
Materials for Optoelectronics and Photonics
optics and low background temperatures, the requirement for Rv can exceed 109 Ω. In the long waveband this can present a technological challenge. There are more complex injection circuits that effectively reduce the input impedance and allow lower HgCdTe resistances to be used, but these require more silicon area and a higher power consumption, which may not be practical. The choice of buffer circuit depends on the application, and good comprehensive summaries are presented by [36.42, 43]. For most applications the detector performance depends on operating the diode in a small reverse bias where the dynamic resistance is at a maximum. It is then necessary to minimize extraneous leakage currents. The control of these leakage currents and the associated low-frequency noise is therefore of crucial interest to the device engineer. The origin of the leakage currents and the measures that are taken to minimize them are explained here in detail.
Part D 36.6
36.6.3 Theoretical Foundations of HgCdTe Array Technology Good detailed summaries of photodiode fundamentals have been provided by [36.44,45] and the reference lists contained therein. The purpose of this section is to highlight the key issues that control the thermal generation, leakage currents and quantum efficiency in detectors. From this analysis it is easier to understand the measures taken by detector manufacturers to make practical high-performance detectors. Thermal Diffusion Currents in HgCdTe Expressions for the diffusion current in photovoltaic devices have been derived [36.44] and the fundamental R0 A expression is given in (36.24): Nd kT τh , (36.24) R0 A(n − side) = 2 qn i qµh
where Nd is the net donor concentration on the nside, n i is the intrinsic carrier concentration, which dominates the temperature dependence, and τh and µh are the minority carrier lifetime and mobility, respectively. A similar expression describes the contribution of the p-side. In practice, the diffusion length L in normally doped HgCdTe is often larger than the 6–10 µm needed for effective infrared radiation absorption. In this case, the volume available for the generation of diffusion current is restricted, and a suitable modified expression
is: R0 A(n − side) =
kTNd τh , q 2 n 2i τn
(36.25)
where tn is the thickness of the n-type material. In the case of L being greater than tn , the surfaces and contacts can act as sources of extra diffusion current if the surface recombination velocity is greater than the diffusion velocity D/L. It is essential to ensure properly passivated surfaces and for contacts employ a minority carrier barrier, such as a higher doped or wider band gap layer under the metal contact. The behavior of (36.25) with doping depends upon the dominant recombination process, i. e. radiative, Auger or Shockley-Read (S-R). Auger recombination in HgCdTe is a phenomenon involving the interaction of three carriers. The Auger 1 lifetime in n-type material is due to the interaction of two electrons and a hole and is generally minimized by using a low carrier concentration on the n-side. Fortunately it is relatively easy to produce low carrier concentrations in n-type material (< 5 × 1014 cm−3 ). In p-type material Auger recombination involves two holes and an electron and is referred to as Auger 7. The lifetime in p-type HgCdTe is reported [36.46–48] to show an inverse, linear dependence on doping, and this is attributed to the Shockley-Read process. S-R recombination is often associated with the Hg vacancy and can be modeled by a strong donor level located ≈ 30 mV from the conduction band, which appears to be independent of composition in the x = 0.2 to 0.3 range. The density of these donor S-R centers is said to be proportional to the Hg vacancy concentration, but lower by a factor of ≈ 20. If Hg vacancies are replaced by acceptor atoms such as Cu, Ag, Na or Au, the lifetime can be increased by over an order of magnitude. The use of extrinsic doping is an effective way to reduce thermal currents from the p-side in homojunction devices. In order to engineer a detector with low thermal diffusion current (or high R0 A) it is important to recognize that it is only necessary to use one side of the junction for collecting photocurrent; the other side, in principle, can be made with a wider bandgap, thereby minimizing the thermal current contribution. Devices with layers of different band gaps are called heterostructures. The most common design is to use a wider bandgap on the pside to reduce the thermal diffusion current and use the n-side as an absorber taking advantage of the long minority carrier diffusion lengths to maximize the quantum efficiency.
II–VI Narrow-Bandgap Semiconductors for Optoelectronics
Thermal Generation Through Traps in the Depletion Region The thermal generation rate gdep within the depletion region via traps is given by the usual Shockley-Read expression:
gdep = n 2i (n 1 τn0 + p1 τp0 )−1 ,
(36.26)
Interband Tunneling Due to the very low effective mass of the electron in HgCdTe, direct band-to-band tunneling can occur from filled states in the valence band to empty states at the same energy in the conduction band. An expression for the current due to this process has been developed by [36.50]. The tunneling current increases very rapidly as the applied voltage or doping is increased, or the band gap or temperature is decreased. It is the normal modern practice to use low doping on one side of the junction to minimize interband tunneling under normal operating conditions, but nevertheless, at low temperature or for very long wavelength devices, interband tunneling can become dominant. Trap-Assisted Tunneling Trap-assisted tunneling is generally accepted to be one of the main causes of leakage current and excess noise in
LW diode arrays, but it is not a fundamental limitation, often being associated with impurities or structural defects within the depletion region. The definition of a trap is a center with a capture coefficient for minority carriers many times larger than that for majority carriers (otherwise it is a generation center). The role played by traps in the depletion region is very complicated, allowing for three possible two-step processes: thermal-tunnel, tunnel-thermal and tunnel-tunnel. The nonthermal step can include tunneling of electrons from the valence band to traps, and tunneling from the traps to the conduction band. The formulation for trap-assisted tunneling in HgCdTe has been developed [36.51, 52] based upon original work in silicon [36.53]. Models based on a thermal-tunnel process can explain the bias-dependent behavior of reverse current in long-wavelength diodes [36.54–56]. A trap-assisted tunneling process can explain the observed behavior of n+ -p diodes [36.57]. The process involves a thermally excited, bulk Shockley-Read center, modeled for the special case of the trap residing at the Fermi level. The physical picture for this assumption is that there is a uniform distribution of trapping centers throughout the bandgap and the barrier for tunneling is lowest at the uppermost center that is still occupied. Hence, the occupied trapping center that coincides with the Fermi level has the highest transition probability and plays the dominant role in the thermal trap-assisted tunneling process. This model helps to explain several unusual properties, such as an observed reduction in leakage current when the temperature is reduced or the doping level increased. Note that this is in contrast to direct band-to-band tunneling. Also, there is a much weaker dependence on diode bias voltage. The model also predicts the commonly observed “ohmic” region illustrated in Fig. 36.11 at a bias of around −100 mV. The physical origin of the trap has not been established yet but it appears to be an acceptor-type impurity or defect within the depletion region. The population of such traps is likely to be dependent on the HgCdTe material and the junction forming technology and so the quality of LW diodes is highly process-specific. Impact Ionization Underlying trap-assisted tunneling, a more fundamental source of leakage current has been proposed, which is called impact ionization. The reverse bias characteristics of homojunction arrays often show behavior that is not easily explained by conventional trapping mechanisms. For instance, the
871
Part D 36.6
where n 1 and p1 are the electron and hole concentrations which would be obtained if the Fermi energy was at the trap energy, and τn0 and τp0 are the lifetimes in the strongly n-type and p-type regions. The leakage current is often known as g–r current. Normally, one of the terms in the denominator of (36.26) will dominate, and for the case of a trap at the intrinsic level, n 1 and p1 = n i , giving gdep = n i /τ. It is the weaker dependence on n i , and therefore on temperature, that distinguishes generation within the depletion layer from thermal diffusion current. Where the depletion region intercepts the surface, there is often enhanced generation due to the presence of a high density of interface states. This can be exacerbated if the surface passivation is not properly optimized to give a flat band potential at the junction. In an extreme case, the surface on one side of the junction may become inverted, creating an extension of the depletion layer along the surface, and leading to high generation currents. A practical solution to this mechanism is to widen the band gap in the material where the junction intercepts the surface, so-called heteropassivation. This can be achieved by using a thin film of CdTe together with a low-temperature anneal [36.49], and this is the commonest passivation technique used by manufacturers.
36.6 Photovoltaic Devices in HgCdTe
872
Part D
Materials for Optoelectronics and Photonics
Part D 36.6
product of p-side diffusion currents (including photocurrent) and reverse bias resistance is often observed to be insensitive to temperature and cut-off wavelength over a wide range (at least 4–11 µm s), and the current increases much more slowly with reverse bias than tunneling models would predict. A model based upon an impact ionization effect within the depletion layer gives a good fit to these observations [36.21]. The effect arises because in HgCdTe the electron scattering mechanisms tend to be weak and hot electrons can penetrate deep into the conduction band where they readily avalanche. Leakage current arises because extra electron-hole pairs are created within the depletion region due to impact ionization by minority carrier electrons from the p-side. The leakage mechanism has been confirmed to have a linear relationship with optically injected minority carriers over a wide temperature range [36.58]. Calculations have been performed on the effect of impact ionization on homojunction performance as a function of the doping levels [36.59]. These predict that to achieve a high dynamic resistance and therefore a high injection efficiency, the n-side doping must be very low. Routinely achieving low carrier concentrations (< 5 × 1014 cm−3 ) is an important aim for homojunction technology. Most manufacturers introduce a donor, such as indium, to the crystal to control the n-type level to around 3–5 e14 cm−3 . The carrier concentration in the p-region has a second-order effect compared with that of the n-region for the range of concentrations normally used. Photocurrent and Quantum Efficiency HgCdTe has a strong optical absorption coefficient and only thin layers are needed to produce high quantum efficiency. Typically in MW detectors the absorber need only be 4–5 µm thick and about twice this in LW detectors. Ideally the absorption should occur well within a diffusion length of the p–n junction to avoid signal loss due to recombination. A long carrier lifetime is nearly always observed in n-type material with low carrier concentration. Device engineers tend to favor using n-type absorbers for the best quantum efficiency and try to minimize the volume of the p-region for lower thermal leakage currents. Excess Noise Sources in HgCdTe Diodes There are many potential sources of excess noise in infrared detectors, and manufacturers strive to optimize obvious areas, such as the surface passivation (to limit surface leakage currents) and contacts. There are two other sources that have been reported in depth. The first
is linked to tunneling currents through traps, and the second is associated with crystal defects. Many authors have reported an empirical relationship between tunneling leakage current and 1/ f noise. The scatter within databases is usually large and the noise depends strongly on the technology used, but the β noise trend is roughly given as αi L , where α and β are variables depending on the device and leakage current mechanism. Leakage current from the trap-assisted tunneling mechanism results in β values close to 0.5, with α taking a value of 1 × 106 A0.5 [36.60]. With band-to-band processes the value of β moves towards 1. The nature of the trap has not been identified yet and it is not possible to exclude a variety of crystallographic defects. The physics of crystallographic defects in HgCdTe is complex because dislocations distort the local band structure and, via strain fields, the local bandgap, and this probably accounts for the variability in data in the literature. The electrically active nature of dislocations and other crystal defects in HgCdTe is well reported [36.61, 62]. Dislocations can appear as n-type pipes and are associated with active defect centers. Many workers [36.63–68] have found that the reverse bias characteristics and 1/ f noise of HgCdTe diodes depend strongly on the density of dislocations intercepting the junction. For HgCdTe this is an important observation because dislocations can easily be introduced during materials growth and the device fabrication process. Dislocations could increase the g–r current linearly in p-on-n heterostructures, along with a corresponding increase in 1/ f noise current density [36.66]. Tunnel currents are also strongly associated with crystal defects, particularly at low temperatures. The nature of defects in HgCdTe LW arrays has been studied in detail [36.58] in homojunction viahole arrays (loophole arrays) made using high-quality LPE material, and a model has been proposed for the noise-generating mechanism. Threading dislocations that originate from the CdZnTe substrate and rise vertically through the layer can cause strong leakage in reverse bias if they intercept the junction, possibly because these dislocations can become randomly decorated during growth. Consequently, the threading dislocation density in the substrate is very important for controlling defect levels. Process-induced dislocations have a weaker effect on the junction properties resulting in an effective shunt resistance of about 40 MΩ, so an accumulation of this type of dislocation can result in a defect. The current trend is to move towards lower temperature growth processes and growth on substrates with
II–VI Narrow-Bandgap Semiconductors for Optoelectronics
poor lattice match, and this has refocused attention on grown-in dislocations. Many of these processes are not yet suitable for LW arrays. More data is needed for the VPE processes because the nature of the misfit dislocations and the geometry of the absorber will influence the magnitude of the excess noise.
36.6.4 Manufacturing Technology for HgCdTe Arrays
Summary of Growth Using Liquid-Phase Epitaxy (LPE) Liquid-phase epitaxy (LPE) of HgCdTe at present provides the lowest crystal defect levels, and very good short and long-range uniformity. LPE layers are grown using an isothermal supersaturation or programmed cooling technique or some combination. A detailed knowledge of the solid-liquid-vapor phase relation is essential to control the growth particularly in view of the high Hg
pressure. Challenges include: the compositional uniformity through the layer, the surface morphology, the incorporation of dopants and the specifications for thickness, wavelength, etc. A common component leading to high structural quality is the use of lattice-matched substrates of CdZnTe. These are grown by a horizontal Bridgman process and can supply layers as large as 6 × 4 cm. The CdZnTe substrates must be of the highest quality, and often this is a significant cost driver for the process. Two different technical approaches are used: growth from a Hg-rich solution, and growth from a Te-rich solution. Advantages of the Hg-rich route include: excellent surface morphology, a low liquidus temperature, which makes cap layer growth more feasible, and the ease of incorporation of dopants. Also, large melts can provide for very good compositional and thickness uniformity in large layers and give consistent growth characteristics over a long period of time. Growth from Te-rich solutions can use three techniques to wipe the melt onto the substrate: dipping, tipping and sliding. Sliding boat uses small melt volumes and is very flexible for changing composition, thickness and doping. Tipping and dipping can be scaled up easily and can provide thick, uniform layers but the large melts limit flexibility. Double layers are also more difficult to grow. Most manufacturers have taken their chosen growth system and tailored it to provide optimum material for their device technology. In particular the use of dopants and the deliberate introduction of compositional grades are very specific to the device structure. A crucial figure of merit however is the dislocation count, that controls the number of defects in 2-D arrays. Etch pit densities of 3–7 × 104 cm−2 are typically seen in the Te-rich sliding boat process reproducing, the substructure of the CdZnTe substrate [36.69]. The etch pits are associated with threading dislocations which appear to be normal or near normal to the layer surface. The substrate defect level can be as low as mid103 cm−2 in some horizontal Bridgman CdZnTe, but this is not easy to reproduce. Similar defect densities are found in CdTeSe material but the impurity levels have proved difficult to control in the past. Device processing therefore must expect to cope with defect levels in the mid-104 cm−2 range for routine CdHgTe epilayers, and this will set the ultimate limit on the number of defects in HgCdTe 2-D arrays. Several groups have used the LPE process on low-cost substrates, including: CdZnTe or CdTe on GaAs/Si wafers [36.70] or the PACE technology on sapphire described in detail in Sect. 36.6.4.
873
Part D 36.6
Considerable progress has been made over the last two decades in the epitaxial growth of HgCdTe. Bulk growth methods are still used to provide good quality material for photoconductor arrays, but for photovoltaic arrays there are problems associated with crystal grain boundaries, which are electrically active, and cause lines of defects. Also, there are limitations in the boule size, which makes it suitable for small arrays only. Several epitaxial growth techniques are in use today. Manufacturers will select a technique that suits their device technology and the type of detectors they are trying to make. For instance, high-performance LW arrays will call for the best possible crystal quality, whereas largearea MW arrays can probably accept poorer material but must have large, uniform wafers. It is the aim of most manufacturers to produce high-quality layers in large areas at low cost, but this ideal has been elusive. At the present time the best structural quality material is grown using liquid phase epitaxy, LPE, onto lattice-matched crystals of CdZnTe, and this has been used successfully in homojunction technologies where the photosensitive junction is diffused into a homogenous monolith of material. There is a trend to move away from expensive CdZnTe substrates and both LPE and vapor-phase epitaxy (VPE) are now used on a variety of alternative substrates. Many groups favor VPE because the composition and doping profile can be easily controlled to produce complex devices, such as two-color detectors. The main HgCdTe growth processes are described here.
36.6 Photovoltaic Devices in HgCdTe
874
Part D
Materials for Optoelectronics and Photonics
Part D 36.6
Summary of Growth Using Metalorganic Vapor-Phase Epitaxy (MOVPE) A detailed summary of the state of the art for MOVPE technology has been produced [36.71], but this section summarizes the main points. MOVPE growth depends on transporting the elements Cd and Te (and dopants In and As) at room temperature as volatile organometallics. They react along with Hg vapor in the hot gas stream above the substrate or catalytically on the substrate surface. The drive to lower temperatures and hence lower Hg equilibrium pressures has resulted in the adoption of the Te precursor di-isopropyl telluride, which is used for growth in the 350–400 ◦ C range. A key step in the success of this process is to separate the CdTe and HgTe growth so that they can be independently optimized. This is called the IMP process (interdiffused multilayer process) [36.72]. IMP results in a stack of alternating CdTe and HgTe layers and relies on the fast interdiffusion coefficients in the pseudobinary to homogenize the structure at the growth temperature. Doping is straightforward using Group III metals (acceptors) and Group VII halogens (donors). For instance, ethyl iodide is used for iodine doping. The main morphological problem for MOVPE are macro defects called hillocks, which are caused by preferred 111 growth, nucleated from a particle or polishing defect. Hillocks can cause clusters of defects in arrays. Orientations 3−4◦ off 100 are used primarily to reduce both the size and density of hillocks. A variety of device structures with layers have been reported [36.73] based on MOVPE-grown HgCdTe layers on 75 mm-diameter GaAs on silicon. Summary of Growth Using Molecular Beam Epitaxy (MBE) A good detailed summary of the state of the art for MBE technology has been reported [36.74]. MBE offers the lowest temperature growth under an ultrahigh vacuum environment, and, in common with MOVPE, in situ doping and control of the composition and interfacial profiles. These are essential for the growth of advanced and novel device structures. Typically growth is carried out at 180–190 ◦ C on 211 CdZnTe substrates. Effusion cells of CdTe, Te and Hg are commonly used. Hg is incorporated into the film only by reacting with free Te, and so the composition depends on the Te to CdTe flux ratio. The structural perfection depends strongly on the Hg to Te flux ratio and growth is usually restricted to a tight temperature range. Indium is the most widely used n-dopant and is well activated. p-type dopants are less conveniently incorpo-
rated in situ but manufacturers have devised a number of processes to force As onto the proper Te site. Again the Hg to Te ratio and growth temperature is crucial to achieving good activation. In general, reproducibility seems more difficult to achieve than MOVPE. MBE structural problems center mainly on pinholes or voids. Some very good EPD levels have been reported, but in general the EPD levels are an order or more higher than the best quality LPE. Junction Forming Techniques For n–p devices, crystal growers can obtain the desired p-type level by controlling the density of acceptor-like mercury vacancies within a carrier concentration range of, say, 1016 to 1017 cm−3 . Neutralizing the Hg vacancies and relying on a background level of donors to give the n-type conversion creates the photodiode junctions. Mercury can be introduced by thermal diffusion from a variety of sources, but high-temperature processes are not very compatible with HgCdTe at the device level. However, type-conversion can be readily achieved by processes such as ion beam milling [36.75] and ion implantation [36.76–81]. Type conversion can also be achieved using plasma-enhanced milling in the VIAP process [36.81] and also using H2 /CH4 plasmas [36.82]. The common feature is that the conversion depth is much deeper than would be expected from the implantation range alone. The current knowledge on type conversion using ion beam milling is described by [36.58] and the current knowledge for ion implantation is summarized by [36.83]. The explanation for the behavior of HgCdTe under ion beam bombardment involves a number of physical mechanisms. Firstly, the low binding energies, ionic bond nature and open lattice of HgCdTe encourages the liberation of free mercury at the surface and subsequent injection by the ion beam. The injection mechanism probably involves a recoil implantation process. Once the Hg interstitial is injected, the mobility is apparently extremely high and there is some evidence that this is stimulated by the ion beam in a process related to the anomalously high diffusion rates of impurities often observed in SIMS analysis. Another factor is the movement of dislocations under the influence of the ion beam and possibly stimulated by strain fields. A number of workers report that the n-type carrier concentration in the converted region is very low, and in fact fast-diffusing impurities such as the Group IB elements Cu, Ag and Au and the Group IA elements Na, K and Li, which reside on the metal sublattice, are swept out of the n− region of the diode by the flux of Hg interstitials. This impurity
880
Part D
Materials for Optoelectronics and Photonics
Part D 36.6
region to give low capacitance. This is a so-called PiN diode, where the “i” stands for intrinsic. It also needs a very low series resistance to withstand the shock of the laser return, and this lends itself to metal grids and heavily doped heterostructures. In most SW applications the photon flux is low and it is difficult to achieve reasonable signal-to-noise performance. However, it is relatively easy to enhance the signal by providing some avalanche gain in the device. Electron avalanching in MW HgCdTe via-hole diodes has been described [36.101, 102]. The electron and hole ionization rates are very different in HgCdTe, and this allows almost pure exponential, noise-free avalanche gain at fairly moderate voltages. So avalanche gain can readily be achieved for wavelengths above about 2.5 µm when the absorber region is p-type and electrons are the minority carriers. A gain of 10 is typically observed for around 5 V at a cut-off of 5 µm. An alternative structure uses SW material (1.6 µm) and a resonant enhancement of the hole impact ionization rate when the bandgap equals the spin-orbit split-off energy [36.103]. Gains of 30–40 have been seen with voltages of 85–90 V. SW laser-gated imaging systems using avalanche gain in HgCdTe are now being reported for use in long-range identification applications [36.104]. Here the combination of sophisticated ROICs and high quality HgCdTe device processing is producing 320 × 256 arrays with a sensitivity down to 10 photons rms.
36.6.8 Towards “GEN III Detectors” “GEN III” is a commonly used term which stems from the abbreviation for third-generation infrared detector. The definition of a “GEN III” detector can differ between different nations but the general guideline is any detector that offers an imaging advantage over conventional first- and second-generation systems. Common agreed examples include megapixel arrays with high density, dual color or even multispectral arrays, higher operating temperature, fast readout rates, very low NETD due to pixel-level signal compression and retina-level signal processing. Some of the progress made in such research is described here in more detail. Two-Color Array Technology Resolving the spectral signature can enhance the identification of objects in a thermal image. As a stepping stone to true multispectral arrays, many manufacturers are developing two-color detectors with simultaneous readout of flux levels in two separate infrared bands. A good example of a field requirement is to separate
a sun glint from a hot thermally emitting object. The field is still in its early development stages, but some good results have been achieved. Two-color arrays use two layers of HgCdTe with the longer wavelength layer underneath. The technological challenge is to make contact with the top layer without obstructing the sensitive area of the bottom layer. Ideal devices, where the integration takes place simultaneously and the sensitive area is co-spatial, are practically difficult to make on normal pixel sizes of say less than 50 µm. Most dual-color devices using indium bumps need two contacts within each pixel and make contact to the top diode by etching a hole in the bottom layer. The silicon needs to be custom-designed because the flux levels in the two bands may be markedly different. The polarity of the input MOSFET and the gain within the silicon must be matched to the technology and application. Several successful arrays have been reported including a 64 × 64 simultaneous MW/LW dual-band HgCdTe array on 75 µm pitch [36.105]. The array is fabricated from a four-layer P-n-N-P film grown in situ by MOVPE on CdZnTe and mounted on a custom ROIC. This approach has been applied to the double-layer planar heterostructure, DLPH, in a process called SUMIT, which stands for simultaneous unipolar multispectral integrated technology [36.106]. The DLPH structure can be turned into a two-color device by employing two absorbers with different bandgaps separated by a wide bandgap barrier. An etch step is used to expose the lower, shorter wavelength absorber and to form an arsenic doped junction. A pixel size of 40 µm for each color is used in 128 × 128 demonstrators for MW/MW arrays. A variant of this basic process has also been presented by AIM [36.107] and they have achieved two colors in a concentric arrangement in 192 × 192 and 256 × 256 arrays with 56 µm pitch, again using a full custom ROIC. Two-color arrays have been fabricated using a viahole type structure in which each pixel has two via-holes [36.81]. One is for connecting the longer wavelength array to the silicon in the normal way and the other is isolated from the longer wavelength material and connects the shorter wavelength to the silicon, as is illustrated schematically in Fig. 36.19. The spectral response of the two colors is shown in Fig. 36.20 for the two different doublets. The two-color arrays utilize standard 640 × 480, 25 µm pitch ROICs (as used in LW focal plane arrays). The unit cell design in these ROICs incorporates one buffer amplifier circuit for every two HgCdTe pixels. The two-color array actually uses four
882
Part D
Materials for Optoelectronics and Photonics
suppress competing recombination mechanisms. Nevertheless, the device concept has enormous importance as a route towards high-performance infrared detectors with minimal cooling.
Part D 36.7
Retina-Level Processing In very large arrays operating at high frame rates, the downloading of signal data and the subsequent signal processing can be daunting and is called the data processing bottleneck problem. The human retina presents an example of how evolution has dealt with the problem. The eye performs a number of image processing operations in the “z-plane”, including, in order: logarithmic photon sensing, spatial filtering, temporal filtering, motion sensitivity and data decomposition. An essential function is the Difference of Gaussian or DoG filter which is used for both edge and contrast enhancement [36.115]. Focal plane arrays with neuromorphic processing are under development at a number of centers [36.116–118]. A good example is the MIRIADS programme (miniature infrared imaging applications development system). MIRIADS uses a neuromorphic FPA, with temporal high-pass filtering, frame co-adding and a Difference of Gaussians operation to detect motion, enhance edges and reject ambient light levels. The current reported array is a 64 × 64.
36.6.9 Conclusions and Future Trends for Photovoltaic HgCdTe Arrays Photovoltaic 2-D HgCdTe detectors are serving many applications worldwide and are supplanting photoconductive detectors in second-generation systems for military, commercial and scientific applications, offering improved temperature sensitivity, lower power consumption, weight and volume. The continuing development work within manufacturing centers, supported
by the wider scientific community, is aimed at increasing the radiometric performance, reducing defects and reducing manufacturing costs. Medium-term research is being directed at the best advanced materials and device structures for the next generation of HgCdTe arrays, which will combine state of the art background limited performance with low-cost wafer-scale processing. In the future it is envisaged that HgCdTe detectors will be grown directly on silicon or even silicon multiplexers for very low cost detectors. Bandgap engineering will produce heterostructure detectors with much higher operating temperatures. This will enable background-limited operation in MW arrays at near room temperature and operation of LW detectors on thermoelectric coolers or low-power Stirling engines. Device structures will be extended to produce bispectral and multispectral capability. Advanced detectors will critically depend on the future development of multiplexers. Challenges for the future include: arrays with larger physical size, smaller pixels, higher sensitivity, faster frame rate and even perhaps retina-type processing to reduce the need for external signal processing. The ultimate performance potential of HgCdTe will ensure that it is the material of choice for all high-performance infrared systems. In MW arrays it will compete with InSb offering better imaging characteristics and higher operating temperature. Active imaging will drive a major growth in SW array technology. The top performing thermal imaging cameras currently depend on LW, HgCdTe, long linear arrays offering high-definition TV images: the only technology currently capable of doing this economically. The market for smaller LW 2-D arrays is dominated by uncooled (thermal) detectors and multiple quantum well detectors, but HgCdTe offers considerably improved sensitivity, and once the cost becomes competitive it should compete with these technologies for many applications.
36.7 Emission Devices in II–VI Semiconductors Infrared emission devices, in the form of LEDs (light emitting diodes) and laser diodes, are used in a broad range of applications such as gas sensors, infrared scene simulators, molecular spectroscopy, free space communications, fiber optic communications and LIDAR (light detection and ranging). A useful summary of emission device theory and current progress has been presented by [36.119]. There is competition from lead salt semiconductors (in the 3 to 34 µm range) and narrow gap
III–V compounds. HgCdTe LEDs use a forward-biased junction to inject minority carriers into an n-type region, typically with low doping to encourage radiative recombination. The external photon efficiency is limited by factors such as competing recombination mechanisms, reabsorption of the photons and internal reflection. Nevertheless, efficiencies as high as 5% have been achieved at 4 µm, using an immersion lens to overcome internal reflection [36.120].
II–VI Narrow-Bandgap Semiconductors for Optoelectronics
One of the disadvantages of the LED is that the spectrum is often too broad for many applications, and lasers are needed. Lasers also offer greater output power and higher spatial coherence, but they are more difficult to make and have additional noise mechanisms. Infrared lasers covering the 3–5 µm band have been demonstrated with pulsed operation at 80 K. It is only recently that the complex double heterojunction structures needed for lasing have been available from low-temperature VPE processes, so the field is relatively young. Nevertheless, peak powers of up to several milliwatts have been recorded in diode pumped lasers and over 1 W in optically pumped lasers.
References
883
Using a structure similar to that reported in Sect. 36.6.8 and illustrated in Fig. 36.21, the carrier densities, in reverse bias, can be lowered to well below the thermal equilibrium values. Under this condition, the absorption of photons can exceed the emission rate and the surface of the device can look cold. This is called negative luminescence [36.121] and devices have been developed for use as temperature references in thermal imaging cameras. Infrared LEDs have also been reported [36.122] in the dilute magnetic semiconductors Hg1−x Mnx Te and Hg1−x−y Cdx Mn y Te, where, in principle, the bandgap could be tuned by the applied magnetic field.
36.8 Potential for Reduced-Dimensionality HgTe–CdTe a few microns. Furthermore, tunneling currents can be reduced by orders of magnitude, permitting operation at much longer wavelengths. The state of the art of reduced dimensionality material is summarized in [36.123]. Quantum well lasers are also known to offer significant advantages over double heterojunction devices with bulk active regions. For instance, the maximum operating temperatures for pulsed and CW lasers could be increased by over 100 K, and this would have important consequences for the attractiveness of narrow-gap II–VI emitters.
References 36.1 36.2 36.3 36.4 36.5 36.6 36.7
36.8
36.9 36.10 36.11
W. D. Lawson, S. Nielsen, E. H. Putley, Y. S. Young: J. Phys. Chem. Solids 9, 325–329 (1959) M. A. Kinch: Mater. Res. Soc. Symp. Proc. 90, 15 (1987) N. Duy, D. Lorans: Semicond. Sci. Technol. 6(12), C93 (1991) S. Oguz, R. J. Olson, D. L. Lee et al.: Proc. SPIE 1307, 560 (1990) T. Tanaka, K. Ozaki, K. Yamamoto et al.: J. Cryst. Growth 117, 24 (1992) M. A. Kinch, S. R. Borello: Infrared Phys. 15, 111 (1975) C. T. Elliott: Handbook on Semiconductors, 1st edn., ed. by C. Hilsum (North-Holland, Amsterdam 1981) p. 727 C. T. Elliott, N. T. Gordon: Handbook on Semiconductors, 2nd edn., ed. by C. Hilsum (North-Holland, Amsterdam 1993) p. 841 A. Kolodny, I. Kidron: Infrared Phys. 22, 9 (1992) N. Oda: Proc. SPIE 915, 20 (1988) M. A. Kinch, S. R. Borello, A. Simmons: Infrared Phys. 17, 127 (1977)
36.12 36.13 36.14 36.15 36.16 36.17 36.18 36.19 36.20 36.21 36.22 36.23
D. L. Smith: J. Appl. Phys. 54, 5441 (1983) T. Ashley, C. T. Elliott: Infrared Phys. 22, 367 (1982) D. L. Smith, D. K. Arch, R. A. Wood, M. W. Scott: Appl. Phys. Lett. 45(1), 83 (1984) C. A. Musca, J. F. Siliquini, B. D. Nener, L. Faraone: IEEE Trans. Electron. Dev. 44(2), 239 (1997) R. Kumar, S. Gupta, V. Gopal, K. C. Chabra: Infrared Phys. 31(1), 101 (1991) M. A. Kinch, S. R. Borello, B. H. Breazale, A. Simmons: Infrared Phys. 16, 137 (1977) M. B. Reine, E. E. Krueger, P. O’Dette et al.: Proc. SPIE 2816, 120 (1996) I. M. Baker, F. A. Capocci, D. E. Charlton, J. T. M. Wotherspoon: Solid-State Electron. 21, 1475 (1978) C. T. Elliott: Electron. Lett. 17, 312 (1981) C. T. Elliott, N. T. Gordon, R. S. Hall, G. J. Crimes: J. Vac. Sci. Technol. A 8, 1251 (1990) C. T. Elliott: UK Patent 1488, p. 258 (1977) C. T. Elliott, D. Day, D. J. Wilson: Infrared Phys. 22, 31 (1982)
Part D 36
The emergence of low-temperature growth processes will enable HgTe−CdTe structures to be grown with dimensions small enough to show quantum confinement effects. At present this research is in its early stages, and there are no active programs to make devices, but this could change in future. HgTe−CdTe superlattices offer many benefits for detectors. The minority carrier lifetime could be enhanced beyond that of bulk material, providing better quantum efficiency. The optical absorption coefficient can be much larger than bulk material, allowing LW detectors to use absorbers as thin as
884
Part D
Materials for Optoelectronics and Photonics
36.24
36.25 36.26 36.27 36.28 36.29 36.30 36.31 36.32
36.33
Part D 36
36.34 36.35 36.36 36.37 36.38 36.39 36.40 36.41 36.42 36.43 36.44
36.45
36.46 36.47 36.48 36.49 36.50 36.51 36.52
C. T. Elliott, C. L. Jones: Narrow-Gap II–VI Compounds for Optoelectronic and Electromagnetic Applications (Chapman Hall, New York 1997) Chap. 16 A. Blackburn, M. V. Blackman et al.: Infrared Phys. 22, 57 (1982) D. J. Day, T. J. Shepherd: Solid-State Electron. 25(6), 707 (1982) T. J. Shepherd, D. J. Day: Solid-State Electron. 25(6), 713 (1982) T. Ashley, C. T. Elliott, A. M. White et al.: Infrared Phys. 24(1), 25 (1984) C. T. Elliott: Proc. SPIE 1038, 2 (1989) A. Campbell, C. T. Elliott, A. M. White: Infrared Phys. 27(2), 125 (1987) A. Sher, A. B. Chen, W. E. Spicer, C. K. Shih: J. Vac. Sci. Technol. A 3, 105 (1985) A. Rogalski: Infrared Detectors and Emitters: Materials and Devices, Electron. Mater. Vol. 8 (Kluwer Academic, Dordrecht 2001) Chap. 12 E. J. Smith, T. Tung, S. Sen et al.: J. Vac. Sci. Technol. A 5, 3043 (1987) J. Piotrowski, T. Niedziela: Infrared Phys. 30, 113 (1990) E. A. Patten, M. H. Kalisher, G. R. Chapman et al.: J. Vac. Sci. Technol. B 9, 1746 (1991) J. Ameurlaine, A. Rousseau, T. Nguyen-Duy, R. Triboulet: Proc. SPIE 929, 14 (1988) D. L. Kaiser, P. Becla: Mater. Res. Soc. Symp. Proc. 90, 397 (1987) P. Becla: J. Vac. Sci. Technol. A 4, 2014 (1986) R. M. Broudy, V. J. Mazurczyk: Semicond. Semimet., 18 (1991) M. B. Reine: Proc. SPIE 443, 2 (1983) M. B. Reine, K. R. Maschoff, S. B. Tobin et al.: Semicond. Sci. Technol. 8, 788 (1993) L. J. Kozlowski: Proc. SPIE 2745, 2 (1996) L. J. Kozlowski, J. Montroy, K. Vural, W. E. Kleinhans: Proc. SPIE 3436, 162 (1998) M. B. Reine, A. K. Sood, T. J. Tredwell et al.: Semiconductors and Semimetals, Vol. 18, ed. by R. K. Willardson, A. C. Beer (Academic, New York 1981) Chap. 6 M. B. Reine: Infrared Detectors and Emitters: Materials and Devices, Electron. Mater. Vol. 8 (Kluwer Academic, Dordrecht 2001) Chap. 12, p. 8 D. E. Lacklison, P. Capper et al.: Semicond. Sci. Technol. 2, 33 (1987) P. L. Polla, R. L. Aggarwal, D. A. Nelson et al.: Appl. Phys. Lett. 43, 941 (1983) O. K. Wu, G. S. Kamath, W. A. Radford et al.: J. Vac. Sci. Technol. A 8(2), 1034 (1990) O. P. Agnihotri, C. A. Musca, L. Faraone: Semicond. Sci. Technol. 13, 839–845 (1998) W. W. Anderson: Infrared Phys. 20, 353 (1980) J. Y. Wong: IEEE Trans. Electron. Dev. 27, 48 (1980) W. W. Anderson, K. J. Hoffman: J. Appl. Phys. 53, 9130 (1982)
36.53 36.54
36.55 36.56 36.57 36.58 36.59 36.60 36.61 36.62 36.63 36.64 36.65 36.66 36.67 36.68 36.69 36.70 36.71
36.72 36.73 36.74
36.75 36.76 36.77 36.78 36.79 36.80 36.81 36.82
C. T. Sah: Phys. Rev. 123, 1594 (1961) R. E. DeWames, J. G. Pasko, E. S. Yao, A. H. B. Vanderwyck, G. M. Williams: J. Vac. Sci. Technol. A6, 2655 (1988) Y. Nemirovski, D. Rosenfeld, R. Adar, A. Kornfeld: J. Vac. Sci. Technol. A7, 528 (1989) D. Rosenfeld, G. Bahir: IEEE Trans. Electron. Dev. 39, 1638–45 (1992) Y. Nemirovsky, R. Fastow, M. Meyassed, A. Unikovsky: J. Vac. Sci. Technol. B9(3), 1829 (1991) I. M. Baker, C. D. Maxey: J. Electron. Mater. 30(6), 682 (2003) I. M. Baker, G. J. Crimes, C. K. Ard et al.: IEE Conf. Pub. 321, 78 (1990) Y. Nemirovsky, A. Unikovsky: J. Vac. Sci. Technol. B10, 1602 (1992) J. H. Tregilgas: J. Vac. Sci. Technol. 21, 208 (1982) J. P. Hirth, H. Ehrenreich: J. Vac. Sci. Technol. A3, 367 (1985) A. Szilagyi, M. N. Grimbergen: J. Cryst. Growth 86, 912 (1988) A. J. Syllaios, L. Colombo: Proc. IEDM Conf. (IEEE, New York 1982) p. p137 B. Pelliciari, G. Baret: J. Appl. Phys. 62, 3986 (1987) S. M. Johnson, D. R. Rhiger, J. P. Rosberg et al.: J. Vac. Sci. Technol. B10, 1499 (1992) P. W. Norton, A. P. Erwin: J. Vac. Sci. Technol. A7, 503 (1989) P. S. Wijewarnasuriya, M. Zandian, D. B. Young et al.: J. Electron. Mater. 28, 649–53 (1999) I. M. Baker, G. J. Crimes, J. E. Parsons, E. S. O’Keefe: Proc. SPIE 2269, 636 (1994) S. M. Johnson, J. A. Vigil, J. B. James et al.: J. Electron. Mater. 22, 835 (1993) S. J. C. Irvine: Narrow-gap II–IV Compounds for Optoelectronic and Electromagnetic Applications (Chapman and Hall, New York 1997) Chap. 3 J. Tunnicliffe, J. Irvine, S. Dosser, J. Mullin: J. Cryst. Growth 68, 245 (1984) C. D. Maxey, J. P. Camplin, I. T. Guilfoy et al.: J. Electron. Mater. 32(7), p656 (2003) O. K. Wu, T. J. deLyon, R. D. Rajavel, J. E. Jensen: Narrow-Gap II-IV Compounds for Optoelectronic and Electromagnetic Applications, Part 1 (Chapman and Hall, New York 1997) Chap. 4 M. V. Blackman et al.: Elec. Lett. 23, 978 (1987) S. Margalit, Y. Nemirovsky, I. Rotstein: J. Appl. Phys. 50, 6386 (1979) A. Kolodny, I. Kidron: IEEE Trans. Electron. Dev. ED27, 37 (1980) L. O. Bubulac, W. E. Tennant, R. A. Riedel et al.: J. Vac. Sci. Technol. 21, 251 (1982) L. O. Bubulac, W. E. Tennant et al.: Appl. Phys. Lett. 51, 355 (1987) J. Syz, J. D. Beck, T. W. Orient, H. F. Schaake: J. Vac. Sci. Technol. A7, 396 (1989) M. A. Kinch: Proc. SPIE 4369, 566 (2001) J. White et al.: J. Electron. Mater. 30(6), 762 (2001)
II–VI Narrow-Bandgap Semiconductors for Optoelectronics
36.83 36.84 36.85 36.86 36.87 36.88 36.89 36.90 36.91 36.92 36.93 36.94 36.95
36.97 36.98 36.99 36.100
36.101 36.102 36.103
36.104 I. M. Baker, S. S. Duncan, J. W. Copley: Proc. SPIE 5406, 133 (2004) 36.105 M. B. Reine, A. Hairston, P. O’Dette et al.: Proc. SPIE 3379, 200 (1998) 36.106 W. E. Tennant, M. Thomas, L. J. Kozlowski et al.: J. Electron. Mater. 30(6), 590 (2001) 36.107 W. Cabanski, R. Brieter, R. Koch et al.: Proc. SPIE 4369, 547 (2001) 36.108 J. M. Arias, M. Zandian, G. M. Williams: J. Appl. Phys. 70(8), 4620 (1991) 36.109 R. D. Rajavel, D. M. Jamba, O. K. Wu et al.: J. Electron. Mater. 26, 476 (1997) 36.110 R. D. Rajavel, D. M. Jamba, O. K. Wu et al.: J. Electron. Mater. 27, 747 (1998) 36.111 C. T. Elliott, N. T. Gordon, A. M. White: Appl. Phys. Lett. 74, 2881 (1999) 36.112 C. T. Elliott: Infrared Detectors and Emitters: Materials and Devices, Electron. Mater. Vol. 8 (Kluwer Academic, Dordrecht 2001) Chap. 11 36.113 N. T. Gordon, C. L. Jones, D. J. Lees et al.: Proc. SPIE 5406, 145 (2004) 36.114 C. T. Elliott, T. Ashley: Electron. Lett. 21, 451 (1985) 36.115 D. Marr: Vision (W. H. Freeman, San Francisco 1982) 36.116 M. Masie, P. McCarley, J. P. Curzan: Proc. SPIE 1961, 17 (1993) 36.117 P. McCarley: Proc. SPIE 3698, 716 (1999) 36.118 C. R. Baxter, M. A. Massie, P. L. McCarley, M. E. Couture: Proc. SPIE 4369, 129 (2001) 36.119 N. T. Gordon: Narrow-Gap II-IV Compounds for Optoelectronic and Electromagnetic Applications (Chapman and Hall, New York 1997) Chap. 17 36.120 P. Bouchut, G. Destefanis, J. P. Chamonal et al.: J. Vac. Sci. Technol. B 9, 1794 (1991) 36.121 T. Ashley, C. T. Elliott, N. T. Gordon et al.: Infrared Phys. Technol. 36, 1037 (1995) 36.122 R. Zucca, J. Bajaj, E. R. Blazewski: J. Vac. Sci. Technol. A 6, 2725 (1988) 36.123 J. R. Meyer, I. Vurgaftman: Infrared Detectors and Emitters: Materials and Devices, Electron. Mater. Vol. 8 (Kluwer Academic, Dordrecht 2001) Chap. 14
885
Part D 36
36.96
L. O. Bubulac, C. R. Viswanathan et al.: J. Cryst. Growth 123, 555 (1992) I. M. Baker, R. A. Ballingall: Proc. SPIE. 510, 210 (1985) P. Tribulet, J-P. Chatard, P. Costa, S. Paltrier: J. Electron. Mater. 30(6), 574 (2001) T. Tung, M. H. Kalisher, M. H. Stevens et al.: Mater. Res. Soc. Symp. Proc. 90, 321 (1987) C. C. Wang: J. Vac. Sci. Technol. B9, 740 (1991) G. N. Pulz, P. W. Norton, E. E. Krueger, M. B. Reine: J. Vac. Sci. Technol. B9, 1724 (1991) P. W. Norton, P. LoVecchio, G. N. Pultz et al.: Proc. SPIE 2228, 73 (1994) T. Tung: J. Cryst. Growth 86, 161 (1988) J. Arias, M. Zandian, J. G. Pasko et al.: J. Appl. Phys. 69, 2143 (1991) J. M. Arias, J. G. Pasko, M. Zandian et al.: Appl. Phys. Letts. 62, 976 (1993) J. Bajaj: Proc. SPIE 3948, 42 (2000) K. W. Hodapp, J. K. Hora, D. N. B. Hall et al.: New Astronomy 1, 177 (1996) C. D. Maxey, C. J. Jones, N. Metcalf et al.: Proc. SPIE 3122, 453 (1996) J. B. Varesi, R. E. Bornfreund, A. C. Childs et al.: J. Electron. Mater. 30(6), 56698 (2001) D. J. Hall, L. Buckle, N. T. Gordon et al.: Proc. SPIE 5406, 317 (2004) G. Bostrup, K. L. Hess, J. Ellsworth, D. Cooper, R. Haines: J. Electron. Mater. 30(6), 560 (2001) K. Vural, L. J. Kozlowski, D. E. Cooper et al.: Proc. SPIE 3698, 24 (1999) N. T. Gordon, I. M. Baker: Infrared Detectors and Emitters: Materials and Devices, Electron. Mater. Vol. 8 (Kluwer Academic, Dordrecht 2001) Chap. 2, p. 23 J. D. Beck, C.-F. Wan, M. A. Kinch, J. E. Robinson: Proc. SPIE 4454, 188 (2001) M. A. Kinch, J. D. Beck, C.-F. Wan et al.: J. Electron. Mater. 33(6), 630 (2003) T. J. de Lyon, J. E. Jenson, M. D. Gordwitz et al.: J. Electron. Mater. 28, 705 (1999)
References
887
Optoelectroni 37. Optoelectronic Devices and Materials
37.1
Introduction to Optoelectronic Devices .. 888 37.1.1 Historical Perspective................. 888
37.2
Light-Emitting Diodes and Semiconductor Lasers .................... 890
37.2.1 37.2.2 37.2.3 37.2.4 37.2.5 37.2.6 37.2.7 37.2.8 37.2.9 37.2.10 37.2.11 37.2.12 37.2.13
Carrier–Photon Interactions in Semiconductors..................... Direct- and Indirect-Gap Semiconductors ........................ Emission and Absorption Rates and the Einstein Relations ......... Population Inversion ................. Gain in Semiconductors ............. Density of States ....................... Optical Feedback in a Fabry–Perot Laser Cavity ...... Wave-Guiding .......................... Carrier Confinement .................. Current Confinement ................. Laser Threshold and Efficiency .... Carrier Recombination Processes . Temperature Sensitivity and T0 ...
890 890 891 892 892 893 896 897 898 898 899 900 903
37.3 Single-Mode Lasers.............................. 904 37.3.1 DFB lasers ................................ 904 37.3.2 VCSELs ...................................... 905 37.4 Optical Amplifiers ................................ 906 37.4.1 An Introduction to Optical Amplification ............. 906 37.4.2 Semiconductor Optical Amplifiers (SOAs) ....................... 907 37.5 Modulators ......................................... 37.5.1 Modulator Theory ...................... 37.5.2 Polarisation-Insensitive Modulators............................... 37.5.3 High-Speed High-Power QCSE Modulators........................ 37.5.4 The Electro-Optic Effect ..............
907 907
37.6 Photodetectors.................................... 37.6.1 Photodetector Requirements ...... 37.6.2 Photodetection Theory ............... 37.6.3 Detectors with Internal Gain....... 37.6.4 Avalanche Photodetectors ..........
911 912 912 913 913
37.7
909 910 911
Conclusions ......................................... 914
References .................................................. 915
Part D 37
Unlike the majority of electronic devices, which are silicon based, optoelectronic devices are predominantly made using III–V semiconductor compounds such as GaAs, InP, GaN and GaSb and their alloys due to their direct band gap. Understanding the properties of these materials has been of vital importance in the development of optoelectronic devices. Since the first demonstration of a semiconductor laser in the early 1960s, optoelectronic devices have been produced in their millions, pervading our everyday lives in communications, computing, entertainment, lighting and medicine. It is perhaps their use in optical-fibre communications that has had the greatest impact on humankind, enabling high-quality and inexpensive voice and data transmission across the globe. Optical communications spawned a number of developments in optoelectronics, leading to devices such as vertical-cavity surface-emitting lasers, semiconductor optical amplifiers, optical modulators and avalanche photodiodes. In this chapter we discuss the underlying theory of operation of the most important optoelectronic devices. The influence of carrier–photon interactions is discussed in the context of producing efficient emitters and detectors. Finally we discuss how the semiconductor band structure can be manipulated to enhance device properties using quantum confinement and strain effects, and how the addition of dilute amounts of elements such as nitrogen is having a profound effect on the next generation of optoelectronic devices.
888
Part D
Materials for Optoelectronics and Photonics
37.1 Introduction to Optoelectronic Devices
Part D 37.1
In this chapter we introduce the underlying theory and operating principles of semiconductor optoelectronic devices. There exist today a plethora of optoelectronic devices, which are used in a multitude of applications. These devices include sources such as light-emitting diodes (LEDs) and laser diodes, photodetectors, optical amplifiers and optical modulators. With such devices, one can generate, modulate, detect and switch photons in an analogous way to electrons in an electrical circuit. We begin this chapter by considering the underlying physical interactions between electrons and photons that occur in semiconductors and how they may be harnessed to produce a wide variety of devices. At the time of writing, optoelectronic devices have found their way into many different aspects of modern life whether it be the ubiquitous indicator LEDs on hi-fi systems, televisions, computers, solid-state lighting and countless other items or in the bar-code scanning systems at the supermarket, the compact disc (CD) player, CDROM/CD±R/CD±RW or digital versatile disk (DVD), DVD-ROM/DVD±R/DVD±RW/DVD-RAM at home, the laser printer in the office, or when using a telephone or watching cable television. Over the past decade or so there has been an information explosion whereby information from all over the world can be quickly accessed by anyone equipped with a computer and access to the internet. In all of these applications it is a semiconductorbased optoelectronic device that forms an essential part of the system. One of the major advantages of semiconductor devices is their small size. For example, a typical edgeemitting laser measures approximately 500 µm long by 250 µm wide with a thickness of 100 µm. Several thousand such devices can be made from a single wafer. Thus, even when packaged, these form very compact sources of coherent radiation. Other types of laser, such as the gas laser, simply cannot compare with the semiconductor laser in terms of size, modulation rates, flexibility of application and power consumption. Furthermore, semiconductor devices can be tailored to meet the exacting requirements of an application by simply altering the composition of the various layers forming the structure. Of the uses of semiconductor devices outlined above, their use in telecommunications stands out as having the largest impact on modern life. Digital-based data transmission allows information to be transmitted over large distances with a much lower degradation in signal quality compared with older, analogue-based
systems. Optical telecommunications are ideal for use in digital systems, enabling data transmission rates in excess of 10 Gbit/s using short optical pulses of < 100 ps in duration. Even at this exceptionally fast rate of data transmission, bit error rates of better than 1 in every 109 bits can be achieved. Such capabilities are a direct consequence of significant research and development work that has gone into producing semiconductor devices for the emission and detection of light. In the next section, we give a brief history of this development before proceeding to discuss the key elements of physics and technology related to the device operation.
37.1.1 Historical Perspective The development of optoelectronic devices began in the early 1960s with the development of the lightemitting diode and soon thereafter, the semiconductor laser. Holonyak had been experimenting with the alloy GaAsP to produce visible light via spontaneous emission and was successful in producing visible (red) light-emitting diodes [37.1]. Stimulated emission was first predicted by Albert Einstein in his famous 1917 paper, Zur Quantentheorie der Strahlung (On the quantum theory of radiation), [37.2]. In 1961 the possibility of obtaining stimulated emission in semiconductors was discussed by Bernard and Duraffourg who, for the first time, derived the condition for lasing action in semiconductor materials [37.3]. This became known as the Bernard–Duraffourg condition, as discussed later in this chapter. In the following year, the first reports of lasing action in semiconductor materials were published by four independent groups, Nathan and co-workers [37.4], Hall and co-workers [37.5], Quist and co-workers [37.6] and Holonyak and co-workers [37.1]. These lasers were based upon GaAs which, with its direct band gap, made it suitable for use as an optical source. The first devices consisted of simple p–n homo-junctions which have a single interface between the n- and p-doped regions. With a wavelength of near to 900 nm, these lasers emitted in the near-infrared region of the electromagnetic spectrum. Following on from his success with LEDs, Holonyak produced visible (red) semiconductor lasers. The first semiconductor lasers had very high threshold current densities, Jth (defined later in this chapter), and could only be operated under pulsed conditions. This made such devices impractical but started a period of intensive research into produc-
Optoelectronic Devices and Materials
effort to develop quantum dot (QD) lasers with better performance than existing quantum well lasers. More than 20 years ago the first theoretical prediction [37.12] showed that using three-dimensionally (3D)-confined structures with an atomic-like discrete density of states in the active region of semiconductor laser should allow the development of devices with low threshold current density and very high thermal stability. Since this time, quantum dot lasers have been demonstrated with record low room-temperature threshold current densities, < 20 A/cm2 [37.13]. Work is ongoing to produce temperature-insensitive quantum dot lasers, particularly at 1.3 µm. The late 1970s and early 1980s also gave rise to the development of single-mode lasers such as the distributed feedback (DFB) laser which have made long-haul optical communications possible [37.14]. The development of such advanced semiconductor laser devices spawned research into other semiconductor optoelectronic devices such as monolithic tunable lasers (discussed in detail by [37.15]), the semiconductor optical amplifier (SOA), optical modulators and advanced photodetectors. These devices are discussed in the latter part of this chapter. In parallel with the developments mentioned above, other technologies were being investigated such as the vertical-cavity surface-emitting laser (VCSEL) originally proposed by Iga in 1977 and demonstrated by his group in 1979 (for a comprehensive review see [37.16]). VCSELs promise low-cost single-mode lasers which can easily be made into arrays. There remains intense activity to develop VCSELs for operation at the important telecommunications wavelength of 1.55 µm. VCSELs are discussed in later in this chapter. Other devices of note include the quantum cascade laser (QCL) developed by Capasso and co-workers in 1994 [37.17]. The development of QCLs was driven by the need to produce lasers emitting in the mid-infrared (mid-IR) (≈ 3–12 µm) for gas sensing and environmental monitoring applications. For conventional interband lasers as discussed above, this requires narrow-band-gap materials which are much less developed than materials such as GaAs and InP. QCLs get around this problem by utilising intra-band transitions involving only one type of carrier, e.g. electrons (thus they are unipolar devices), and can be grown using conventional GaAs- or InP-based materials. In such devices, the carrier cascades through several quantum wells, giving rise to many photons per injected electron, quite unlike conventional interband devices. Clearly, QCLs offer great possibilities for the future development of mid-IR lasers
889
Part D 37.1
ing the first continuous-wave (CW) room-temperature semiconductor-based laser. After much investment into developing the growth technology of liquid-phase epitaxy (LPE), it became possible to produce a high-quality double heterostructure consisting of GaAs sandwiched between higher-band-gap Alx Ga1−x As layers. The double heterostructure brought two key advantages over the homojunction; firstly, the lower-band-gap GaAs region formed a reservoir for the carriers (carrier confinement) where they could recombine across the band gap, and secondly, the higher refractive index of GaAs with respect to Alx Ga1−x As provided better confinement of the optical field. These two improvements resulted in a significant reduction in Jth of two orders of magnitude and enabled CW operation at room temperature to be achieved for the first time [37.7, 8]. Almost 30 years later, in 2000, Alferov and Kroemer were co-recipients of the Nobel prize for physics for their pioneering work on the development of semiconductor heterostructures. In the late 1970s further improvements in semiconductor growth technology led to the development of molecular-beam epitaxy (MBE) and vapour-phase epitaxy (VPE) which enabled increasingly thin layers to be grown reproducibly. Layer thicknesses of the order of less than 100 Å became achievable and introduced the regime in which quantum-confinement effects could be harnessed. These quantum well (QW) structures [37.9] brought about further improvements in laser performance, including a further increase in carrier confinement, narrower line width and extended wavelength tunability for a given material composition. The splitting of the degeneracy of the valence band also resulted in a reduced density of states at the top of the valence band. These improvements led to a substantially reduced Jth . In 1986, Adams, and also Yablonovitch and Kane, independently predicted that the introduction of biaxial strain into the active region of quantum wells would result in a further improvement in the laser characteristics [37.10, 11]. The proposed benefits of strain included a further reduction in the density of states at the top of the valence band (for compressive strain) and the ability to tailor the symmetry of the carrier distribution to that of the laser beam. The introduction of tensile strain made it possible to produce a semiconductor laser with transverse magnetic (TM) polarisation, something that was not previously possible. Strained-layer quantum wells are now included in the majority of commercially available semiconductor laser products. Recent material developments include quantum dot lasers, in which carriers are restricted in all three dimensions. There is a major
37.1 Introduction to Optoelectronic Devices
892
Part D
Materials for Optoelectronics and Photonics
In thermal equilibrium (Fc = Fv ), A21
P(hν) = B12 exp
hν kB T
Using the expressions for f c and f v (37.1), this can be simplified to
.
− B21
(37.7)
The standard expression for black-body radiation is given by Planck’s law as P(hν) =
8π 3 n 3 (hν)2 (hc)3
exp
1 hν kB T
−1
B12 = B21 = B
.
(37.8)
(37.9)
and 8π 3 (hc)3
kB T
(37.15)
and consequently
Here, n is the refractive index of the semiconductor. For simplicity, here we assume that the medium is nondispersive. From these two expressions of P(hν) we obtain the result that
A21 = B
rspon 8π 3 n 3 (hν)2 1 = rstim (hc)3 P(hν) 1 − exp hν−(Fc −Fv )
n 3 (hν)2 .
(37.10)
37.2.4 Population Inversion
Part D 37.2
The quantity of greatest importance to semiconductor laser operation is the net stimulated emission rate, which may simply be calculated as rstim = r21 − r12 . Positive values of rstim mean that an optical wave will grow in intensity as it travels through the semiconductor, whilst a negative rstim implies that the optical wave would be reduced in intensity. From (37.2) and (37.3), rstim can be written as rstim = r21 − r12 = B21 ρc f c (1 − f v ) ρv P(hν) − B12 ρv f v (1 − f c ) ρc P(hν)
(37.11)
therefore rstim = r21 − r12 = Bρc ρv ( f c − f v ) P(hν) . (37.12) The ratio of spontaneous emission to net stimulated emission is given by rspon A21 ρc ρv f c (1 − f v ) = rstim Bρc ρv f c ( f c − f v ) P(hν) A21 f c (1 − f v ) . = BP(hν) ( f c − f v )
(37.13)
Combining (37.13) with (37.10) leads to rspon 8π 3 n 3 (hν)2 f c (1 − f v ) . = rstim (hc)3 P(hν) ( f c − f v )
(37.14)
(hc)3 P(hν) rstim = rspon 8π 3 n 3 (hν)2 hν − (Fc − Fv ) × 1 − exp . kB T
(37.16)
From this expression we see that, when Fc − Fv = hν, rstim = 0. Thus, when the quasi-Fermi-level splitting equals the photon energy, the absorption and stimulated emission rates cancel. At this injection level, the semiconductor is effectively transparent. This is known as the Bernard–Duraffourg condition. For photons of energy hν > Fc − Fv , there will be absorption. However, for photons of energy hν < Fc − Fv there is gain. This defines the condition necessary to achieve population inversion in the semiconductor and lasing action can occur when Fc − Fv > E g .
37.2.5 Gain in Semiconductors If α(hν) is the rate of gain/loss per unit length and P(hν) is the photon density, then the rate at which the photon density increases/decreases per unit length is simply α(hν)P(hν). The corresponding rate of increase of photon density per unit time is then c rstim = P(hν)α(hν) (37.17) n where c/n is the speed of light within a semiconductor of refractive index, n. By equating our two expressions for rstim [(37.16) and (37.17)] we find that h 3 c2 α(hν) = rspon 8π 3 n 2 (hν)2 hν − (Fc − Fv ) × 1 − exp . kB T
(37.18)
Under conditions of low pumping or at high photon energies (hν Fc − Fv ), (37.18) can be approximated to h 3 c2 α(hν) = −rspon 3 2 8π n (hν)2 hν − (Fc − Fv ) × exp kB T
(37.19)
900
Part D
Materials for Optoelectronics and Photonics
Part D 37.2
The carrier density required to reach gth is termed n th , and the corresponding current (density) is termed Ith (Jth ). Figure 37.13 shows how the light output and carrier density increase as current is injected into the laser structure. Below threshold, increasing the current causes the Fermi-level splitting to increase, thereby increasing the electron and hole (carrier) densities in the active region of the laser. In this regime, the laser is acting as an LED and spontaneous emission is produced. However, the electrical-to-optical conversion efficiency is relatively low (≈ 1%). In practice nonradiative recombination processes (as discussed in the next section) cause the carrier density to have a nonlinear dependence on current below the laser threshold. At threshold, the stimulated lifetime decreases, causing each additional injected carrier to undergo stimulated emission. These carriers rapidly transfer to the valence band with the production of a photon via stimulated emission giving rise to an abrupt increase in the light output. Thus, at threshold the carrier density becomes pinned at n th since every additional carrier quickly undergoes stimulated emission. Hence, above threshold the intrinsic differential quantum efficiency, ηd , of the lasing process can be as high as 100% in an ideal laser. However, effects including carrier leakage, absorption and self-heating can reduce the overall measured differential quantum efficiency. The differential quantum efficiency, ηd , is defined as the ratio of the incremental number of photons emitted from the facets to the incremental number of carriers injected into the laser (above threshold), thus ηd =
dL e , dI hν
(37.31)
where L is the optical power emitted from both facets, e is the electronic charge and hν is the photon energy (the lasing energy). Thus, ηd can simply be determined from the light–current characteristic (providing that all of the stimulated light is collected), ηd can more generally be defined from αm ηd = ηi (37.32) ; αi + αm ηi is the internal quantum efficiency and accounts for the fact that, in a real laser, not all of the injected carriers will result in the production of a photon. This may be due to inefficient injection of carriers into the active region of the laser (dependent on both the laser materials and the geometry of the laser). It is convenient
to rewrite (37.32) in terms of the inverse efficiency so that 1 1 αi + αm 1 αi = +1 = ηd ηi αm ηi αm ⎤ ⎡ 1 2αi L cav + 1⎦ . = ⎣ (37.33) 1 ηi ln R1 R2
If R1 and R2 are known (easily calculated), by measuring ηd for lasers with several different cavity length taken from the same wafer and plotting a graph of 1/ηd versus L cav one can obtain a value for both ηi (from the intercept) and αi (from the slope/intercept). From Fig. 37.13 it is clear that the overall efficiency of the laser will depend on both the differential quantum efficiency ηd , which we wish to maximise, and the threshold current Ith , which we would like to minimise. In the discussion thus far, the threshold current has been described only in terms of a spontaneous emission current. In practice there exist many other recombination paths that contribute to the laser threshold. These are discussed in the next section.
37.2.12 Carrier Recombination Processes When carriers are injected into a semiconductor laser there are many possible recombination paths. In an ideal semiconductor laser, above threshold, the carrier density pins, as illustrated in Fig. 37.13. Therefore, above threshold, any recombination path which depends upon n will also become pinned. However, the threshold current itself is determined by the different mechanisms by which carriers recombine in a real laser structure. In this section, the important radiative and nonradiative recombination mechanisms are briefly discussed. The threshold current of a semiconductor laser is defined as the current required to provide enough gain to reach the threshold gain level gth . Due to differences between laser structures, it is frequently more useful to compare the threshold current density Jth between devices, which accounts for the overall area of the device that is being pumped, thereby allowing a useful comparison to be made between different device structures. In this chapter, the two are used interchangeably when discussing laser characteristics. At threshold, the total current I can be expressed as the sum of the current paths as I = eV(An + Bn 2 + Cn 3 ) + Ileak
(37.34)
906
Part D
Materials for Optoelectronics and Photonics
Part D 37.4
resonant-cavity LED (RCLED), is similar to the VCSEL but contains far fewer DBR pairs in order that it does not lase, but instead produces narrow-band high-efficiency spontaneous emission. Whilst a large number of layer pairs in the VCSEL gives rise to a high reflectivity it may also decrease the quantum efficiency of the device and increase the operating voltage due to the large number of interfaces. Furthermore, due to free-carrier absorption and carrier leakage in the DBRs themselves, a high number of DBRs can degrade device performance [37.40]. The exact number of DBRs used is therefore a compromise which depends upon the operating wavelength of the VCSEL and the semiconductor material system on which it is based. High-quality VCSELs have already been produced for emission at 650 nm and 850 nm (for plastic and silica fibre communications), for oxygen sensing using 760 nm VCSELs and high-power devices for emission at 980 nm [37.41]. However, there has been considerable difficulty in producing VCSELs at the telecommunications wavelengths of 1.3 µm and 1.55 µm. This is primarily due to the fact that GaAs/AlGaAs is the preferred system for making highly reflective DBRs due to the high refractive-index contrast between GaAs and AlAs. Such DBRs are therefore compatible with the GaAs substrates as used in devices below ≈ 1 µm. However, for longer-wavelength devices, which are primarily based on InP substrates it is difficult to form highly reflective DBRs using InGaAsP/InP due to their low refractive-index contrast. Alternative methods of producing long-wavelength VCSELs are inherently process-intensive, using techniques such as wafer fusion in which the active layer and InGaAsP/InP DBR is first grown on an InP substrate whilst a GaAs/AlAs DBR is grown separately on a GaAs substrate. The active layer is then fused onto the GaAs/AlAs DBR and the InP substrate is then removed (see for example, [37.42]). The wafer fusion process is understandably both costly and difficult, and therefore methods of growing monolithic 1.3-µm and 1.55-µm VCSEL structures
using only a single GaAs substrate are particularly attractive. This has stimulated a great deal of research into producing GaAs-based active regions emitting at these wavelengths. In recent years there has been some success in achieving this by two approaches. The first approach is to use InAs/GaAs quantum dots as the active region. Quantum dot lasers with very low threshold current density (< 20 A/cm2 ) emitting at 1.3 µm have already been realised [37.13]. However, there are few reports in the literature on quantum-dot-based VCSELs. This is largely due to the difficulty in achieving sufficient gain from the quantum dots and can result in the need for several layers of quantum dots. In spite of this, quantum dots are showing promise for use in temperature-insensitive edge-emitting lasers and high-power lasers. The second approach has been in the use of the so called dilute nitrides. In 1997, Kondow and coworkers originally proposed the use of InGaAsN/GaAs as an active material to achieve long-wavelength emission on GaAs [37.43]. This is due to the unusual band-gap bowing that occurs when small concentrations (≈ 2–5%) of nitrogen are added to GaAs. By growing the alloy InGaAsN, one may achieve long-wavelength emission whilst maintaining a low or zero strain. Although material quality remains an issue, there have been several successful demonstrations of edge-emitting lasers and VCSELs based upon this material emitting at 1.3 µm [37.44–46]. The push towards 1.55-µm emission has been largely hampered by material quality issues although at the time of writing this chapter, there have been the first reports of low-threshold 1.5-µm edgeemitting lasers based upon InGaAsN/GaAs [37.47]. Other researchers have produced close-to-1.5-µm edgeemitting and VCSEL devices with the pentenary material InGaAsNSb/GaAs [37.48]. It is speculated that antimony may act as a surfactant to improve the quality of growth. The dilute nitride approach does at present appear to offer the best possibility of obtaining VCSELs emitting at the technologically important wavelength of 1.55 µm.
37.4 Optical Amplifiers 37.4.1 An Introduction to Optical Amplification As a light pulse propagates through an optical communication system, the pulse becomes attenuated until eventually it is necessary to regenerate the pulse to keep
the signal above the background noise level. This was initially achieved by detecting the pulse using a photodetector, and then using the detected electronic pulse to trigger a laser giving a fresh output pulse. An alternative technique is to use direct optical amplification. This has been achieved for instance by doping optical fi-
Optoelectronic Devices and Materials
bres with rare-earth elements such as erbium, forming an erbium-doped fibre amplifier (EDFA). Population inversion is achieved by exciting the erbium atoms at 980 nm or 1.48 µm, where the excited carriers decay to a level from which they can recombine to give stimulated emission at 1.55 µm, amplifying weak signals in the fibre. Amplification can also be achieved within conventional silica fibres using the Raman effect; by pumping the fibre with a high-power pump laser (total power ≈ 1 W) at a fixed frequency above the signal frequency, Raman scattering gives rise to gain at a lower frequency (the difference is the phonon energy). Thus, by pumping an optical fibre at 1.45 µm one may achieve gain at 1.55 µm. The main advantage of Raman amplification compared with EDFAs is the fact that the gain curve may be dynamically tuned by judiciously using different wavelength pump lasers. The disadvantages of Raman amplification is that the overall gain is generally lower than an EDFA and cross-amplification effects can be a problem. For some applications, semiconductor optical amplifiers (SOAs) may be preferred.
37.4.2 Semiconductor Optical Amplifiers (SOAs)
TE light polarised in the plane of the heterostructure is slightly larger than for TM light polarised perpendicular to the heterostructure plane, leading to a larger overall TE gain. As discussed earlier in this chapter, in a normal quantum well system the quantum confinement brings the heavy-hole band to the top of the valence band and light polarised in the TE mode is amplified considerably more strongly than light polarised in the TM mode. This problem can be overcome using strained-layer techniques. It is possible to grow the wells or the barriers with a small amount of tensile strain. This raises the light-hole band and the strain can be adjusted to increase the device TM gain until it is just equal to that of the TE gain. Work to date indicates that the relative gains in the two modes are sensitive to the magnitude of the amplifier current and so the gains are equal over a limited range. Strained SOAs Another more promising approach is to introduce both compressive- and tensile-strained wells alternately into the active region. The compressive wells provide gain predominantly to the TE mode and the tensile wells predominantly to the TM mode. The structure can then be designed to make the two gain modes equal. The initial work by Tiemeijer et al. from Philips concentrated on 1.3-µm devices [37.49]. They found that a combination of four compressive wells and three tensile wells each with 1% strain gave TE and TM gain within 1 dB of each other over a wide wavelength band and over an order of magnitude change in amplifier current. This structure is an excellent example of the flexibility in device design that is afforded by the introduction of strained-layer techniques. Other applications of such a structure include two-polarisation or two-frequency lasers, polarisation control elements and nonabsorbing strain-overcompensated mirrors in high-power lasers.
37.5 Modulators 37.5.1 Modulator Theory Although it is possible to modulate the output from a semiconductor laser by directly modulating the injected current, in some applications requiring high-speed or low-power switching, it is advantageous to run the laser source CW and modulate the light subsequently [37.50]. This is basically because, unlike lasers,
907
semiconductor modulators are diodes operated in reverse bias. They therefore draw little current and, because there is no carrier injection, there is much less chirp. Electroabsorption modulators make use of the fact that the presence of a large electric field adds a perturbation to the Schrödinger equation, which leads to a decrease in the band-gap energy E g . Thus photons with
Part D 37.5
The structure of a SOA is very similar to that of a laser, but with one significant difference: the reflectivity of the end facets, R ≈ 0. This is achieved through the use of multilayer antireflection coatings together with angled facets or cavities. This suppresses lasing within the cavity, and eliminates reflected signals in the optical system. The signal emerging from an optical fibre is, in general, randomly polarised and therefore it is very desirable that any optical amplifier provides gain that is independent of the direction of polarisation of the light. In a bulk heterostructure device, the TE and TM material gain are equal. However, the optical confinement factor Γ for
37.5 Modulators
914
Part D
Materials for Optoelectronics and Photonics
Part D 37.7
a hot hole in silicon requires an energy close to 3E g /2 before it can generate an electron–hole pair. Thus, in silicon, a large avalanche multiplication can be achieved with each electron that is optically generated and swept across the avalanche region. This situation is illustrated in Fig. 37.32a. Very large gains can be obtained but all of the carriers are swept out of the active region in a time equal or less than te + th , where te and th are the transit times taken by electrons and holes, respectively, to cross the active region. In contrast, it is found that, in the majority of directband-gap materials, holes and electrons are able to produce impact ionisation at the same electric field. As a result, above this field, avalanching occurs for both types of carrier as they move in opposite directions and the carrier density escalates uncontrollably, leading to complete avalanche breakdown. This situation is illustrated in Fig. 37.32b. Gain, but also breakdown, occurs when the field is large enough to create an impact ionisation process just as the electron is about to leave the active region. If exactly the same happens for the hole, the situation can continue ad infinitum, leading to infinite gain but a current pulse that does not switch off. Of course, in fact, impact ionisation is a statistical process and the current pulse might stop after one electron transit or run away as described, leading to an unstable noisy detection system. Silicon is therefore clearly a very useful material for the production of avalanche photodetectors (APDs). Unfortunately however, the detection bandwidth of silicon limits it from the visible spectrum up to ≈ 1 µm in the near-infrared (IR). It is of significant technological importance to have fast high-gain low-noise detectors above this wavelength, in particular in the range 1.3–1.6 µmfor optical communications. The midinfrared is also of importance due to the large number
of gas absorption bands in this range. Due to the effects discussed above, the performance of APDs in the near-mid-infrared region is poor when compared with silicon APDs. Recently, Adams proposed that APDs based upon InGaAsN may give rise to improved characteristics for detection in the near- and mid-IR [37.55]. As discussed earlier in this chapter, InGaAsN is already showing great promise for long-wavelength lasers due to the unusually large band-gap bowing brought about by small concentrations of nitrogen in GaAs. This clearly makes it a potential candidate for the manufacture of long-wavelength detectors. However, in addition to the large band-gap bowing, InGaAsN has an unusually large conduction-band effective mass derived from the dilute amount of nitrogen in the lattice. This has several effects of benefit to APDs. Firstly, the high effective mass inhibits electrons from gaining kinetic energy under an applied field. Secondly, the increased mass, and hence increased density of states, will increase the probability of electron scattering. As the electrons heat up and their energy approaches that of the N levels, they will be strongly scattered. Furthermore, if any electrons manage to reach the top of the E− band, they have to be scattered across, or tunnel through, the energy gap to the next conduction (E+ ) band before they can gain more energy. All of these effects prevent electrons from avalanching. However, since the valence band is largely unaffected by the presence of nitrogen, the holes may be accelerated by the field and avalanche. It has been proposed that such devices will have a multiplication of ≈ 104 compared with < 10 in the best currently available APDs at these wavelengths. Furthermore, it is also predicted that the noise factor of these devices could be reduced by two orders of magnitude. Although yet to be demonstrated in practice, InGaAsN may in the future provide both high-quality detectors as well as emitters in the infrared.
37.7 Conclusions This chapter introduced some of the most important optoelectronic devices in use today. It has discussed how these devices were developed based upon highly innovative work which has transformed semiconductor growth technology over the past 40 years. The requirements for lasing action in semiconductors were discussed as well as the ways in which
low-dimensional structures and strain may be used to improve the properties of devices such as lasers (both edge-emitting and surface-emitting), modulators, amplifiers and detectors. The chapter considered current state-of-the-art semiconductor laser devices and discussed emerging future directions in semiconductor optoelectronics.
Optoelectronic Devices and Materials
References
915
References 37.1 37.2 37.3 37.4 37.5 37.6
37.7 37.8
37.9 37.10 37.11 37.12 37.13 37.14
37.16 37.17 37.18
37.19
37.20 37.21 37.22
37.23 37.24
37.25
37.26
37.27 37.28 37.29
37.30 37.31 37.32
37.33
37.34 37.35 37.36 37.37 37.38
37.39 37.40
37.41 37.42 37.43
37.44 37.45
37.46
G. Jones, A. D. Smith, E. P. O’Reilly, M. Silver, A. T. R. Briggs, M. J. Fice, A. R. Adams, P. D. Greene, K. Scarrott, A. Vranic: IEEE J. Quant. Electron. 34, 822 (1998) A. Ghiti, M. Silver, E. P. O’Reilly: J. Appl. Phys. 71, 4626 (1992) S. J. Sweeney, A. F. Phillips, A. R. Adams, E. P. O’Reilly, P. J. A. Thijs: IEEE Phot. Tech. Lett. 10, 1076 (1998) Y. Zou, J. S. Osinski, P. Godzinski, P. D. Dapkus, W. Rideout, W. F. Sharfin, R. A. Logan: IEEE J. Quant. Elec. 29, 1565 (1993) G. P. Agrawal, N. K. Dutta: Long-Wavelength Semiconductor Lasers (Van Nostrand, New York 1986) A. R. Adams, M. Asada, Y. Suematsu, S. Arai: Jap. J. Appl. Phys. 19, L621 (1980) R. Fehse, S. Jin, S. J. Sweeney, A. R. Adams, E. P. O’Reilly, H. Riechert, S. Illek, A. Yu. Egorov: Electron. Lett. 37, 1518 (2001) S. J. Sweeney, A. R. Adams, E. P. O’Reilly, M. Silver, P. J. A. Thijs: Conference on Lasers and ElectroOptics (IEEE, San Francisco 2000) W. W. Lui, T. Yamanaka, Y. Yoshikuni, S. Seki, K. Yokoyama: Phys. Rev. B. 48, 8814 (1993) S. J. Sweeney, G. Knowles, T. E. Sale, A. R. Adams: Phys. Status Solidi B. 223, 567 (2001) J. I. Pankove: IEEE J. Quant. Electron. QE-4, 119 (1968) E. P. O’Reilly, M. Silver: Appl. Phys. Lett. 63, 3318 (1993) N. Hatori, K. Otsubo, M. Ishida, T. Akiyama, Y. Nakata, H. Ebe, S. Okumura, T. Yamamoto, M. Sugawara, Y. Arakawa: 30th European Conference on Optical Communication (IEEE, Stockholm 2004) B. W. Hakki, T. I. Paoli: J. Appl. Phys. Lett. 46, 1299 (1975) A. I. Onischenko, T. E. Sale, E. P. O’Reilly, A. R. Adams, S. M. Pinches, J. E. F. Frost, J. Woodhead: IEE Proc. Optoelectron. 147, 15 (2000) T. E. Sale: Vertical Cavity Surface Emitting Lasers (Res. Stud., London 1995) Y. Ohiso, C. Amano, Y. Itoh, H. Takenouchi, T. Kurokawa: IEEE J. Quant. Electron. 34, 1904 (1998) M. Kondow, S. Kitatani, S. Nakatsuka, M. C. Larson, K. Nakahara, Y. Yazawa, M. Okai, K. Uomi: IEEE J. Sel. Top. Quant. Electr. 3, 719 (1997) T. Kitatani, K. Nakahara, M. Kondow, K. Uomi, T. Tanaka: Jpn. J. Appl. Phys. 39, L86 (2000) K. D. Choquette, J. F. Klem, A. J. Fischer, O. Blum, A. A. Allerman, I. J. Fritz, S. R. Kurtz, W. G. Breiland, R. Sieg, K. M. Geib, J. W. Scott, R. L. Naone: Electron. Lett. 36, 1388 (2000) G. Steinle, H. Riechert, A. Yu. Egorov: Electr. Lett. 37, 93 (2001)
Part D 37
37.15
N. Jnr. Holonyak, S. F. Bevacqua: Appl. Phys. Lett. 1, 82 (1962) A. Einstein: Phys. Z. 18, 121 (1917) M. G. A. Bernard, G. Duraffourg: Phys. Status Solidi 1, 699 (1961) M. I. Nathan, W. P. Dumke, G. Burns, F. H. Dill Jr., G. Lasher: Appl. Phys. Lett. 1, 62 (1962) R. N. Hall, G. E. Fenner, J. D. Kingsley, T. J. Soltys, R. O. Carlson: Phys. Rev. Lett. 9, 366 (1962) T. M. Quist, R. H. Rediker, R. J. Keyes, W. E. Krag, B. Lax, A. L. McWhorter, H. J. Zeiger: Appl. Phys. Lett. 1, 91 (1962) H. Nelson, J. I. Pankove, F. Hawrylo, G. C. Dousmanis: Proc. IEEE 52, 1360 (1964) Zh. I. Alferov, V. M. Andreev, D. Z. Garbuzov, Yu. V. Zhilyaev, E. P. Morozov, E. L. Portnoi, V. G. Trofim: Sov. Phys. Semicond. 4, 1573 (1971) R. Dingle, C. H. Henry: US Patent 3982207 (1976) A. R. Adams: Electron. Lett. 22, 249 (1986) E. Yablonovitch, E. O. Kane: J. Light. Technol. LT-4, 504 (1986) Y. Arakawa, H. Sakaki: Appl. Phys. Lett. 40, 939 (1982) G. Liu, A. Stintz, H. Li, K. J. Malloy, L. F. Lester: Electron. Lett. 35, 1163 (1999) Y. Sakakibara, K. Furuya, K. Utaka, Y. Suematsu: Electron. Lett. 16, 456 (1980) M.-C. Amann, J. Buus: Tunable Laser Diodes (Artech House, Boston 1998) K. Iga: IEEE J. Sel. Top. Quant. Electron. 6, 1201 (2000) J. Faist, F. Capasso, D. L. Sivco, C. Sirtori, A. L. Hutchinson, A. Y. Cho: Science 264, 553 (1994) F. Capasso, C. Gmachl, R. Paiella, A. Tredicucci, A. L. Hutchinson, D. L. Sivco, J. N. Baillargeon, A. Y. Cho, H. C. Liu: IEEE J. Sel. Top. Quant. Electron. 6, 931 (2000) T. Kojima, M. Tamura, H. Nakaya, S. Tanaka, S. Tamura, S. Arai: Jpn. J. Appl. Phys. 37, 4792 (1998) P. J. A. Thijs, L. F. Tiemeijer, J. J. Binsma, T. van Dongen: IEEE J. Quant. Electron. 30, 477 (1994) G. Jones, A. Ghiti, M. Silver, E. P. O’Reilly, A. R. Adams: IEE Proc. J. 140, 85 (1993) A. Valster, A. T. Meney, J. R. Downes, D. A. Faux, A. R. Adams, A. A. Brouwer, A. J. Corbijn: IEEE J. Sel. Top. Quant. Electron. 3, 180 (1997) E. P. O’Reilly: Semicond. Sci. Technol. 4, 121 (1989) T. Yamamoto, H. Nobuhara, K. Tanaka, T. Odagawa, M. Sugawara, T. Fujii, K. Wakao: IEEE J. Quant. Electron. 29, 1560 (1993) M. P. C. M. Krijn, G. W. ‘t Hooft, M. J. B. Boermans, P. J. A. Thijs, T. van Dongen, J. J. M. Binsma, L. F. Tiemeijer: Appl. Phys. Lett. 61, 1772 (1992)
916
Part D
Materials for Optoelectronics and Photonics
37.47
37.48 37.49
37.50
R. Averbeck, G. Jaschke, L. Geelhaar, H. Riechert: 19th International Semiconductor Laser Conference 2004, Conference Digest (IEEE, Piscataway, NJ 2004) M. A. Wistey, S. R. Bank, H. B. Yuen, L. L. Goddard, J. S. Harris: J. Vac. Sci. Tech. B 22, 1562 (2004) L. F. Tiemeijer, P. J. A. Thijs, T. van Dongen, R. W. M. Slootweg, J. M. M. van der Heijden, J. J. M. Binsma, M. P. C. M. Krijn: Appl. Phys. Lett. 62, 826 (1993) F. Devaux, S. Chelles, A. Ougazzaden, A. Mircea, J. C. Harmand: Semicond. Sci. Technol. 10, 887 (1995)
37.51 37.52
37.53 37.54
37.55
M. Silver, P. D. Greene, A. R. Adams: Appl. Phys. Lett. 67, 2904 (1995) I. K. Czajkowski, M. A. Gibbon, G. H. B. Thompson, P. D. Greene, A. D. Smith, M. Silver: Electron. Lett. 30, 900 (1994) J. Allam, A. R. Adams, M. A. Pate, J. S. Roberts: Inst. Phys. Conf. Ser. 112, 375 (1990) A. R. Adams, J. Allam, I. K. Czajkowski, A. Ghiti, E. P. O’Reilly, W. S. Ring: Strained-layer lasers and avalanche photodetectors. In: Condensed Systems of Low Dimensionality, ed. by J. L. Beeby (Plenum, New York 1991) p. 623 A. R. Adams: Electr. Lett. 40, 1086 (2004)
Part D 37
917
Liquid Crystal 38. Liquid Crystals
38.1 Introduction to Liquid Crystals .............. 38.1.1 Calamitic Liquid Crystals ............. 38.1.2 Chiral Liquid Crystals.................. 38.1.3 Discotic Liquid Crystals ...............
917 919 921 923
38.2 The Basic Physics of Liquid Crystals........ 38.2.1 Orientational Order ................... 38.2.2 Director Alignment .................... 38.2.3 Elasticity .................................. 38.2.4 Flexoelectricity.......................... 38.2.5 Viscosity ...................................
924 924 925 926 928 929
38.3 Liquid-Crystal Devices .......................... 38.3.1 A Model Liquid-Crystal Display: Electrically Controlled Birefringence Mode (ECB) ........... 38.3.2 High-Volume Commercial Displays: The Twisted Nematic (TN) and Super-Twisted Nematic (STN) Displays............................ 38.3.3 Complex LC Displays and Other Cell Configurations .....
931
38.4 Materials for Displays........................... 38.4.1 Chemical Structure and Liquid-Crystal Phase Behaviour .. 38.4.2 The Formulation of Liquid-Crystal Display Mixtures 38.4.3 Relationships Between Physical Properties and Chemical Structures of Mesogens ..............
932
935 937 940 942 942
943
References .................................................. 949 references are given, but reference is also made to more extensive reviews where additional data are available.
38.1 Introduction to Liquid Crystals Liquid crystals have been known for almost 120 years but it is only in the last 30 years or so that their unique application in display devices has been recognised. Now they are seen as extremely important materials having made possible the development of thin screens for use with personal computers (PCs) and in televisions. In
fact a wide range of different liquid-crystal (LC) display devices has been developed. The common feature for each of these is that the optical characteristics of the display are changed on application of an electric field across a thin liquid-crystal film. The process causing this change is associated with a variation in the
Part D 38
This chapter outlines the basic physics, chemical nature and properties of liquid crystals. These materials are important in the electronics industry as the electro-optic component of flat-panel liquid-crystal displays, which increasingly dominate the information display market. Liquid crystals are intermediate states of matter which flow like liquids, but have anisotropic properties like solid crystals. The formation of a liquid-crystal phase and its properties are determined by the shape of the constituent molecules and the interactions between them. While many types of liquid-crystal phase have been identified, this Chapter focuses on those liquid crystals which are important for modern displays. The electro-optical response of a liquid crystal display depends on the alignment of a liquidcrystal film, its material properties and the cell configuration. Fundamentals of the physics of liquid crystals are explained and a number of different displays are described. In the context of materials, the relationship between the physical properties of liquid crystals and their chemical composition is of vital importance. Materials for displays are mixtures of many liquid-crystal compounds carefully tailored to optimise the operational behaviour of the display. Our current understanding of how chemical structure determines the physical properties is outlined, and data for typical liquid-crystal compounds are tabulated. Some key
918
Part D
Materials for Optoelectronics and Photonics
macroscopic organisation of the liquid crystal within the cell. The liquid crystal is, therefore, strictly behaving as a molecular material and not an electronic one. Nonetheless the display itself is closely integrated with electronic components. Since liquid crystals may be unfamiliar to those concerned with conventional electronic materials, this section begins with an introduction to liquid crystals and the compounds that form them. The following section describes the basic physics for liquid crystals which are needed to understand their use in display devices. The functioning of the most important displays is described in Sect. 38.3, which makes contact with the basic physics outlined in Sect. 38.2. The liquid crystal materials used in display devices are discussed in the final section, where the necessary optimisation of a wide range of properties is addressed. The majority of chemical compounds can exist in three states of matter, namely crystal, liquid or gas, each with its defining characteristics. There is a fourth state known as a liquid crystal and, as the name suggests, this state has characteristics of both crystals and liquids. Thus a liquid crystal flows when subject to a stress, like a liquid, but certain of its properties are anisotropic, like a crystal. This macroscopic behaviour, often used to identify the phase, implies that at the microscopic or molecular level the material has an element of long-range orientational order together with some translational disorder at long range. It is this combination of order and disorder that makes liquid
Part D 38.1
a)
crystals so fascinating and gives them their potential for applications, especially in the field of electro-optic displays. A variety of different classes of materials are known to form liquid crystals at some point on their phase diagram [38.1]. These include organic materials where the liquid crystal is formed, on heating, between the crystal and isotropic liquid phases. Such materials are known as thermotropic liquid crystals and are the subject of this Chapter. Another class is formed by amphiphilic organic materials in which part of the constituent molecules favours one solvent, normally water, while the other part does not. When the amphiphile is dissolved in the water, the molecules form aggregates which then interact to give the liquid-crystal phase, the formation of which is largely controlled by the concentration of amphiphile. These are known as lyotropic liquid crystals; they underpin much of the surfactant industry, although they are not used in displays and so will not be considered further. Colloidal dispersions of inorganic materials such as clays can also form liquid-crystal phases depending on the concentration of the colloidal particles. Solutions of certain organic polymers also exhibit liquid-crystal phases and, like the colloidal systems, the solvent acts to increase the separation between polymer chains but does not significantly affect their state of aggregation. A prime example of such a system is the structural polymer Kevlar, which for the same weight is stronger than steel; it is formed by processing a nematic solution of the polymer.
b)
O O O
c)
Cr 401 °C N 445° C
F
O
Cr 63° C SmC 74° C N 91° C I
I
O
F
CN
d) NC
Cr 187 °C N 221° C
O
Cr 49° C SmC 77° C Sma 93° C N 108° C I
f)
e) NC
Cr 24 °C N 35° C I
NC
Cr 62 °C N 85° C I
Fig. 38.1 The molecular structures for a selection of compounds which form calamitic liquid crystals
I
922
Part D
Materials for Optoelectronics and Photonics
indirectly to a mesogen by simply adding a chiral dopant, which does not need to be mesogenic. The mixture will be chiral and this is sufficient to lead to a chiral nematic. The pitch of this mixture depends on the amount of the dopant and the inverse pitch, p−1 , proves to be proportional to its concentration. The handedness of the helix induced by the dopant will depend on its stereochemical conformation and will be opposite for the two enantiomers. Accordingly, if both enantiomers are present in equal amounts, i. e. as a racemic mixture, then doping a nematic with this will not convert it to a chiral nematic. Chiral smectic phases are also known in which the director adopts a helical structure as a result of introducing molecular chirality into the material either as a dopant or as an intrinsic part of the mesogenic molecule. The chiral smectic C phase, denoted SmC∗ , provides an appropriate example with which to illustrate the structure of such phases. In an achiral smectic C phase the tilt direction of the director changes randomly from layer to layer, analogous to the random director orientation in an achiral nematic. For the chiral smectic C phase, as might be anticipated, the tilt direction of the director rotates in a given sense, left-handed or right-handed, and by a small, fixed amount from layer to layer. Other structural features of the smectic C phase remain unchanged. Thus, the director of the chiral smectic C phase has a he-
a)
C6H13
lical structure with the helix axis parallel to the layer normal. The pitch of the helix is somewhat smaller than that of the associated chiral nematic phase. The magnitude of the pitch is inversely related to the tilt angle of the smectic C, and since this grows with decreasing temperature so the pitch decreases. The reduced symmetry, C2 , of the SmC∗ phase leads to the introduction of a macroscopic electrical polarisation [38.8]. This is of potential importance for the creation of fast-switching displays. The ability of the SmC∗ phase to adopt a helical structure results from the fact that the tilt direction for the director acts in an analogous manner to the director in a nematic, and importantly that the layer spacing is preserved in the helical structure. In marked contrast there are strong forces inhibiting the creation of a twisted structure for a smectic A composed of chiral molecules. The director is normal to the layers and so the creation of a twisted structure would require a variation in the layer thickness but this has a high energy penalty associated with it. Accordingly many of the smectic A phases formed from chiral molecules have the same structure as those composed of achiral molecules. There are, however, exceptions and these occur when the chiral interactions are especially strong and, presumably, the translational order of the layers is small. Under such
C9H19
b) O
O O
C9H19
Part D 38.1
C6H13
C6H13
O
O
O O
C9H19
Cr 175° C Colrd 183° C ND 192° C I
C9H19 O
O O
C6H13
C6H13
C9H19
O
O CH 9 19 O
c)
O
C6H13
Cr 124° C ND
142° C I
O
C9H19
C9H19
O
O
O
O
O
C9H19
Cr 68° C ND 85° C Colro 138° C Colho 280° C I O C9H19
O
O
C9H19 O
Fig. 38.5 A selection of molecular structures for compounds that form discotic liquid crystals
C9H19
924
Part D
Materials for Optoelectronics and Photonics
Part D 38.2
an elliptical cross section to the columns. As a result the columns are packed on a rectangular lattice; there are four possible arrangements and just one of these is indicated in Fig. 38.6c. In general the mnemonic used to indicate a rectangular columnar phase is Colrd . The point group symmetry of the rectangular columnar phase is D2 h and the extent to which the structure deviates from that of the Colhd phase will depend on the magnitude of the tilt angle within the column. The columnar phases can be identified from their optical textures and an example of one is shown in Fig. 38.3c. The columnar phases have potential electronic applications because of the inhomogeneity of the molecules that constitute them; i. e. the central part is aromatic while the outer part is aliphatic. As a result of the overlap between the π-orbitals on the centres of neighbouring discs it should be possible for electrical conduction to take place along the core of the column. This should occur without leakage into adjacent columns because of the insulation provided by the alkyl chains. It should also be possible to anneal these molecular wires because of their liquid-crystal properties [38.14]. This and the ability to avoid defects in the columns which can prevent electronic conduction in crystals mean that the columnar phase has many potential advantages over non-mesogenic materials. In addition, discotic systems are also used as compensating films to improve the optical characteristics for some liquid-crystal displays. At a molecular level the factors that are responsible for the formation of the discotic liquid-crystal phases are similar to those for calamitic systems. Thus, the molecular design should aim to increase the liquid
crystal–isotropic transition temperature while decreasing the melting point. The latter is certainly achieved by attaching flexible alkyl chains to the perimeter of the rigid disc. The creation of the columnar phases should be relatively straightforward provided the central core is both planar and large. Then, because of the strong attractive forces between the many atoms in the rigid core the molecules will wish to stack face-to-face in a column. The formation of the columns will also be facilitated by the flexible alkyl chains attached to the core. Clearly then it may prove to be difficult to create the nematic phase before the columnar phase is formed unless the disc–disc interactions can be weakened. One way in which this can be achieved is by destroying the planarity of the core, for example, by using phenyl rings attached to the molecular centre so that they can rotate out of the plane (Fig. 38.5a). It is to be expected that the columnar phases should occur below the nematic phase, corresponding to an increase in order with decreasing temperature. This is usually observed, for example, for the hexasubstituted triphenylenes (Fig. 38.5b). However, the truxene derivatives, with long alkyl chains on the perimeter, (Fig. 38.5c) exhibit quite unusual behaviour. For these compounds the crystal melts to form a discotic nematic and then at a higher temperature a columnar phase appears. This deviates from the expected sequence, and because the nematic phase appears at a lower temperature than the columnar phase it is usually referred to as a re-entrant nematic. The occurrence of a re-entrant phase is often attributed to a conformational change which strengthens the molecular interactions with increasing temperature thus making the more ordered phase appear at higher temperatures.
38.2 The Basic Physics of Liquid Crystals 38.2.1 Orientational Order The defining characteristic of a liquid crystal is the long-range order of its constituent molecules. That is, for rod-like molecules, the molecular long axes tend to align parallel to each other even when separated by large distances. The molecules tend to be aligned parallel to a particular direction known as the director and denoted by n. This is an apolar vector, that is n = −n, because the nematic does not possess long-range ferroelectric order. The properties of the nematic phase are cylindrically symmetric about the director, which provides a macroscopic definition of this. The anisotropy of the
properties results from the orientational order and the extent of this is commonly defined [38.15] by
(38.1) S = 3 cos2 β − 1 /2 , although other definitions are possible [38.16]. Here β is the angle made by a molecule with the director and the angular brackets indicate the ensemble average. In the limit of perfect order S is unity while in the isotropic phase S vanishes. The temperature dependence of S is shown in Fig. 38.7 for the nematogen, 4,4 -dimethoxyazoxybenzene; this behaviour is typical of most nematic liquid crystals. At low temperatures S is about 0.6 and then decreases with increasing
Liquid Crystals
The value of η12 determined for MBBA proves to be significantly smaller than the other three viscosity coefficients. The four viscosity coefficients have been defined at a practical level in terms of flow in which the director orientation is held fixed. The converse of these experiments, in which the director orientation is changed in the absence of flow, allows the definition of the fifth and final viscosity coefficient. This is known as the rotational viscosity coefficient; it is denoted by the symbol γ1 and plays a major role in determining the response times of display devices (Sect. 38.4). To appreciate the significance of γ1 , it is helpful, as for the other four viscosity coefficients, to consider an experiment with which to measure it. In this an electric field is suddenly applied an angle θ to a uniformly aligned director, then providing the dielectric anisotropy is positive the director orientation will be changed and rotates towards the field direction. The electric torque responsible for the alignment is given by Γelec = −ε0 ∆ε sin 2θ/2 ,
(38.11)
which is the derivative of the electric energy in (38.2). The rotation of the director is opposed by the viscous torque Γvisc = γ1 dθ/ dt ,
(38.12)
movement of the director is governed by the equation in which the two torques are balanced. That is, the electric torque causing rotation is balanced by the viscous torque opposing it; this gives γ1 dθ/ dt = −ε0 ∆εE 2 sin2 θ/2 .
(38.13)
The solution to this differential equation is tan θ = tan θ0 exp(−t/τ) ,
(38.14)
where θ0 is the initial orientation of the director with respect to the electric field and τ is the relaxation time τ = γ1 /ε0 ∆εE 2 .
(38.15)
Measurement of the time-dependent director orientation allows τ to be determined and from this γ1 , given values of ∆ε. For MBBA the rotational viscosity coefficient is found to be slightly less than η2 and to parallel its temperature dependence [38.31]. The five independent viscosity coefficients necessary to describe the viscous behaviour of a nematic have been introduced in a pragmatic manner by appealing to experiments employed to measure these coefficients. However, the viscosity coefficients can be introduced in a more formal way as has been shown by Ericksen and then by Leslie in their development of the theory for nematodynamics [38.32]. The Leslie–Ericksen theory in its original form contained six viscosity coefficients, but subsequently Parodi has shown, using the Onsager relations, that there is a further equation linking the viscosity coefficients, thus reducing the number of independent coefficients to five [38.33]. These five coefficients are linearly related to those introduced by reference to specific experiments.
38.3 Liquid-Crystal Devices The idea to use liquid crystals as electro-optic devices goes back to the early days of liquid-crystal research. In 1918 Björnståhl, a Swedish physicist, demonstrated that the intensity of light transmitted by a liquid crystal could be varied by application of an electric field [38.34]. As optical devices of various types became established in the first decades of the 20th century, mainly in the entertainment industry, ways of controlling light intensity became important to the developing technologies. One device that soon found commercial application was the Kerr cell shutter, in which an electric field caused the contained fluid (usually nitrobenzene) to become birefringent. Placing such a cell between crossed polarisers
931
enabled a beam of light to be switched on and off very rapidly. The first report of liquid crystals being of interest for electro-optic devices was in 1936, when the Marconi Company filed a patent [38.35] which exploited the high birefringence of nematic liquid crystals in an electro-optic shutter. However, it was another 35 years before commercial devices became available which used the electro-optic properties of liquid crystals. The long interruption to the development of liquid-crystal devices can be attributed to the lack of suitable materials. We shall see in this Section how the physical properties of liquid crystals determine the performance of devices.
Part D 38.3
which is linear in the rate at which the director orientation changes, with the proportionality constant being γ1 . Provided the only constraint on the director is the electric field and provided, θ0 ≤ 45◦ , the director will move as a monodomain so that the elastic terms vanish. The inertial term for a nematic is small and so the
38.3 Liquid-Crystal Devices
936
Part D
Materials for Optoelectronics and Photonics
where u = 2∆n λ . Equation (38.26) shows that, for sufficiently large u, the transmission, T is indeed a maximum √ √ of 0.5, however it is also a maximum for u = 3, 15, √ 35, etc. These points on the transmission curve correspond to the Gooch–Tarry minima; they are labelled as minima, since they were found for a TN cell operating in the normally black state. Most commercial cells operate under conditions of the first or second minima so that thin cells can be used, which give faster responses. It is, therefore, important that the birefringence of the liquid-crystal material can be adjusted to match the desired cell thickness, so that the display can have the best optical characteristics in the off-state. Application of a sufficiently strong electric field across the twisted film of a nematic liquid crystal having a positive dielectric anisotropy causes the director to align along the field direction. Under these circumstances the film no longer rotates the plane of polarised light, and so appears dark. The transmission as a function of voltage for a twisted cell is similar to that shown in Fig. 38.17, except that the transmission varies more strongly with change in voltage above the threshold, and drops to zero much more rapidly. In contrast to the ECB cell discussed above, the threshold voltage for a TN cell depends on all three elastic constants: : ; ; K 1 + ζ (K 3 − 2K 2 ) < 2 VthTN = π (38.27) , ε0 ∆ε
Part D 38.3
where ζ is the twist angle (usually π/2). The relative change of the transmission intensity with voltage of the TN cell is greater than for the ECB cell, and it can be shown that the steepness of the transmission curve increases as the property ratios K 3 /K 1 and ∆ε/ε⊥ decrease. The on/off voltage ratio for a TN cell is closer to unity, than for an ECB cell, and so for similar contrast ratios more lines can be addressed: up to about 20 for typical cells and materials. This is significantly larger than for the ECB cell, and so the TN cell allows more complex images to be displayed. There is still a wavelength dependence for the transmission, although this is less marked than for the ECB mode. However, even with the improved multiplexing capabilities of the TN display over the ECB cell, it is still not good enough to use for computer screens. One very successful approach to solve this problem was to modify the TN cell geometry so that instead of a 90◦ twist, the directors on opposite sides of the cell are rotated by about 270◦ . This is known as a super-twisted nematic cell. The concept of the 270◦ super-twisted nematic (STN) display seems at first sight to be irrational
[38.43, 44]. The director is an apolar vector and so there should be no difference between a 270◦ and a 90◦ twisted cell. However, it is possible to maintain a director twist greater than 90◦ if the liquid crystal is chiral. The use of chiral additives in 90◦ TN cells was already established, since a very small quantity of chiral dopant added to a TN mixture would break the left/right twist degeneracy in the cell and so remove patches of reversed twist, giving a much improved appearance to the display. If the amount of chiral dopant was increased, then the chiral liquid-crystal mixture would develop a significant intrinsic pitch. By adjusting the concentration of the chiral dopant, the pitch of the mixture could be matched to the 270◦ twist across the cell thickness of . i. e. p ≈ 4/3. An STN cell operates in the same way as a TN cell, so that an applied electric field causes the director to rotate towards the field direction, thereby changing the optical retardation through the film and the transmission between external crossed polarisers. However, the additional twist in the STN cell has a significant effect on the optical properties of the nematic film. The optical characteristics of the STN off-state are usually outside the Mauguin condition, which means that polarised light passing through the cell is not guided, and emerges elliptically polarised. The degree of ellipticity is wavelength dependent, and so in white light the off-state appears coloured, as does the on-state. An ingenious solution to this problem is to have two identical STN cells, one behind the other, but where the second compensating cell has a twist of the opposite sense. In operation, only the first of the cells has a voltage applied to it. The compensation cell acts to subtract the residual birefringence of the liquid crystal layer, and the display now switches between white and black. Despite this additional complexity, the huge advantage of the STN display is the rapid change in optical transmission with increasing voltage, and a full optical analysis shows that under optimum conditions the rate of change of transmission with voltage can become infinite. In modern implementations of the STN display, the residual birefringence can be compensated by an optical film, avoiding the need for double cells. It is easy to operate an STN display with a Von /Voff ratio of 1.1, which corresponds to an n max of 100. The shapes of the transmission/voltage curve of ECB, TN and STN cells are a direct consequence of the dielectric and elastic properties of the liquid crystal material, but also depend strongly on the configuration of the cells and the surface alignment In the description given of displays and their performance, some important aspects have been ignored. The
942
Part D
Materials for Optoelectronics and Photonics
ties for the particular display application envisaged, and above all must be of sufficient chemical purity to prevent any deterioration in performance over time. Again, guided by the early experiments, suitable display materials require the synthesis of compounds of appropriate chemical structure, and then the formulation of mixtures to optimise the properties. There have been a number of reviews of liquid-crystal materials for displays [38.53, 54, 56–59] and these contain many tables of data on a wide range of compounds. In this Section, we will give a brief account of the basic chemical structures used for materials in modern liquid-crystal displays, and then show how mixtures are devised to give the best possible performance characteristics for different displays. It has to be recognised that many of the details of display materials are matters of commercial confidentiality, and so it is not possible to give precise accounts of materials currently used or under investigation. However, the generic chemical structures and principles used in developing suitable mixtures are generally applicable.
38.4.1 Chemical Structure and Liquid-Crystal Phase Behaviour
Part D 38.4
There is a huge literature on the relationship between the structure of mesogens and the nature and stability of the liquid-crystal phases they form [38.60]. The studies have embraced empirical correlations of chemical structure and phase behaviour, theoretical calculations for simple particles (hard rods, spherocylinders etc.) representing mesogens, and computer simulations of collections of particles of varying complexities. For the display applications considered in this Chapter, the desired phases are nematic, and occasionally chiral nematic or chiral smectic C. Such phases are formed by molecules having extended structures, which usually require the presence of terminal alkyl chains to reduce the crystallisation temperatures. Components in nematic display mixtures typically have two, three or four carbocyclic rings joined directly or through a variety of linking groups.
38.4.2 The Formulation of Liquid-Crystal Display Mixtures The two requirements for a liquid crystal to be used in a display are a suitable temperature range of phase stability and appropriate physical properties. These requirements cannot be satisfied for complex displays by a single compound, and commercial display materials may contain up to twenty different components. The
formulation of these mixtures is essentially an empirical process, but guided by the results of thermodynamics and experience. The principles behind the preparation of multicomponent mixtures can be illustrated initially by consideration of a binary mixture. It is well-known that the melting point of a binary mixture of miscible compounds is depressed, sometimes below the melting points of both components. Furthermore, the melting point of the binary mixture may exhibit a minimum at a particular composition, known as the eutectic. This occurs with liquid-crystalline compounds, and provides a method of reducing the lower temperature limit for liquid-crystal phase stability in mixtures. The upper temperature limit of the liquidcrystal range is fixed by the transition to an isotropic liquid. The phase rule of Willard Gibbs predicts that in binary mixtures there will always be a region of twophase coexistence in the vicinity of a phase transition; that is, the transition from liquid crystal to isotropic occurs over a range of temperatures for which both the isotropic liquid and liquid crystal are stable in the mixture. Because of the weak first-order nature of most liquid crystal to isotropic phase transitions, the two-phase region is small. The character of phase transitions is determined by the corresponding entropy change, and a weak
first-order transition has a small ≈ 2 J K−1 mol−1 associated entropy. If the latter were zero, then the transition would be second order, and there would no longer be a region of two-phase coexistence. The phase diagrams of multicomponent nematic mixtures can be calculated by thermodynamic methods [38.61, 62] and the transition temperatures of the mixtures can vary with composition in a variety of ways. For mixtures of two liquid-crystalline compounds of similar chemical constitution, the variation of the nematic to isotropic transition temperature is approximately linear with composition [38.63]. It is possible to calculate the variation of the melting point with composition using an equation attributed to Schroder and van Laar. For each component i, the mixture composition (mole fraction xi ) and the melting point of the mixture T are related by ∆Hi ln xi = − R
1 1 − T Ti
,
(38.29)
where ∆Hi and Ti are, respectively, the latent heat of fusion and melting point of the pure component i. For a binary mixture there are two such equations which can be solved to give the eutectic temperature and composition. In a multicomponent mixture, the set of
Liquid Crystals
equations (38.29) can be solved subject to the condition, xi = 1 (38.30) i
38.4.3 Relationships Between Physical Properties and Chemical Structures of Mesogens Electrical and Optical Properties These properties include the dielectric permittivity, electrical conductivity and refractive indices. The magnitude of the dielectric anisotropy determines the threshold voltage necessary to switch a display, and influences the transmission/voltage characteristics of the cell. Depending on the particular display configuration, a positive or
negative dielectric anisotropy may be required. Refractive indices strongly affect the appearance of a display. Usually the refractive indices or birefringence must be adjusted for a particular cell configuration to give the optimum on/off contrast ratio. Coloration in displays can sometimes occur in materials of high refractive index, and so it is desirable to keep the birefringence as low as possible, compatible with an acceptable contrast ratio. For twisted structures, the magnitude of the birefringence also determines the efficiency of light guiding, and so close control of the values of the principal refractive indices of a display mixture is important. For nonconducting materials, the refractive indices are measures of the dielectric response of a material at very high i. e. optical frequencies, and it is possible to formulate a single theory which relates the dielectric and optical properties of a liquid crystal to its molecular properties. Unfortunately this is not possible for the electrical conductivity. The latter is largely determined by the purity of the liquid crystal, but it is found that the higher the value of the permittivity, the larger the electrical conductivity. Materials of high electrical conductivity tend to leak charge, and so an image may deteriorate during a multiplexing cycle. In general it is desirable to minimise the conductivity of a display mixture, although this was not the case for the first liquid-crystal displays reported [38.64]. These utilised the strong light scattering which results when an electric field is applied to certain nematic materials. The scattering is due to electrohydrodynamic instabilities in liquid-crystal materials which have a significant electrical conductivity. Such materials are not suitable for use in modern, fast-multiplexed displays. The dielectric anisotropy, ∆ε, and birefringence, ∆n, of a nematic can be related to molecular properties of polarisability and dipole moment using a theory originally developed by Maier and Meier [38.65]. The birefringence is given by ∆n ≈
NS
α − αt , ε0
(38.31)
where N is the density in molecules per m3 and ∆α = (α − αt ) is the anisotropy of the molecular polarisability. S is the order parameter, defined in Sect. 38.2.1, and small corrections due to the local field anisotropy have been neglected. Such corrections cannot be ignored in the corresponding expression Nh FS µ2 (38.32) ∆ε = ∆α + (3 cos2 β − 1) ε0 2kB T
943
Part D 38.4
to predict the eutectic of the mixture. While there is a reasonable thermodynamic basis to the prediction of the phase diagrams of mixtures, the determination of the physical properties of mixtures from the properties of individual components is much more difficult. Given the absence of any better theories, it is common to assume that in mixtures, physical properties such as dielectric anisotropy, birefringence and even viscosity vary linearly with the amount of any component, at least for small concentrations. While this may give an indication of the effect of different components on the properties of a display mixture, it can also be very misleading. One theoretical problem is that, for a mixture at a particular temperature, the orientational order parameters of the different components are not equal. The more anisometric components (e.g. three-ring mesogens) are likely to have a larger orientational order parameter than smaller (two-ring) mesogens. Since the various physical properties of interest in displays depend on the order parameter in different ways, it is difficult to predict the contribution of different components to the overall mixture properties. Despite this, many tables of data for liquid-crystal compounds of interest for display mixtures are prepared [38.60] on the basis of extrapolated measurements on mixtures at low composition, normally < 20% w/w. There is always a problem concerning the temperatures at which to compare the physical properties of liquid crystals and their components. Many measurements are made at room temperature, so that this becomes the temperature for comparison. However, a more useful approach is to compare properties at equal reduced temperatures (or at the same shifted temperature, TNI − T ), since under these conditions the orientational order parameters are likely to be similar.
38.4 Materials for Displays
946
Part D
Materials for Optoelectronics and Photonics
and optical properties (for examples see [38.54, 58, 70]). However, within the past seven years, new display configurations have emerged, such as the inplane switching (IPS) and vertically aligned (VAN and TVAN) nematic modes, which require mixtures with negative dielectric anisotropy. Using the design strategy illustrated above for simple mesogens, it has been possible to prepare a large number of materials with the desired negative dielectric anisotropy. These are again mostly based on fluorine-substituted compounds, and as before their properties have mostly been determined by extrapolation of measurements on mixtures.
Part D 38.4
Elastic Properties The property known as elasticity is characteristic of liquid crystals, and distinguishes them from isotropic liquids. It has been shown in Sect. 38.2.3 that the macroscopic orientational disorder of the director in liquid crystals can be represented in terms of three normal modes, designated as splay, twist and bend, and associated with each of these deformations is an elastic constant. Since the elastic properties of display materials contribute to the electro-optic response, their optimisation for particular display configurations is important to maximise the performance of commercial devices. However, despite their importance, the relationships between the magnitudes of elastic constants and the chemical structure of mesogens are poorly understood. There is a good reason for this; the optical and dielectric properties are to a first approximation single particle properties. That is they are roughly proportional to the molecular number density and are also linearly dependent on the order parameter. Because elastic properties are a measure of the change in energy due to displacements of the director, they are related to the orientation-dependent intermolecular forces. Thus, at a molecular level, elastic properties are two-particle properties, and are no longer linearly proportional to the number density. A further consequence is that the elastic properties depend to lowest order on the square of the order parameters. Molecular theories of elasticity in nematic liquid crystals have been developed [38.71] and the simplest results suggest that the different elastic constants can be related to molecular shape
K 1 = K 2 ∝ x 2 and K 3 ∝ z 2 ,
(38.33)
where z 2 and x 2 are the average intermolecular distances parallel and perpendicular to the molecular alignment direction, respectively. Thus theory predicts that for rod-like molecules the splay elastic constant
should be smaller than the bend elastic constant, and increasing the molecular length should increase K 3 , while increasing the molecular width should increase K 1 . This is roughly in accord with experimental results, except that the prediction of equal splay and twist elastic constants is not confirmed (Fig. 38.9). In general, the twist elastic constant is about one half of the splay elastic constant. Hard particle theories [38.72] evaluated for spherocylinders provide further guidance on the relationship of elastic constants to molecular shape. These theoretical results can be presented in a simplified way as follows: K1 − K K2 − K = ∆(1 − 3σ) ; = −∆(2 + σ) ; K K K3 − K (38.34) = ∆(1 + 4σ) , K where K = 13 (K 1 + K 2 + K 3 ). The quantities ∆ and σ are parameters of the theory, where ∆ is approximately equal to the square of the length:width ratio of the spherocylinder, and σ depends on the degree of orientational order. Despite the fact that details of internal chemical structure are ignored, these theoretical results for nematics are in approximate agreement with experimental measurements on simple nematics. If the nematic material has an underlying smectic phase, or if there is a tendency for local smectic-like ordering, this can strongly affect the elastic constants. Both the twist and bend elastic constants are infinite in a smectic phase, and in a nematic phase they diverge as the transition to a smectic phase is approached. The elastic constants contribute directly to the threshold voltage and the response times of displays. Threshold voltages increase with increasing elastic constants, and the elastic constants responsible depend on the configuration of the display. Thus for the planar-aligned electrically controlled birefringence display (ECB), the threshold voltage depends on K 1 , while the switching voltage for TN displays depends on a combination of all three elastic constants (38.27). The IPS display voltage depends only on K 2 , and for VAN and TVAN devices, the threshold voltage is determined by K 3 . Different combinations of elastic constants determine the transmission/voltage curves, which are important in the multiplexing of complex displays. For example, decreasing the ratio K 3 /K 1 increases the steepness of the curve for TN displays, and so increases the number of lines that may be addressed. On the other hand, for the STN display, if the ratio K 3 /K 1 is decreased, the number of lines that may be addressed also decreases. Identification of the important elastic
Liquid Crystals
constants necessary to optimise the operation of these displays is relatively straightforward; however, manipulation of the components of displays mixtures to give the best results is much more difficult.
947
draw any conclusions about structure/property relationships for flexoelectricity from the limited experimental data available. There have been attempts [38.73, 74] to model flexoelectricity for collections of Gay–Berne particles simulating wedge-shaped molecules. Application of the surface interaction model to flexoelectric behaviour [38.75] has allowed the calculation of flexoelectric coefficients for a number of molecules; these calculations include the quadrupolar contribution. The importance of molecular shape is clearly demonstrated, and in particular changes of shape, either through conformational changes or cis–trans isomerisation, have large effects on the magnitude and sign of the flexoelectric coefficients. Flexoelectric effects contribute to the electro-optic response of nematic displays, especially those with hybrid alignment, i. e. planar on one electrode and homeotropic on the other electrode, but they are not usually considered in the optimisation of mixture properties. However, flexoelectric properties are of direct importance to the operation of displays based on the switching of the direction of the optic axis in chiral nematics: the so-called deformed helix mode [38.25]. Viscous Properties As explained in Sect. 38.2.5, the flow properties of liquid crystals are complicated. Since the materials are anisotropic, the viscosities in different directions are different, furthermore because of the torsional elasticity, viscous stress can couple with the director orientation to produce complex flow patterns. Thus there are five viscosity coefficients necessary for nematics, in addition to the elastic constants, and as many as 20 viscosities for smectic C liquid crystals [38.76]. To relate all or indeed any of these to molecular structure is a formidable challenge. However, for most liquid-crystal displays, the only viscosity of interest is that which relates to the reorientation of the director: the so-called rotational viscosity. This depends on the temperature and order parameter, and on the forces experienced by the rotating director. The rotational viscosities for all liquid-crystalline materials can be represented by one or other of the following parameterised relations
γ1 = aS exp x
or γ1 = bS y exp
A(T ) kB T
B T − T0
,
(38.35)
Part D 38.4
Ferroelectric and Flexoelectric Properties The electro-optic properties considered so far result from interaction of an electric field with the anisotropic permittivity of a material. This might be termed a quadratic response since the dielectric term in the free energy (38.2) is quadratic in the electric field, and as a consequence the electro-optic response does not depend on the sign of the electric field. For materials having a centre of symmetry, such as achiral nematic and smectic liquid crystals, this response is the only one possible. However, if the centro-symmetry of the liquid crystal is broken in some way, then a linear electric polarisation becomes possible, which results in a linear response to an applied electric field. One example of this, in the context of displays, is the chiral smectic C phase, which in the surface-stabilised state exhibits ferroelectricity i. e. a spontaneous electric polarisation. The origin of the symmetry breaking in this case is the chirality of the material, and the polarisation is directed along an axis perpendicular to the tilt plane of the smectic C. Another way in which the symmetry can be broken is through elastic strain. This effect was first described by Meyer [38.24], and it can be represented as a polarisation resulting from a splay or bend deformation (Sect. 38.2.4). Since at a molecular level, strain is related to molecular interactions, the flexoelectric response depends on a coupling of intermolecular forces and the molecular charge distribution. Two molecular mechanisms have been identified which contribute to the strain-induced polarisation. If the molecules have a net dipole moment, then the longitudinal component can couple with the molecular shape to give a splay polarisation along the director axis, while the transverse component couples with the shape to give a bend polarisation perpendicular to the director axis. Even in the absence of a net dipole, a quadrupolar charge distribution in a molecule can result in strain-induced polarisation [38.26]. Both contribute to the splay and bend flexoelectric coefficients, but only the dipolar part persists in the sum e1 + e3 . Thus it is common to quote flexoelectric coefficients as a sum and difference rather than as separate coefficients. The measurement of flexoelectric coefficients has been a challenge to experimentalists, and there is a wide range of values in the literature for standard materials (Sect. 38.2.4). It is, therefore, premature to
38.4 Materials for Displays
950
Part D
Materials for Optoelectronics and Photonics
38.5
38.6
38.7 38.8
38.9
38.10
38.11
38.12
38.13
38.14
38.15
Part D 38
38.16
38.17 38.18
38.19
38.20
38.21
38.22
J. W. Emsley, G. R. Luckhurst, G. H. Shilstone, I. Sage: The preparation and properties of the α,ω-bis(4,4 -cyanobiphenyloxy)alkanes: nematogenic molecules with a flexible core, Mol. Cryst. Liq. Cryst. Lett. 110, 223–233 (1984) G. W. Gray: The Molecular Physics of Liquid Crystals, ed. by G. R. Luckhurst, G. W. Gray (Academic, New York 1979) Chap. 12 T. J. Sluckin, D. A. Dunmur, H. Stegemeyer: Crystals that Flow (Taylor & Francis, New York 2004) R. B. Meyer, L. Liebert, L. Strzelecki, P. J. Keller: Ferroelectric liquid crystals, J. Phys. (Paris) L36, 69–71 (1975) S. R. Renn, T. C. Lubensky: Abrikosov dislocation lattice in a model of the cholesteric-to-smectic A transition, Phys. Rev. A 38, 2132–2147 (1988) J. W. Goodby, M. A. Waugh, S. M. Stein, E. Chin, R. Pindak, J. S. Patel: Characterization of a new helical smectic liquid crystal, Nature 337, 449–451 (1989) S. Chandrasekhar, B. K. Sadashiva, K. A. Suresh: Liquid crystals of disc-like molecules, Pramana 9, 471–480 (1977) K. Praefcke: The Physical Properties of Liquid Crystals: Nematics, ed. by D. A. Dunmur, A. Fukuda, G. R. Luckhurst (INSPEC, London 2001) Chap. 1.2 G. G. Nair, D. S. S. Rao, K. S. Prasad, S. Chandrasekhar, S. Kumar: Electrooptic and viewing angle characterisitics of a display device employing a discotic nematic liquid crystal, Mol. Cryst. Liq. Cryst. 397, 545–552 (2003) N. Boden, R. Bissel, J. Clements, B. Movaghar: Discotic liquid crystals: self-organising molecular wires, Liq. Cryst. Today 6(1), 1–4 (1996) V. Tsvetkov: Über die Molekülanordnung in der anisotrop-flüssigen Phase, Acta Physicochim 15, 132–147 (1942) G. R. Luckhurst: Dynamics and Defects in Liquid Crystals, ed. by P. E. Cladis, P. Palffy-Muhoray (Gordon & Breach, Philadelphia 1998) p. 141 P. G. de Gennes: The Physics of Liquid Crystals (Oxford Univ. Press, Oxford 1974) p. 96 J. Cognard: Alignment of nematic liquid crystals and their mixtures, Mol. Cryst. Liq. Cryst. 1, 1–77 (1982), (Suppl.) D. W. Berreman: Solid surface shape and the alignment of an adjacent nematic liquid crystal, Phys. Rev. Lett. 28, 1683–1686 (1972) A. Rapini, M. Papoular: Distortion d’une lamelle nématique sous champ magnétique. Conditions d’anchorage aux parois, J. Phys. Colloq. (France) 30, C–4–54–56 (1969) A. Sugimura: The Physical Properties of Liquid Crystals: Nematics, ed. by D. A. Dunmur, A. Fukuda, G. R. Luckhurst (INSPEC, London 2001) Chap. 10.2 F. C. Frank: On the theory of liquid crystals, Trans. Faraday Soc. 25, 19–28 (1958)
38.23
38.24 38.25
38.26
38.27
38.28
38.29
38.30
38.31
38.32
38.33 38.34 38.35 38.36 38.37
38.38
38.39
38.40
38.41 38.42
38.43
V. Fréedericksz, V. Zolina: Forces causing the orientation of an anisotropic liquid, Trans. Faraday Soc. 29, 919–930 (1933) R. B. Meyer: Piezoelectric effects in liquid crystals, Phys. Rev. Lett. 22, 918–921 (1969) P. Rudquist, S. T. Lagerwall: On the flexoelectric effect in nematics, Liq. Cryst. 23, 503–510 (1997) A. E. Petrov: The Physical Properties of Liquid Crystals: Nematics, ed. by D. A. Dunmur, A. Fukuda, G. R. Luckhurst (INSPEC, London 2001) Chap. 5.5 J. Prost, J. P. Marcerou: On the microscopic interpretation of flexoelectricity, J. Phys. (Paris) 38, 315–324 (1977) J. K. Moscicki: The Physical Properties of Liquid Crystals: Nematics, ed. by D. A. Dunmur, A. Fukuda, G. R. Luckhurst (INSPEC, London 2001) Chap. 8.2 W. Helfrich: Molecular theory of flow alignment of nematic liquid crystals, J. Chem. Phys. 50, 100–106 (1969) C. Gähwiller: The viscosity coefficients of a roomtemperature liquid crystal (MBBA), Mol. Cryst. Liq. Cryst. 20, 301–318 (1973) H. Kneppe, F. Schneider, N. K. Sharma: Rotational viscosity γ1 of nematic liquid crystals, J. Chem. Phys, 77, 3203–3208 (1982) F. M. Leslie: The Physical Properties of Liquid Crystals: Nematics, ed. by D. A. Dunmur, A. Fukuda, G. R. Luckhurst (INSPEC, London 2001) Chap. 8.1 O. Parodi: Stress tensor for a nematic liquid crystal, J. Phys. (Paris) 31, 581–584 (1970) Y. Björnståhl: Untersuchungen über die anisotropen Flüssigkeiten, Ann. der Phys. 56, 161–207 (1918) B. Levin, N. Levin: Improvements in or relating to light valves, British Patent 441,274 (1936) P. Yeh, C. Gu: Optics of Liquid Crystal Displays (Wiley, New York 1999) P. M. Alt, P. Pleshko: Scanning limitations of liquid crystal displays, IEEE Trans. Electron. Dev. 21, 146– 155 (1974) E. Jakeman, E. P. Raynes: Electro-optic response times in liquid crystals, Phys. Lett. 39A, 69–70 (1972) K. Tarumi, U. Finkenzeller, B. Schuler: Dynamic behaviour of twisted nematic cells, Jpn. J. Appl. Phys. 31, 2829–2836 (1992) M. Schadt, W. Helfrich: Voltage-dependent optical activity of a twisted nematic liquid crystal, Appl. Phys. Lett. 18, 127–128 (1971) Ch. Mauguin: Sur les cristaux liquides de Lehmann, Bull. Soc. Fran. Mineral. 34, 71–117 (1911) C. H. Gooch, H. A. Tarry: The optical properties of twisted nematic liquid crystal structures with twist angles ≤ 90◦ , J. Phys. D: Appl. Phys. 8, 1575–1584 (1975) T. J. Scheffer, J. Nehring: A new highly multiplexed liquid crystal display, Appl. Phys. Lett. 45, 1021– 1023 (1984)
Liquid Crystals
38.44
38.45
38.46
38.47
38.48
38.49
38.50
38.51
38.52
38.53
38.55 38.56
38.57
38.58
38.59 38.60
38.61
38.62
38.63
38.64
38.65
38.66
38.67
38.68
38.69
38.70
38.71 38.72
38.73
38.74 38.75
38.76
38.77
cyanobiphenyls, J. Chem. Soc. Chem. Comm., 98–99 (1974) D. Demus, Ch. Fietkau, R. Schubert, H. Kehlen: Calculation and experimental verification of eutectic systems with nematic phases, Mol. Cryst. Liq. Cryst. 25, 215–232 (1974) R. L. Humphries, P. G. James, G. R. Luckhurst: A molecular field treatment of liquid crystalline mixtures, Symp. Faraday Trans. 5, 107–118 (1971) G. H. Heilmeier, L. A. Zanoni, L. A. Barton: Dynamic scattering: a new electro-optic effect in certain classes of nematic liquid crystals, Proc. IEEE 56, 1162–1171 (1968) D. A. Dunmur, K. Toriyama: In: Physical Properties of Liquid Crystals, ed. by D. Demus, J. Goodby, G. W. Gray, H.-W. Spiess, V. Vill (Wiley-VCH, Weinheim 1999) p. 129 D. A. Dunmur: Physical Properties of Liquid Crystals: Nematics, ed. by D. A. Dunmur, A. Fukuda, G. R. Luckhurst (INSPEC, London 2001) Chap. 7.1 D. A. Dunmur, M. R. Manterfield, W. H. Miller, J. K. Dunleavy: The dielectric and optical properties of the homologous series of cyano-alkylbiphenyl liquid crystals, Mol. Cryst. Liq. Cryst. 45, 127–144 (1978) K. Toriyama, D. A. Dunmur, S. E. Hunt: Transverse dipole association and negative dielectric anisotropy of nematic liquid crystals, Liq. Cryst. 5, 1001–1009 (1989) D. A. Dunmur, D. A.. Hitchen, X.-J. Hong: The physical and molecular properties of some nematic fluorobiphenylalkanes, Mol. Cryst. Liq. Cryst. 140, 303–318 (1986) S. Naemura: Physical Properties of Liquid Crystals: Nematics, ed. by D. A. Dunmur, A. Fukuda, G. R. Luckhurst (INSPEC, London 2001) Chap. 11.2 H. Gruler: The elastic Constants of a nematic liquid crystal, Z. Naturforsch. 30a, 230–234 (1975) R. G. Priest: Theory of the Frank elastic constants of nematic liquid crystals, Phys. Rev. A 7, 720–729 (1973) J. Stelzer, R. Beradi, C. Zannoni: Flexoelectric effects in liquid crystals formed by pear-shaped molecules. A computer simulation study, Chem. Phys. Lett. 299, 9–16 (1999) J. L. Billeter, R. A. Pelcovits: Molecular shape and flexoelectricity, Liq. Cryst. 27, 1151–1160 (2000) A. Ferrarini: Shape model for the molecular interpretation of the flexoelectric effect, Phys. Rev. E 64, 021 710–11 (2001) I. W. Stewart: The Static and Dynamic Continuum Theory of Liquid Crystals (Taylor & Francis, London 2004) K. Tarumi, M. Heckmeier: Physical Properties of Liquid Crystals: Nematics, ed. by D. A. Dunmur, A. Fukuda, G. R. Luckhurst (INSPEC, London 2001) Chap. 11.4
951
Part D 38
38.54
C. M. Waters, E. P. Raynes, V. Brimmell: Design of highly multiplexed liquid crystal dye displays, Mol. Cryst. Liq. Cryst. 123, 303–319 (1985) A. J. Snell, K. D. Mackenzie, W. E. Spear, P.G. LeComber, A. J. Hughes: Application of amorphous silicon field effect transistors in addressable liquid crystal display panels, Appl. Phys. 24, 357–362 (1981) G. Baur, R. Kiefer, H. Klausmann, F. Windscheid: In-plane switching: a novel electro-optic effect, Liq. Cryst. Today 5(3), 13–14 (1995) M. Oh-e, K. Kondo: Electro-optical characteristics and switching behaviour of the in-plane switching mode, Appl. Phys. Lett. 67, 3895–3897 (1966) N. A. Clark, S. T. Lagerwall: Submicrosecond bistable electro-optic switching in liquid crystals, Appl. Phys. Lett. 36, 899–901 (1980) G. P. Bryan-Brown, C. V. Brown, I. C. Sage, V. C. Hui: Voltage-dependent anchoring of a liquid crystal on a grating surface, Nature 392, 365–367 (1998) C. V. Brown, L. Parry-Jones, S. J. Elston, S. J. Wilkins: Comparison of theoretical and experimental switching curves for a zenithally bistable nematic liquid crystal device, Mol. Cryst. Liq. Cryst. 410, 417–425 (2004) J. S. Patel, R. B. Meyer: Flexoelectric electro-optics of a cholesteric liquid crystal, Phys. Rev. Lett. 58, 1538–1540 (1987) V. V. Belyaev: Physical Properties of Liquid Crystals: Nematics, ed. by D. A. Dunmur, A. Fukuda, G. R. Luckhurst (INSPEC, London 2001) Chap. 8.4 G. W. Gray, S. M. Kelly: Liquid crystals for twisted nematic displays, J. Mater. Chem. 9, 2037–2050 (1999) V. F. Petrov: Liquid crystals for AMLCD and TFT-PDLCD applications, Liq. Cryst. 19, 729–741 (1995) H. Takatsu, K. Takeuchi, H. Sato: Mol. Cryst. Lig. Cryst. 100, 345–355 (1983) D. Coates: In: Liquid Crystals, Applications and Uses, Vol. 1, ed. by B. Bahadur (World Scientific, Singapore 1990) p. 91 L. Pohl, U. Finkenzeller: In: Liquid Crystals, Applications and Uses, Vol. 1, ed. by B. Bahadur (World Scientific, Singapore 1990) p. 1139 K. Tarumi, M. Bremer, T. Geelhaar: Recent liquid crystal material development for active matrix displays, Ann. Rev. Mater. Sci. 27, 423–441 (1997) D. Pauluth, K. Tarumi: Advanced liquid crystals for television, J. Mater. Chem. 14, 1219–1227 (2004) D. A. Dunmur, A. Fukuda, G. R. Luckhurst (Eds.): Physical Properties of Liquid Crystals: Nematics (INSPEC, London 2001) D. S. Hulme, E. P. Raynes, K. J. Harrison: Eutectic mixtures of nematic 4’-substituted 4-
References
953
Organic Photo 39. Organic Photoconductors
This Chapter surveys organic photoreceptor devices used in electrophotography. Included in the discussion are the materials (polymers, pigments, charge-transport molecules, etc.), device architecture, fabrication methods, and device electrical characteristics that are critical to the successful functioning of an electrophotographic device (printer). The Chapter is organized as follows. A brief discussion of the history of xerography and the contributions of Chester Carlson is followed by operational considerations and critical materials properties. The latter includes dark conductivity, photodischarge–charge transport, and photogeneration. Organic photoreceptor characterizations of dark decay, photosensitivity, and electrical-only cycling are discussed in detail. This is followed by discussions of photoreceptor architecture, coating technologies, substrate, conductive layer, and coated layers which carry out specific functions such as smoothing, charge blocking, charge transport, backing, and surface protection.
39.2 Operational Considerations and Critical Materials Properties ........... 39.2.1 Dark Conductivity ...................... 39.2.2 Photodischarge–Charge Transport 39.2.3 Photogeneration .......................
956 956 957 963
39.3 OPC Characterization ............................ 39.3.1 Dark Decay ............................... 39.3.2 Photosensitivity ........................ 39.3.3 Electrical-Only Cycling................
965 965 965 966
39.4 OPC Architecture and Composition ......... 39.4.1 OPC Architecture ........................ 39.4.2 Coating Technologies ................. 39.4.3 Substrate and Conductive Layer .. 39.4.4 Smoothing Layer and Charge-Blocking Layer......... 39.4.5 Charge-Generation Layer (CGL).... 39.4.6 Charge-Transport Layer (CTL) ....... 39.4.7 Backing Layer ........................... 39.4.8 Overcoat Layer ..........................
967 967 968 969 969 970 974 975 975
39.5 Photoreceptor Fabrication .................... 976 39.6 Summary ............................................ 977 References .................................................. 978
specific applications. The most important application is in electrophotography, where organic photoreceptors are utilized in machines for digital printing and copying. In this chapter we will discuss details of organic photoreceptor architecture and their composition and characteristics that have enabled their utilization in electrophotographic printing. In this space it will only be possible to touch briefly on many important aspects of organic photoreceptors but references will be provided for those wishing to delve deeper [39.3–6]. Organic photoconductors are materials and organic photoreceptors are devices made from organic photoconductors. The common acronym for an organic photoreceptor is OPC, which of course stands for organic photoconductor, and simply indicates that acronyms are often inscrutable. The application for which organic photoreceptors have received the most attention and development effort is as the photosensitive
Part D 39
Organic photoconductors, devices fabricated from organic photoconductors, and the applications of these devices, are the topics which will be covered in this chapter. The term organic is used in the chemical sense to encompass materials with carbon as a major constituent. This includes molecular as well as polymeric materials that are not naturally occurring but are purposefully designed and synthesized in the laboratory for specific physical, chemical, dark-electrical, and photoelectrical characteristics. Organic photoconductors are single, or more commonly, a formulated blend of materials which have photoconductive characteristics. Put simply, these are materials in which the electrical conductivity increases on exposure to light [39.1, 2]. Organic photoreceptors are thin-film devices made from organic photoconductive materials with physical, chemical, dark-electrical, and photoelectrical characteristics designed for optimum performance in
39.1 Chester Carlson and Xerography ............ 954
Organic Photoconductors
955
(text) reflect no light and the corresponding area of the photoreceptor retains its surface charge. To produce a final print in which the dark areas correspond to dark areas in the original document one must develop the image with a marking particle (toner) with charge that is opposite to that on the photoreceptor surface. This process is called charged area development. In a digital printer the exposure is controlled by an image file and the image is composed of a microscopic halftone pattern of pixels. Pixel size is determined by the desired resolution such that 600 dpi corresponds to a pixel size of about 40 µm. In a digital process it is possible to expose either those areas which will be developed or undeveloped in the final print. The approach sometimes chosen is to expose only those areas which will be toned since most documents are text-based and text occupies only a small fraction of the total document area. This approach decreases the on-time of the exposing system and lengthens its lifetime. Thus, in this case those areas to be developed have been exposed and have decreased surface potential. This process, discharged area development, is carried out by using toner with a charge polarity that is the same as that of the photoreceptor surface. Factors in OPC design relating to their optimization for digital imaging have been investigated but in practice the OPCs used in today’s copiers and printers differ little [39.12–14]. Discussion of the chemistry, physics, and engineering involved in toners, developers, and development systems is beyond the scope of this chapter [39.15, 16]. In addition to the photoreceptor some of the major subsystems of a modern digital printer are:
• • •
• • • •
Exposure: scanning laser or light-emitting diode (LED) arrays. Development: magnetic brush or other technology with black and/or colored marking particles plus magnetic carrier. Transfer: heat, pressure, etc. to remove toner from the photoreceptor and place it on the receiver. In some systems the toner is transferred first to an intermediate drum or web and then to the final receiver. Erase: blanket exposure to return the photoreceptor to a uniform surface-charge state. Clean: blade or brush to remove untransferred toner from the photoreceptor surface. Fixing: melting the toner particles onto the receiver. Computers and software: image capture, rendering, and storage, process control, receiver handling, etc.
Part D 39.1
moss, with surface charge opposite to the original surface charge. The final copy is produced by transferring the toned image on the photoreceptor to the receiver (Carlson accomplished this with a heated sheet of waxed paper). Carlson later dubbed his process xerography, meaning dry writing, based on the use of dry powder as the marking particles. Electrophotography is a more general term encompassing, for example, the use of liquid toners in which the charged marking particles are suspended in an insulating fluid. Two significant early advances were the use of amorphous selenium as the photoconductive material and the development of a practical method (the corotron) for the generation of an air corona for surface charging the photoconductive material. The corotron is simply a fine wire held at high potential (above the air ionization threshold of ≈ 7 kV) and shielded on the back with a grounded metal plate. Xerography was eventually purchased by the Haloid Corporation of Rochester, New York, (later to become the Xerox Corporation) with Carlson as a consultant. The first xerographic product was the XeroX Copier model A introduced in 1949. This initial product had totally manual operation which required several minutes to produce a copy. The photoreceptor was a selenium plate that measured 9 × 14 inches. From these modest beginnings, advances in engineering the various processes and materials progressed in an evolutionary manner leading to the introduction of the automated copy machine, the Xerox 914 in 1959. This utilized a selenium-coated drum photoreceptor. The evolution continues today and new product introductions have rapidly shifted from copiers (reflection of light from a document to expose the photoreceptor) to digital printers (pixel-wise exposure of the photoreceptor based on data from a previously compiled image file) using drumor flexible-belt-based organic photoreceptors. Early examples of copiers utilizing organic photoreceptors are the IBM copier 1 (1970) and the Kodak Ektaprint 100 (1975). Initially the photoreceptors were single-layer in that the photoconductive materials were all contained in a single layer. For high-speed high-quality applications more complex photoreceptors were introduced. These had multilayer structures with each layer being optimized for a specific function. The details of photoreceptor architecture and composition will be discussed later. There are some subtle differences in the electrophotographic process between copiers and digital printers. Since the electrostatic image in a copier is produced by reflection of the exposing light from a document (black text for example), areas of the document which are dark
39.1 Chester Carlson and Xerography
956
Part D
Materials for Optoelectronics and Photonics
• •
Process control: software and hardware (sensors, voltmeters, etc.) to maintain image quality. Sensors and controls: for receiver handling.
A full listing would be much longer and the modern electrophotographic printer is a highly complex system where the hardware, software, and materials have been successfully co-optimized to meet the product aims [39.17–19].
Since the photoreceptor surface is either in physical contact with (development, transfer, cleaning), or exposed to, effluents (fusing, corona charging) from the various subsystems its physical and electrical characteristics must be stable to these interactions throughout its life. Much of the development in OPCs has been to extend the photoreceptor process lifetime. Today the most durable OPCs might be replaced after 100 000 or more imaging cycles.
39.2 Operational Considerations and Critical Materials Properties
Part D 39.2
The steps involved in the electrophotographic process were detailed previously [39.15, 17]. In this section we discuss some of the underlying physics in the formation of the electrostatic latent image. From the point of view of device physics the OPC is a large-area transducer configured as a belt or drum which converts optical information into a latent charge (primary) image. The photoreceptor material is a high-dielectric-strength insulator that is converted to the electrically conducting state with the application of a field and illumination. Phenomenologically, a high field is applied across the thin-film photoconductor (corona charging) and the film is exposed to radiation absorbed by the material. The photon energy is converted into charge carriers at or near the site of absorption and these drift under the influence of the field. Since the charged photoreceptor is an open-circuit device the surface potential decreases as the charges drift through the material and the photoreceptor is discharged. In this section we focus on some of the key physics and materials issues governing the field-biased motion of electronic charge through the photoreceptor during lightinduced xerographic discharge – the process which leads to latent image formation. Work done in optimizing the design and characterization of photoreceptors in order to service an evolving technology operated in a push–pull relationship with the growth in scientific understanding of photoinduced charge generation, injection, transport and trapping first in amorphous semiconductors and then, in what will concern us here, disordered molecular media. Because the photoreceptor assembly is a sensitized large-area device, the materials which simultaneously optimize all the required properties have always been glassy coatings. (Polycrystalline media are largely unsuitable for a variety of reasons.) The inorganic materials used especially in light-lens copiers were initially amor-
phous chalcogenides [39.20, 21] and to a much lesser extent hydrogenated amorphous Si [39.22, 23]. Much of the early work was therefore focused on the physics and chemistry of amorphous semiconductors. In this case photogeneration and the subsequent transport of charge occur in precisely the same medium, and design latitude is clearly restricted by the particular combination of optical and transport characteristics of that single layer. In addition these inorganic films are relatively brittle and therefore unsuitable for applications which require a belt architecture, i.e., one in which the photoreceptor is required to bend around small rollers. On the other hand, polymer-based OPCs are inherently flexible and multilayer architectures are readily fabricated such that each layer can be optimized for a particular function such as photogeneration and charge transport [39.24]. In fact, all OPCs for high-end applications have been developed according to this principle with the photoconductor divided into a thin light-absorbing charge-generation layer (CGL) adjacent to the electrode and a thicker chargetransport layer (CTL) which transports holes. With this photoreceptor configuration the surface is negatively charged prior to exposure. The details of OPC architecture and the materials chosen for specific layer functions will be discussed later.
39.2.1 Dark Conductivity The electrophotographic imaging process begins by applying a surface charge to the photoreceptor. A parallel-plate-capacitor model is appropriate and the surface potential and surface charge density are related through the capacitance per unit area as, Q/A = (C/A)V = (εε0 /L)V , where Q/A is the surface charge density (C/cm2 ), C/A is the capacitance per unit area (F/cm2 ), V is the surface potential (V), ε is the dielectric constant, ε0 is the permittivity
Organic Photoconductors
of free space, and L is the photoreceptor thickness. For a typical organic photoreceptor (L = 25 µm, ε = 3, and V = −500 V) C/A is 3.2 × 10−10 F/cm2 , corresponding to 1012 charges/cm2 . Assuming the surface is composed of molecules with an area of 100 Å2 (10−14 cm2 /molecule) only ≈ 1% of the surface molecules are associated with the surface charge. The applied field (V/L) is 2 × 105 V/cm. Electrophotographic imaging is enabled by a difference in surface potential between exposed and unexposed areas when the imaged area enters the development subsystem. Generally a potential difference of at least 300 V is desired. The materials comprising the bulk of the OPC must be highly insulating. A resistivity of 1013 Ω cm at a field of 2 × 105 V/cm will give rise to a dark decay rate of nearly 200 V/s. Since development typically occurs at a fraction of a second to one second after charging, the photoconductor resistivity needs to be > 1013 Ω cm. Organic polymers such as bisphenol-A polycarbonate meet this requirement and are the major component in OPCs. There are other sources of dark conductivity such as electrode and/or surface charge injection, bulk charge generation, and/or charge detrapping [39.25]. Electrode injection is prevented with the interposition of a chargeinjection blocking layer between the electrode and the photoconductive material. Surface injection is prevented by the chemical composition of the surface layer (which is typically a hole-transporting CTL). Detrapping can be a significant source of dark decay in OPCs and must be controlled by a balance between the process and the materials (the charge-generation material in particular).
39.2.2 Photodischarge–Charge Transport
con [39.24, 26] there are essentially two parameters that constitute the figures of merit to characterize charge motion through the polymeric CTL. These are (1) the drift mobility µ, the measure of how fast the carrier moves per unit applied field, and (2) the normalized carrier range µτ (τ is the free-carrier lifetime against deep trapping), which is how far the injected carrier moves per unit field before becoming immobilized in a deep trap. The time for a photoinjected carrier to traverse the CTL is called the transit time. The transit time ttr , and mobility µ are related to the specimen thickness L according to ttr = L/µE. The importance of mobility as a critical parameter in the electrophotographic process can be understood as follows: for an increase in the exposure intensity d(F), the final decrease in surface potential d(V ) is proportional to the number of injected carriers and the distance they travel within the CTL. During xerographic discharge, a charge of CV0 (C is the CTL capacitance and V0 the initial voltage) traverses the bulk and induces time-dependent variation in the electric field behind the leading edge of the injected carrier front. Thus, as the fastest carriers transit the CTL, the electric field behind them is reduced, and the carriers behind the leading edge transit at a lower field, which in turn makes their velocities lower. Thus during xerographic discharge the transit times of individual photoinjected carriers become dispersed over a wide range, typically about an order of magnitude. For discharge to proceed to completion, even in the complete absence of deep trapping, enough time is required for the slowest carriers in the packet to exit the layer before the photoreceptor reaches the development zone – nominally 0.3–1.0 s after exposure in mid-volume printers. The latter must be allowed for in practice. Thus, carrier mobility in this particular illustration should exceed 10−6 cm2 /Vs. For example, consider that a dual-layer photoreceptor with a 25-µm CTL, in which there are no deep traps, is subjected to a light flash intense enough to ultimately induce complete discharge (CV0 of absorbed photons). Consider further that in this CTL the mobility µ = 10−6 cm2 /Vs at E = 104 V/cm and that the device is initially charged to 1000 V. It can be calculated that under these conditions the device will have a residual voltage of 20 V after 0.3 s or 7 V after 1 s. Incomplete discharge, unless compensated for, might result in an inadequate toning potential and a toned density less than desired. Note further that, if the mobility is even lower, the results can become totally unacceptable. For example when the mobility is µ = 10−7 cm2 /Vs under the conditions just described, the residual voltage a full second after exposure is 60 V, even in a completely trap-free CTL. In light of the fore-
957
Part D 39.2
The photoreceptor must retain charge in the dark and also be photosensitive enough to discharge exposed areas to half their initial charge potential when irradiated with (nominally for a mid-volume laser printer engine) 4–10 erg/cm2 . The xerographic gain or quantum efficiency of supply describes the fractional number of surface charges neutralized per absorbed photon. For a dual-layer OPC it is a complicated convolution of the quantum efficiency of generation in the chargegeneration layer, CGL, the efficiency of carrier injection from the CGL to the CTL and the transport parameters of the CTL. For this discussion we will for the moment ignore issues of charge generation and focus on transport. In dual-layer OPCs the majority of photodischarge occurs via charge transport through the CTL with the CGL playing a minor role. In the transport lexi-
39.2 Operational Considerations and Critical Materials Properties
962
Part D
Materials for Optoelectronics and Photonics
Part D 39.2
of widely varying composition and morphology suggest that a transport theory must be founded on universally shared characteristics [39.53]. The theoretical literature stimulated by these observations is in fact extensive and far too detailed to be adequately described here. In the present context it suffices to comment briefly on certain general trends. The activated temperature dependence universal to all these materials systems arises because states in the hopping manifold are energetically inequivalent. Energetic inequivalence can be understood on the basis of site relaxation accompanying polaron formation [39.54–56]. Energetic inequivalence of sites is also a common feature of disordered solids resulting from site-to-site fluctuation of the static and dynamic electrostatic potential [39.38, 57, 58]. The combination of both effects cannot be excluded. In fact, there is a general need to develop the framework to distinguish the relative contributions of these key processes in the analysis of experimental data [39.59, 60]. A particularly challenging issue has been the attempt to account for the special features of the Poole–Frenkel-like field dependence illustrated in Fig. 39.6. Polaron models typically predict that the log mobility should scale linearly with the applied field [39.54, 61]. The disorder model based on analysis of Monte Carlo computer simulation of hopping on a finite lattice as originally proposed by Bässler [39.38] was in fact able to self-consistently account for a number of key experimental features in terms of a limited set of disorder parameters. On the other hand, it could only model the observed field dependence over a very narrow range. It was later recognized in the formulation of dipole disorder models [39.62, 63], that slow site-to-site variation, as distinct from fully random fluctuation, in the effective electrostatic potential could more properly account for the field-dependent behavior of mobility commonly observed over several orders of magnitude [39.64–66]. The more general formulation of the disorder model developed by Dunlap and coworkers, treats the disorder potential as fully correlated and therefore slowly varying, and argues that such a supposition is physically plausible on general grounds in glassy solids. If every chemical impurity remaining in even the most scrupulously processed polymer film could act as a trap, organics as a materials class would be completely excluded as a basis for the design of xerographic photoreceptors. Trap-free transport in CTLs was achieved not by entirely eliminating impurities but by properly designing the transport-active moiety [39.67, 68]. The associated studies first carried out in this technologically critical context on molecularly doped systems [39.69] were instrumental in generally
elucidating trap interactions in hopping systems and in first unambiguously illustrating and analyzing the mechanism of trap-controlled hopping transport [39.70]. It was already pointed out that hole transport is supported in MDPs when the neutral transport molecule is donor-like. For electrons the corresponding neutral transport molecule is acceptor-like in character. In each case, the CTLs are typically unipolar when analyzed by TOF or xerographic techniques. As it turns out the principle (simple in hindsight) which applies for example to hole-transport CTLs is to make the transport molecule significantly more donor-like than any of the resident impurity species. The limit is that the material must be stable to air oxidation. From an energetic point of view the impurity levels which then lie above the hole transport states are anti-traps. An important class of small molecules which have these desired characteristics are the aromatic amines and TPD is a prime example of a particularly strong donor in this class of molecules. The trap-free nature of these transport layers is xerographically apparent because there is no buildup of bulk space charge as measured by Kelvinprobe techniques [39.71] even after tens of thousands of CVs of holes are discharged through a photoreceptor with this CTL as a component. The trap-free nature of hole transport can also be independently demonstrated by combining TOF drift mobility and analysis of current–voltage measurements carried out on any transport layer fitted with a semitransparent blocking contact on one surface and an ohmic contact on the opposite face [39.72–74]. Figure 39.9 illustrates the result for the polymer PTPB in which TPD is covalently incorporated as the transport active unit [39.73]. Semitransparent Al on the films exposed surface is used for the TOF measurements of drift mobility. Dark injection occurs under positive bias from the gold-coated mica substrate onto which the PTPB film had initially been deposited. PTPB is highly insulating so that the bulk dielectric relaxation time is always much longer than the transit time of any excess injected carrier at all applied voltages. With the Al contact under positive bias, hole drift mobility is measured as a function of applied voltage V . From the measured drift mobility the trap-free space-chargelimited current density (TFSCLC), J, [39.75] that would be sustained by an ohmic contact under positive bias can be calculated to a good approximation, even when the drift mobility is itself field dependent [39.76, 77] using the expression J = 9εε0 µV 2 /8L 3 . These values are represented by open circles in Fig. 39.9. The electric field in the film is, of course, nonuniform and E in plots of this sort is simply a shorthand for V/L,
Organic Photoconductors
fluorescence quenching and lifetime have been used to sort out the details with specific generation materials [39.89, 97–99]. The quantum efficiency for carrier generation can be defined in several ways, as described above. The efficiency of geminate-pair formation refers to the initially produced charge pair and this is also the efficiency which has been obtained with fluorescence quenching studies. On the other hand, the generation efficiency determined with xerographic discharge al-
39.3 OPC Characterization
965
ways includes some contribution from charge transport because a measured decrease in photoreceptor surface potential is due to charge motion. In addition, veryhigh-intensity exposures may give rise to (bimolecular) recombination of positive and negative carriers during transport [39.100–104]. Quantum-efficiency determinations from xerographic discharge are carried out with very short, low-light-intensity continuous exposures to minimize the influence of charge transport and recombination (see the following section).
39.3 OPC Characterization Photoreceptors may be characterized by many techniques. On the one hand one wishes to determine the fundamental characteristics of the materials, while on the other hand one wishes to determine electrophotographic performance-based characteristics of the photoreceptor. The quantum efficiency of charge generation and, depending on the model chosen, the initial separation distance of the charge pair, are common characterizations. Electrophotographic performance characterizations include dark decay, photoinduced discharge sensitivity, and residual potential as well as their stability to electrical-only cycling.
39.3.1 Dark Decay
39.3.2 Photosensitivity Measurements to determine fundamental characteristics (quantum efficiency of generation materials, mobility, etc.) are often carried on photoreceptors that differ from what might be eventually used in a printer. The layer of interest (CGL or CTL) may be isolated or the device may be modified with the application of a vacuumdeposited electrode (Au is common) on the free surface. Common fundamental characterizations include quantum efficiency as a function of field and wavelength, and mobility. Mobility was discussed in Sect. 39.2.2. The quantum efficiency of carrier generation has several definitions as applied to OPCs. On the one hand it can refer to the number of ion pairs generated per incident or absorbed photon. This fundamental characteristic might be determined experimentally by fluorescence quenching, or calculated based on a model such as the Onsager model discussed above. On the other hand a photodischarge efficiency can be determined based on the decrease in surface potential per photon absorbed or incident. The photoinduced discharge (PIDC) method is commonly used to characterize OPCs as a function of field, wavelength, temperature, humidity, exposure intensity, etc. The photoreceptor is corona charged to apply the desired field and is photodischarged. Using the parallel-plate-capacitor model, Q = CV (terms as defined previously), the change in surface charge den-
Part D 39.3
The quantity of interest is the rate of dark discharge (V/s) as a function of field and environment. However, the dark decay characteristics of a dark-adapted photoreceptor when incrementally corona charged to higher and higher fields will be significantly different from what is observed in the electrophotographic process. This is because OPCs seldom behave as perfect capacitors and there is typically a depletion charging component where previously trapped charges are mobilized and drift in the photoreceptor on the application of the corona charge [39.105]. Thus, in addition to field and environment, the observed dark decay characteristics depend on prior exposure history (trapped charges), corona charger characteristics, and timing. Thus, a given photoreceptor will have a higher dark decay rate if the photoreceptor is charged exactly to the desired initial potential (V0 ) and the dark decay rate determined immediately, as opposed to charging well above the desired potential and measuring when the surface potential decreases to the desired potential. Dark decay measurements which are meaning-
ful to the electrophotographic process must be carried out in an apparatus in which the exposures, charging, and timing elements are fixed. Dark decay is an important characteristic of an OPC because, although process control will attempt to maintain V0 , the imaging system in a printer will in general not tolerate a significant V0 drop.
966
Part D
Materials for Optoelectronics and Photonics
Part D 39.3
sity can be calculated from the decrease in the surface potential. The change in surface charge density per unit area per photon incident or absorbed is a measure of photosensitivity. This measure includes the efficiency of charge generation, injection into the CTL, and transport through the CTL. Because of carrier bimolecular recombination and range limitations the quantum efficiency determined using this method may depend on the light intensity and the extent of photodischarge. The photodischarge method is carried out with either continuous or flash exposures. With continuous exposures (J/cm2 /s) the surface potential is monitored as a function of time. One measure of OPC photosensitivity which relates to the electrophotographic process is the exposure required to discharge the photoreceptor a fixed amount, often 50%, from an initial potential. This is typically carried out using exposures (wavelength and duration) relevant to a particular electrophotographic process. The photoreceptor sensitivity is usually reported in terms of J/cm2 (the inverse is often used to report spectral sensitivity). A related method is to determine the initial photodischarge rate ( dV/ dt). This can be related to the decrease in surface charge density through the capacitance, dQ/ dt = C dV/ dt. The light intensity is kept low and the discharge time short to avoid space-charge perturbations during charge transport and to keep the field essentially constant. The ratio of the decrease in surface charge density to the exposure (photons/cm2 ) is a measure of photodischarge efficiency. In fact the photodischarge per exposure (V/J/cm2 ) is another commonly used metric for OPC photosensitivity. When determined as a function of field, data obtained in this manner can be analyzed in terms of the Onsager models. Because photosensitivity depends on field (surface potential per unit OPC thickness) and the exposure produced decrease in surface charge density (related to the change in surface potential through ∆Q = C∆V ), meaningful comparisons can only be made between OPCs of similar thickness, charged to similar initial potentials, and exposed to similar discharged potentials. For a process using a flash exposure the photoreceptor discharge is determined at several exposure levels (with the surface potential determined at a fixed time after the exposure) and the data is plotted as surface potential (V ) versus log(exposure, J/cm2 ). An arbitrary photodischarge point (typically 50%) can be determined
from this plot for comparison purposes. The utility of this characterization is that the exposure characteristics and the timing for reading the discharged surface potential directly relate to the printing process. As discussed above, short high-intensity exposures may give rise to Langevan recombination [39.102, 104, 106], resulting in decreased sensitivity (reciprocity failure) relative to low-intensity exposures. An often overlooked sensitivity factor is that there are more photons per energy unit (J) as the wavelength increases. For example, there are about 30% more photons/J at 820 nm relative to 630 nm. Thus, for a photoreceptor with a given quantum efficiency for carrier generation the sensitivity will increase with increasing wavelength of the exposure source.
39.3.3 Electrical-Only Cycling Electrophotographic performance characterizations are necessarily carried out on the photoreceptor in an apparatus which has the key elements of the electrophotographic process. The most relevant apparatus would of course be the fully configured printer. However, the use of such a complex device is often undesirable, or unnecessarily, for screening purposes. In the laboratory it is common to use electrical-only test fixtures in which the process always includes charge and expose, and may include erase (or unique process elements), with the surface potential being determined after the application of each. With an apparatus such as this it is possible to determine process-relevant characteristics such as: chargeability, dark decay, photosensitivity, and residual potential, all as a function of cycling. Of course large changes in any of these characteristics is generally undesirable and long cycling (10–100 kcycles) is often necessary to adequately determine the electrical stability of the photoreceptor to the electrophotographic process. Such stability is a necessary, but not sufficient, condition for eventual commercialization, and extensive testing in the machine for which the photoreceptor was designed is always the final step in the development process. Other factors, often connected to the device physical characteristics (wear rate, corona chemical sensitivity, layer adhesion, seam strength, etc.) may in fact determine the eventual success or failure of a particular OPC in a printer.
968
Part D
Materials for Optoelectronics and Photonics
positive charging if the CTL is formulated for electron transport. Electron-transporting CTLs have been widely studied by not yet commercialized. In a multilayer photoreceptor the principle is that the functions of each layer are optimized. Thus, with separate CGL and CTL the former is designed for strong absorption of the wavelength of light used in the process and efficient charge generation, and the latter is optimized for the injection of photogenerated charge from the CGL and transport of that charge to the free surface. In general, most charge-generation materials efficiently transport only one polarity of charge (electrons or holes depending on the material) so that it is generally desirable to have the CGL as thin as possible while retaining the required optical density at the exposure wavelength. It is also necessary that the CGL be uniform over the entire area of the photoreceptor to maintain consistent sensitivity for the entire photoreceptor surface. Submicron CGLs are typical of the photoreceptors used in most modern digital printers. The CTL determines the device capacitance and a thickness of ≈ 25 µm is typical. However, the CTL thickness also influences the ultimate latent-image resolution in a digital printer because holes transiting the CTL experience mutual Coulombic repulsion [39.12, 107]. It has been shown that for optimum resolution the photoreceptor thickness should be about one quarter of the pixel size [39.12, 13]. Thus, for 600-dpi imaging (≈ 40 µm pixel size) the ideal photoreceptor is on the order of 10 µm. Thin OPCs have not yet been introduced into digital printers but one might anticipate that this will occur as toners get smaller and the processes of toner transfer and fusing are refined to minimize image disruption [39.108].
39.4.2 Coating Technologies
Part D 39.4
Hopper coating of continuous webs and dip coating of drums are the two technologies commonly used to fabricate photoreceptors. As with any large-area photoelectrical device absolute cleanliness is required to prevent artifacts in the coated layers. In a multilayer device one must carefully control the interfaces between the layers. For example, a key interface is that between the CGL and CTL. Here it is important that the two layers adhere but do not mix to such a degree that the desired characteristics of either layer are compromised. Attaining the desired degree of mixing is a process of optimization depending on the materials, solvents, and coating method. In hopper coating the coating solution is continuously pumped through a slot onto the moving web.
Film thickness is controlled by the pumping rate and solids content of the coating solution. Precise control of drying temperature, humidity, and air flow enable optimization of the coated layers. Curing of the coated film involves removal of solvent and annealing of the polymer binder(s). In a hopper coating process several layers can be coated in a single pass with sequential hoppers as long as the previous layer is adequately cured. Hopper coatings can be carried out at a very high speed (m/s) to produce a roll of many thousands of feet. To coat subsequent layers the previously coated substrate is re-run through the coating machine. Because the hopper coating process involves contact of the coated surfaces, and winding of the coated web, there is the possibility of film damage. Final inspection for film defects must be carried out and damaged, or imperfectly coated, regions must be removed before construction of the final photoreceptor loops. In a dip coating process the substrate and coated layers are dipped into a solution or dispersion of the next layer to be coated and slowly withdrawn and cured. The coated layer thickness is determined by the rheological characteristics of the coating solution and the rate of withdrawal. Under these conditions there is the opportunity for extensive interaction between the previously coated layer and the solution into which it is dipped. Thus, formulations which are suitable for hopper coating may be inadequate for dip coating even though the chemical compositions of the final coated layers might be identical after curing. Another consideration with dip coating is that components of the previously coated layers which are soluble in the solvent used in the subsequent dip will contaminate the dip reservoir. Low levels of contamination may be insignificant, but since the amount of fluid removed in each coating operation is a small fraction of the total volume one must make sure that such contamination does not degrade performance. Other processes such as ring coating (a dipped drum is withdrawn through an annulus which acts as a doctor blade to control the wet coating thickness), spray coating, vacuum coating, etc. may be used for specific applications and materials. In all layers coated from solution it is often necessary to add a small quantity of surface-active agent as a coating aid to enable smooth, defect-free coatings. In the following sections we will discuss details around the compositions of each of the layers which might be found in an organic photoreceptor for electrophotographic printing. The order of discussion is in the order in which the typical photoreceptor would be constructed: substrate and ground layer, smoothing
Organic Photoconductors
layer and charge-blocking layer, charge-generation layer (CGL), charge-transport layer (CTL), and protective overcoat layer.
39.4.3 Substrate and Conductive Layer
969
39.4.4 Smoothing Layer and Charge-Blocking Layer For xerographic applications it is important that the conductive layer does not inject charge into the photoreceptor in the dark. However, the metals used as grounding layers all have the potential to inject holes into the photoreceptor CGL via direct contact with the charge-generation material or the hole-transport material. The injection and transport of charge in the dark would cause a loss of surface potential (dark decay). Since the formation of a latent image relies on a difference between the rate of dark- and light-induced surface potential decrease, a high dark decay rate means that the process must be amenable to a small imaging potential. Another aspect of dark injection from the conductive layer is that such phenomena often occur in small localized charge-deficient spots. In a process where the discharged areas are developed small deposits of toner are found in what should be non-toned background. To counter such processes it is common to interpose a charge- (typically hole-)blocking layer between the ground layer and the CGL. With drum photoreceptors it may be the roughness of the metal surface which initiates the charge injection. In this case it may be necessary to interpose a relatively thick smoothing layer between the metal surface and the CGL. In principle, any good film-forming insulating material will suffice as a charge-blocking layer as long as adhesion to the ground layer and CGL are adequate. Several types of materials have been used for this purpose including polysiloxanes and nylons. Since these materials are insulating, in an electrophotographic charge/expose cycle there will be a residual potential due to the field remaining across the layer. This will build up with cycling depending on the layer conductivity and the process cycle time. Although residual potentials typically decrease when the photoreceptor is rested in the dark, in practice such relaxation is usually incomplete and in subsequent cycling the residual builds up at a faster rate. The physics and chemistry of such hysteresis is not well understood. At some point the residual potential may cause image degradation and necessitate photoreceptor replacement. Thus, the blocking layer must be thick enough to cover the ground layer uniformly but not so thick as to cause an undesirable residual potential. Generally such a layer is coated at around 1 µm or less. With an aluminium drum a thin anodized layer may prevent charge injection. However, making the drum surface smooth on a submicron scale is expensive
Part D 39.4
A common element to all electrophotographic photoreceptors is a substrate on which all the layers are deposited. In a research environment a small square of glass or quartz is convenient, but in commercial applications the substrate is invariably either a polymer film or a metal drum. Some of the material characteristics which might be considered in the choice of a polymer film are: stiffness, toughness, transparency, core set, and surface friction. Polymeric photoreceptor substrates are often 3–7 mil. A common polymer film material is the polyester poly(ethylene terephthalate) (PET). PET film is very durable, optically transparent, and readily available in a wide range of thickness. Since it is highly insulating it must be coated with a conductive material to act as the ground plane. PET or other polymer films are available in large rolls and the coating operations are usually done on the roll rather than cut-to-size sheets. Although a solution-coated conductive layer is possible, the most common ground layers are metals such as Ni, Al, Cr, and Ti, which are applied in a continuous sputtering or similar vacuum technique. The metallized rolls are subsequently coated with the photoconductive layers. If a metal drum is the chosen substrate it is almost invariably aluminium (1–10 mm wall thickness). The conductive metal serves as the ground layer but typically it receives further treatment before coating the photoconductive layers. The treatment may be chemical such as anodization to form a thin hole-blocking layer, or physical such as turning or sanding. Because these secondary surface treatments add cost, a smoothing layer is often used instead. The electrical conductivity requirements of the conductive layer are modest. The higher the process speed the greater the conductivity required for adequate surface charge accumulation. Analysis by Chen [39.109] demonstrated that the result of inadequate conductivity in corona charging of a photoreceptor is a nonuniform surface potential. The surface potential is decreased depending on the distance from the actual grounding contact. For a typical situation the upper limit of the sheet resistance is ≈ 104 Ω per square. Alternative approaches such as seamless webs and conductive plastic drums have been developed but have not yet been commercialized.
39.4 OPC Architecture and Composition
Organic Photoconductors
complex, perylenes, and bisazos. Phthalocyanines, trisazo, and squarylium pigments are utilized for nearinfrared absorption. Figures 39.13 and 39.14 show the sensitivity action spectra and Figs. 39.15 and 39.16 the chemical structures of these charge-generation materials. The sensitivity action spectra in these figures are taken from literature data and the sensitivities converted into consistent units (V/cm2 /erg) based on information given in the cited publication. Because the data are from OPCs with different thickness, exposure intensity, exposure time, initial potential, and exposed potential, the sensitivities are not directly comparable. However, the wavelength ranges are accurate. When the exposure is through the CTL (in a negative-charging dual-layer OPC) the photosensitivity will be decreased at wavelengths below ≈ 400 nm because of light absorption by the transport material.
Titanyl phthalocyanine (TiOPc) N
CH
N
N O
N
Ti
N
N
N
N
NO2
NO2
3,4-dimethoxyphenyl -2-fluoro-4(dimethylamino) phenylsquaraine (UFSq)
CH2
n NO2 Poly(N-vinylcarbazole) 2,4,7-trinitro-9-fluorenone (PVK-TNF) N
O
N
N
971
Perylenes, azos, and phthalocyanines are best known for their use as colorants and it is because these materials were readily available that they were investigated as charge-generation materials. A complication with pigments is that some exhibit polymorphism. Over many decades the colorant industry has developed technologies for controlling polymorphism to obtain pigments with specific colorant characteristics and similar technologies have been recently developed to obtain
O
N
39.4 OPC Architecture and Composition
O–
F
+ N
CH3O N O
CH3O
2,4-di-(o-hydroxy-pdimethylaminophenyl) cyclobutene diylium-1,3-diolate
N
O
OH
Bisbenzimidazole perylene (one of two isomers) O
ClO 4–
+ N
N
+
S
O
O
O – HO
O
n
Trisazo pigment
R
R=
O HO
N
N
N H
N O N
H Cl
R
N O
OH
H
N
Fluorenone bisazo
H N
N
N
N
HO
N
Cl
O
R
Fig. 39.15 Chemical structures of visible sensitive charge
Fig. 39.16 Chemical structures of near-infrared sensitive
generation materials
charge generation materials
Part D 39.4
Thiapyrylium dye bisphenol-A polycarbonate aggregate
972
Part D
Materials for Optoelectronics and Photonics
Part D 39.4
polymorphs with the appropriate absorption as well as charge-generation characteristics for electrophotographic applications. Thus, in many cases to prepare a CGL it is first necessary to convert the pigmentgeneration material into a dispersion of submicron particles of the desired polymorph. Examples of chargegeneration materials are discussed below. The donor–acceptor charge-transfer (CT) complex between poly(N-vinyl carbazole) (PVK) and 2,4,7-trinitrofluroren-9-one (TNF) was the first commercialized organic photoreceptor [39.110, 111]. The photoconductivity characteristics have been extensively studied [39.79–81, 84–86] and reviewed [39.112–115]. With the addition of TNF the carrier-generation efficiency of PVK is increased and extended into the region of CT complex absorption. Based on field quenching of exciplex fluorescence a mechanism for PVK–acceptor systems was proposed involving carrier generation from a nonrelaxed exciplex which is accessed by either direct absorption into the CT state or via an encounter complex between excited PVK and TNF [39.84–87]. As used in the IBM copiers I and II a 1 : 1 molar ratio of PVK to TNF was coated as a single 20-µm layer and electrondominated transport was used to create the latent image (negative surface charge) with a He−Ne laser exposure (632.8 nm) [39.111]. An exposure of 5.5 µJ/cm2 was used to decrease the surface potential from −750 to −200 V. The quantum efficiency based on incident photons was ≈ 0.2 while the maximum efficiency was ≈ 0.3 at ≈ 400 nm. The photosensitivity action spectrum for this OPC is shown in Fig. 39.11 [39.110]. Using the photoinduced discharge technique [39.82] the maximum quantum efficiency (charges transported through the device per photon absorbed) was found to be 0.14 at 375 nm and a field of 106 V/cm. The PVK–TNFbased OPC had several drawbacks: low photosensitivity, short lifetime in the electrophotographic process, and concerns about the safety of TNF. It was eventually replaced with dual-layer OPCs with CGL materials based on pigments. Acceptor-doped PVK continues to receive attention particularly with C60 and related molecules as the acceptor [39.116]. The dye–polymer-aggregate based CGL is unique in that the active material is formed in situ during the coating process. A solution of thiapyrylium dye (2,6diphenyl-4(4-dimethylaminophenyl)thiapyrylium perchlorate) and bisphenol-A polycarbonate is coated to form a CGL in which the dye and polymer spontaneously aggregate [39.117–119]. The aggregate is a dense filamentary structure which has an absorption spectrum red-shifted from that of the dye. The aggregate filaments
transport electrons with high field trapping due to carrier immobilization at filament dead ends. The photosensitivity of the aggregate is several orders of magnitude larger than that of the nonaggregated dye [39.120]. To prepare a CGL the formulation also includes holetransporting materials. Because the CGL transports both holes and electrons it is possible to use the aggregate as a single layer or as the CGL in a dual-layer photoreceptor. Because the aggregate absorption drops rapidly at wavelengths longer than 700 nm this material is only useful for visible-light exposure systems, Fig. 39.13. In this example the CGL (2 µm) comprised dye and tri-p-tolylamine (TTA) hole-transport material in polycarbonate, and the CTL (13 µm) was a solid solution of 40 wt % tri-p-tolylamine in a polyester binder [39.88]. This was charged to −600 V and exposed with very low-intensity continuous irradiation to obtain the initial rate of photodischarge. At 680 nm the photogeneration efficiency is 0.34 per absorbed photon. The quantum efficiency (Onsager model) was 0.6 and the thermalization distance was dependent on the concentration of hole-transport material ranging from 200 nm (5 wt %) to 600 nm (40 wt %) [39.88], Fig. 39.11. Azo compounds contain the −N=N− functionality. Bisazo compounds have the general structure R −N=N−R−N=N−R . Trisazo compounds have the general structure R(−N=N−R )(−N=N−R ) (−N=N−R ). The R substituents generally have extended conjugation to provide the colors which have made bisazo compounds important dyes and pigments for many years. Bisazo CGLs generally absorb in the visible and trisazo into the nearinfrared. An early example of a bisazo pigment-based OPC (chlorodiane blue, 4,4 -bis(1 -azo-2 -hydroxy3 -naphthanilide)-3,3 -dichlorobiphenyl) was used in the IBM 3800 printer. This photoreceptor had over four times the photosensitivity of the PVK–TNF-based OPC [39.121]. Azo charge-generation materials are often synthesized from the reaction of an aromatic amine with an o-hydroxyaromatic carboxylic acid such as naphthol-AS. As CGL materials these materials are used as dispersed pigments. Although bisazo pigments do not generally exhibit polymorphism they can exist as hydroxy-azo or keto-hydrazone tautomers. In some cases, it has been found that the active form is the keto-hydrazone tautomer [39.122]. Because the synthesis of azo compounds is relatively easy there is a considerable literature on the effects of chemical structure on electrophotographic characteristics and carrier-generation efficiency [39.123–127]. In azo pigments charge is generated extrinsically with the pigment
974
Part D
Materials for Optoelectronics and Photonics
Part D 39.4
ied as CGL pigments. Although these materials are relatively easy to synthesize their intractability makes them difficult to purify. Except for halogen substituents on the aromatic rings there has been little research into the effect of chemical structure on performance. Instead, much of the development has been to understand and control polymorphism. Compared with solution absorption spectra, the spectra of many CGL pigments are broadened with enhanced absorption in the red and near-infrared. With specific combinations of milling technique, solvent exposure, and thermal treatment many phthalocyanine polymorphs can be produced [39.146–148]. Polymorphs have been characterized by visible and near-infrared absorption spectroscopy, X-ray diffraction, nuclear magnetic resonance (NMR) spectroscopy, infrared spectroscopy, and infra-red (IR) absorption spectroscopy. Examples of TiOPc polymorph absorption spectra are shown in Fig. 39.17 [39.134]. Polymorphs may have very different photogeneration characteristics as CGLs in OPCs. The materials shown in Fig. 39.17 were fabricated into OPCs: CGL, 0.4 µm, pigment and poly(vinyl butyral) 1 : 1 weight ratio; CTL, 20 µm, 43 wt % triarylamine hole-transport material, 4-(4-methylstyryl)4 ,4 -dimethoxytriphenylamine, in polycarbonate. The photosensitivity action spectra for OPCs from the Yand A-forms of TiOPc are shown in Fig. 39.14. The OPC with the Y-form had a photosensitivity for half photodischarge (600–850 nm) of 0.75 erg/cm2 (initial potential −600 V) while the A-form was much less sensitive. In another study, photoreceptors formulated with α-, β-, τ-, and X-forms of H2 Pc had generation efficiencies as follows (5 × 105 V/cm): τ2 ≈ 0.1, X2 ≈ 0.09, X1 ≈ 0.03, β1 ≈ 0.01, α2 ≈ 0.005, and α1 ≈ 0.001 [39.149]. In the preparation of a generation material a common procedure is to prepare the pigment in the amorphous form by acid pasting or with a specific milling technique, and then to convert the pigment to the desired polymorph with a specific solvent treatment. The desired polymorph must of course be stable to all the subsequent operations. In addition to the dominant influence of crystal form on electrophotographic performance, recent studies have implicated water in carrier generation of Y-form TiOPc [39.134, 150]. A recent paper has reviewed the carrier generation mechanisms in several phthalocyanines [39.151]. In all the pigments studied [x−H2 Pc, TiOPc (I) (low sensitivity), TiOPc (IV) (also known as Y, high sensitivity), HOGaPc (V)], fluorescence quenching implicates a neutral excited state (not a charge-transfer state) as the precursor to carrier generation. Studies of
the effect of field on fluorescence amplitude and lifetime reveal that with HOGaPc and TiOPc (I and IV) carrier generation comes from a non-relaxed excited state and TiOPc (IV) has an additional generation channel from a trapped state. With x−H2 Pc trapped excitons appear to be the major source of charge carriers. Squarylium (squaraine) pigments are a class of materials which were first synthesized only recently. Squaryliums [2,4-di-(o-hydroxy-p-dimethylaminophenyl)cyclobutene and 1,4-bis-(4-dimethylamino-2-methylphenyl diylium-1,3-diolate)] were the first pigments to be utilized as a near-infrared-sensitive CGL in an IBM printer [39.131, 152, 153]. The photoreceptor based on the hydroxy squarylium with a CTL (21 µm, DEH in bisphenol-A polycarbonate) had high photosensitivity from 500–800 nm (≈ 3 erg/cm2 for a −700 to −200 V photodischarge), Fig. 39.14 [39.153]. This class of pigment has been extensively investigated for use as pigment-dispersion CGLs for near-infrared exposure systems [39.137, 154–160]. A nonsymmetrical squaraine (UFSq)-based OPC with CGL, 0.4-µm UFSq in poly(vinyl formal) (80 : 20 weight ratio), and CTL 26-µm 40 wt % TPD in bisphenol-A polycarbonate, exhibited an E1/2 photosensitivity of 1.9 erg/cm2 at 790 nm (−980 V0 ) when optimally purified [39.160], Fig. 39.14.
39.4.6 Charge-Transport Layer (CTL) In all current commercial organic photoreceptors holes are the carriers that dominate photodischarge of the device. Hole transport is carried out by aromatic amines which are either doped into, or are incorporated as part of, the binder polymer. The key molecular characteristic is that aromatic amines have relatively low oxidation potentials. The oxidation potential of the transport moiety must be lower than that of the charge-generation material such that photogenerated holes are injected from the generation material into the charge-transport layer. In a chemical sense the key reaction is oxidation of the charge-transport material by the photogenerated hole on the surface of the generation material. If the oxidation potential of the transport material is too low it will undergo air oxidation, if it is too high its oxidation will require an activation energy and will be inefficient. Molecular orbital studies suggest that molecules with little change in geometry with oxidation will be favorable for hole transport [39.161]. Aromatic amines with oxidation potentials between about 0.8–1.2 eV (relative to SCE) are generally useful as hole-transport materials. The synthesis and study of hole-transport molecules
Organic Photoconductors
975
that required to reduce oxygen dissolved in the polymer film. Figure 39.10 shows the chemical structures of some electron-transport materials. As with hole-transport materials acronyms based on molecular functionality are common: 1,1-dioxo-2-(4-methylphenyl)-6-phenyl4-(dicyanomethylidene)thiopyran (PTS), n-butyl 9dicyanomethylenefluorene-4-carboxylate (BCMF), 2,4,7trinitro-9-fluorenone (TNF), 3,3 -dimethyl-5,5 -di-tbutyl-4,4 -diphenoquinone and 3,5 -dimethyl-3 ,5-dit-butyl-4,4 -diphenoquinone isomer mixture (DPQ); a more complete table can be found in Borsenberger and Weiss [39.4]. In general the charge mobilities observed with electron-transport materials are much lower than for hole-transport materials. For this reason dual-layer OPCs with electron-transport-based CTLs have not been commercialized. Analysis of the mobility characteristics of electron transport with the disorder model implicates the highly polar nature of all electron-transport molecules [39.171–174]. Both hole- and electron-transport materials have been prepared with the transport moieties as part of a polymer (main chain or pendant) which would serve as a binder with hole-transport functionality. The advantage of a transport-active polymer is that it is a single material. In practice, charge transport is generally not enhanced in these materials and their chemical complexity makes them expensive to synthesize. Other than PVK, molecularly doped polymers are the current choice for CTLs.
39.4.7 Backing Layer In a web photoreceptor the substrate–photoreceptor may tend to curl due to the residual stress of the coated layers. Such curl can make it difficult to fabricate the web into loops, may degrade loop tracking in the machine, or cause the loop edge to touch other process elements. Any of these attributes would make the photoreceptor unusable. One way to counter film curl is to coat a polymer layer on the back side to compensate for the stresses in the photoreceptor layers. The back-coat polymer must have adequate physical characteristics (friction, wear, etc.) to withstand cycling in the process. The extra coating adds expense but its addition is relatively commonplace. Any suitable polymer, such as a polycarbonate, may be used.
39.4.8 Overcoat Layer Overcoat layers have received increased attention to lengthen the photoreceptor life by preventing wear, min-
Part D 39.4
is a very active area. Figure 39.4 shows the chemical structures of some common hole-transport materials: 1,1-bis(p-diethylaminophenyl)-4,4-diphenyl-1,3butadiene (DPB), p-diethylaminobenzaldehyde diphenylhydrazone (DEH), tri-p-tolylamine (TTA), N,N -diphenyl-N,N -bis(3-methylphenyl)-(1,1 -biphenyl)-4,4 diamine (TPD), and 1,1-bis(di-4-tolylaminophenyl)cyclohexane (TAPC). These are often referred to by acronym and classified according to one of their structural functionalities: arylmethane, diarylamine, enamine, triarylamine, heterocyclic, butadiene, and hydrazone. A more complete listing is available in Borsenberger and Weiss [39.4]. Charge transport was discussed previously (Sect. 39.2.2). Since transport involves orbital overlap between neighboring charge-transport moieties their concentration needs to be as high as possible. In practice, molecular transport materials are doped, singly or as a mixture, at 40–50% by weight into the binder polymer. The purpose of the binder polymer is to provide an inert, robust, substrate for the transport material. The mobility characteristics of a large number of holetransport materials have been studied and the results interpreted according to a model where the dissolved transport molecules are disordered in energy and position [39.162–164]. Binder effects on hole transport have been observed. It appears that the presence in the CTL of materials with highly polar substituents decrease hole mobility but in general these effects are not well understood [39.165–170]. Since the CTL is typically the surface that is exposed to the various electrophotographic process elements it must be formulated to resist damage and wear. The glasstransition temperature (Tg ) of the CTL is an important characteristic. Too low and the material will be soft and easily damaged, too high and it will be brittle. Generally a Tg around 60 ◦ C is adequate. Polycarbonates and polyesters are two classes of materials commonly used as CTL binder polymers. Dual-layer devices in which electron transport dominates have been studied extensively but never commercialized. Examples of commercialized electrontransport-dominated photoreceptors are the single-layer dye–polymer aggregate and the PVK–TNF chargetransfer complex. Electron-transport materials are designed to be easily reduced. The reduction potential must be lower than that of the photogenerated electron on the charge-generation material so that it is transferred to the transport material. However, it is believed that oxygen may act as an electron trap so the reduction potential of the transport material must be lower than
39.4 OPC Architecture and Composition
976
Part D
Materials for Optoelectronics and Photonics
imizing the effects of surface contacts, the effects of corona effluents (ozone and nitrogen oxides), and liquid attack in liquid-toner-based printers. As with the charge-blocking layer, the simplest approach is to coat a thin insulating polymer onto the photoreceptor surface. The thickness cannot be more than a few µm if one wants to avoid large residual potentials. Several approaches have been developed. One approach is to coat a second CTL with a changed composition to maximize polymer toughness (often by lowering the concentration of transport material). Other approaches are to overcoat the photoreceptor with a silsesquioxane sol-gel several µm thick, or with a submicron refractory layer of diamond-like carbon or silicon nitride. Silsesquioxane overcoats applied in a sol–gel process have received recent attention [39.175, 176]. One commercial application utilized a sol–gel to overcoat a photoconductor with an uppermost CGL (positivecharging OPC). Because the CGL is sensitive to wear
and corona chemicals an overcoat was essential to its implementation in a commercial photoreceptor. The sol is prepared and subsequently hopper-coated and cured at elevated temperature to effect crosslinking. To avoid residual potential some conductivity is necessary but this cannot be so high that the latent image is degraded [39.177]. A polyurethane overcoat in a positive-charging duallayer OPC has recently been described for use in a liquid-toner-based printer [39.178]. The CGL was based on TiOPc pigment and, to avoid disruption of the CGL during the overcoat coating operation, an aqueous polyurethane dispersion was used. Diamond-like carbon, silicon nitride, and other [39.179] overcoats are applied in a vacuum process as submicron layers. Although tough, their thinness limits their utility for scratch and wear resistance. Furthermore, depending on their chemical make up they may have sensitivity to corona gasses.
39.5 Photoreceptor Fabrication
Part D 39.5
As discussed above the two most common photoreceptor configurations are as a loop or a metal drum. After coating the layers of a drum photoreceptor it is ready to use in the process. Generally the drums are inspected for visible defects and samples are taken for process testing and quality control. Since the substrate is conductive the ground contact is easily made by means of a conductive brush contacting the edge or inside of the drum when it is mounted in the machine. On the other hand, after the appropriate layers are coated on a web several more steps are required for fabrication of the photoreceptor. The web is rolled onto a spool at the end of the coating process and subsequently slit to the desired width and rewound. The coated roll is then inspected for visible defects and defective areas are marked for removal. Defective areas are removed when the photoreceptor is cut to the desired length. The cut sheets are then ultrasonically welded to produce a photoconductor loop. Because the conductive layer is buried between the substrate and the coated layers, making a ground contact is not trivial. It is possible to leave one edge of the ground layer uncoated but, because the thin metal would be readily damaged or worn away with use, one typically finds a conductive stripe coated on one edge of the photoreceptor. The only requirement is that the conductive stripe penetrate the coated layers and contact the metal. The ground contact in the machine is often
made with a conductive brush contacting the conductive stripe. The printing machine must keep track of the splice for several reasons: cleaning blade, degraded photoconductivity due to the ultrasonic welding, etc. This is sometimes accomplished by applying marks to the back of the photoreceptor, which are detected by the machine. Fabricating a photoreceptor loop entails several operations not required in the fabrication of drum photoreceptors (slitting, cutting, conductive striping, welding, backside marking, etc.). Web-coating a photoreceptor is an expensive process but many thousands of feet of photoreceptor can be produced in a single coating event. Furthermore all the loop-processing elements are relatively straightforward and inexpensive. The key factor is that web-coating is a continuous process while drum-coating is a batch process. Photoreceptor loops are generally about 10× less costly to produce than drums. There are advantages and disadvantages to both seamed loop and drum configurations in a printing machine. Loops can be made small for desktop printers or large for high-speed commercial printers. A large web (current commercial webs are as large as ≈ 10 feet in circumference) provides more surface area for imaging (several images per loop cycle) and more space for the various electrophotographic process elements. In addition, exposures through a semitransparent conductive layer are possible. Disadvantages are the presence of
Organic Photoconductors
a seam which must be tracked and makes some processes, such as cleaning with a blade, problematic. Also, the tendency of a web to flutter or move necessitates both tracking and positioning to achieve adequate print registration. Metal drums are seamless and rigid, making it easier to control drum position. However, drums
39.6 Summary
977
typically have a diameter of 35–300 mm and have limited imaging area and space for process elements. All things being equal a loop which produces several images per cycle will produce more images over its lifetime than a drum. Ultimately, the choice of loop or drum is a printing system issue.
39.6 Summary demonstrated how materials have been chosen and new materials synthesized to optimize their characteristics. It is worthwhile to take a minute to review the electrophotographic process and consider the characteristics which have been built into the device.
•
•
•
Spatial uniformity. The thickness of each of the coated layers (each varies from submicron to a few tens of µm) and the total thickness (controlled mainly by the CTL) must be very uniform so that the device capacitance (a major factor in determining surface charging characteristics) is uniform (on the order of a few percent variability). The CGL generation characteristics must be uniform, which means that the optical density of the CGL at the exposure wavelength must be uniform, to produce a uniform surface potential after exposure (on the order of a few percent variability). Thickness must be uniform for the smallest pixel (20 µm for 1200-dpi imaging) over the entire area of the device (> 1000 cm2 ). The ability to coat large areas of such thin layers to this degree of uniformity is a great technological achievement. Chemical resistance. Photoreceptors must resist chemical attack. Because corona charging is the most common method of applying a surface potential, the photoreceptor must be stable to the acid and oxidizing species produced in the corona. These chemicals (ozone, NOx , and HNOx ) are highly reactive and the layer that is exposed (usually the CTL) must not be degraded. This is achieved by judicious choice of materials, the addition of stabilizers (antioxidants) to the CTL, or a protective overcoat. Electrical uniformity and stability. The photoreceptor dark electrical and photoelectrical characteristics (surface potentials with no exposure, imaging exposure, and erase exposure) must be very uniform and stable to prolonged electrophotographic cycling. The initial (dark) and exposed potentials must be the same for each pixel over the entire device area and
Part D 39.6
Organic photoreceptors have been utilized in electrophotography since 1970. In this chapter we have briefly reviewed the development of organic photoreceptors from the standpoint of the device architecture, materials formulation, and electrical characteristics with emphasis on how the materials used impact on specific device functions. The use of organic materials has enabled the development of electrophotographic technologies into a multi-billion-dollar business. Today’s organic photoreceptor is a large-area photoelectric device capable of very high spatial resolution in the formation of a large-area image, with pixel resolution as small as 20 µm (1200 dpi), to meet demanding image-quality requirements. It is truly an amazing success story. Many of the principles and indeed many of the same materials are being applied to today’s highly publicized new technologies including organic light-emitting diodes (OLED) and organic transistors. In this chapter we have described the device requirements and shown how organic photoreceptor development has progressed through a combination of architecture manipulation and materials design. Organic photoreceptors have to satisfy many diverse requirements to be successfully employed in electrophotography as it is practiced today. These requirements have been met in large part by the principles of: (1) functional separation, and (2) materials design for the enhancement of specific electrical and mechanical characteristics. The concept of functional separation was key to organic photoreceptor development. Rather than try to produce a device with all the desirable characteristics in a single layer it is more productive to have a multilayer architecture with each layer being optimized for a specific characteristic. Not surprisingly these layers are commonly named after those characteristics which are paramount: the charge-generation layer (CGL), the charge-transport layer (CTL), the charge-blocking layer, the protective overcoat layer, etc. In this chapter we have described the characteristics of each of these layers and
978
Part D
Materials for Optoelectronics and Photonics
•
•
•
must remain stable with cycling. If these characteristics drift uniformly with cycling, machine process control must be implemented to maintain image quality. If these characteristics drift nonuniformly the photoreceptor must be discarded when image artifacts (ghosting) appear. Photosensitivity. The sensitivity of the photoreceptor to light, often expressed as the energy needed to produce a defined decrease in surface potential, must be mated with the exposure used in the process in terms of exposure energy and wavelength. This has been achieved by the synthesis and pigment form manipulation of charge-generation materials. Today’s high-sensitivity photoreceptors can approach a quantum efficiency of unity for charge generation (at a typical applied field of ≈ 20 V/cm). But, most importantly photoreceptors with lower sensitivity can be fabricated depending on the needs of the imaging system. Photodischarge rate (switching time). The time it takes for photogenerated carriers to transit the device limits the printing process speed. With the synthesis of highly stable hole-transport materials and the principle of molecularly doped polymers it has been possible to prepare devices with hole transit times that permit printing speeds approaching 200 pages/min. Mechanical strength. The surface of the photoreceptor that is exposed to the abrasive process elements
(often the development and cleaning systems), must not wear too rapidly or unevenly and must be stable to crazing or other forms of damage. Typically the CTL is the surface layer, and considering that it is typically a polymer with as much as 50% by weight of charge-transport molecules, it is remarkable that materials can be chosen such that significant wear occurs only after tens of thousands of cycles. Where warranted, very tough protective overcoats have been developed which have little effect on the overall OPC device performance. Today multilayer organic photoreceptors that meet all of the requirements listed above are made commercially on a huge scale. This success is due in large measure to the skill of the scientists and engineers who design the materials and processes for photoreceptor fabrication, and who then integrate it into the electrophotographic printing system such that acceptable and consistent image quality is obtained for a photoreceptor life, which is routinely 200 000 imaging cycles or longer if protective overcoat layers are used. This is an amazing story, an organic photoreceptor device with adjustable sensitivity at any desired wavelength from the visible to the near-infrared, with stable dark and photoelectrical characteristics over many thousands of imaging cycles, such that the characteristics of a 40-µm pixel area are identical over an area which might be greater than 1000 cm2 .
References 39.1 39.2
Part D 39
39.3 39.4
39.5
39.6
39.7
J. Mort, D. M. Pai: Photoconductivity and Related Phenomena (Elsevier, New York 1976) N. V. Joshi: Photoconductivity Art, Science, and Technology (Marcel Dekker, New York 1990) K.-Y. Law: Chem. Rev. 93, 449 (1993) P. M. Borsenberger, D. S. Weiss: Organic Photoreceptors for Xerography (Marcel Dekker, New York 1998) P. M. Borsenberger, D. S. Weiss: Photoreceptors: Organic Photoreceptors. In: Handbook of Imaging Materials, ed. by A. S. Diamond, D. S. Weiss (Marcel Dekker, New York 2002) p. 369 D. S. Weiss, J. R. Cowdery, R. H. Young: Electrophotography. In: Molecular-Level Electronics, Imaging and Information, Energy and Environment, 2, Vol. 5, ed. by V. Balzani (Wiley-VCH, Weinheim 2001) Chap. 2 R. M. Schaffert, C. D. Oughton: J. Opt. Soc. Am. 38, 991 (1948)
39.8 39.9 39.10 39.11 39.12 39.13
39.14 39.15 39.16
J. H. Dessauer, G. R. Mott, H. Bogdonoff: Photogr. Eng. 6, 250 (1955) J. Mort, I. Chen: Appl. Solid State Sci. 5, 69 (1975) J. Mort: The Anatomy of Xerography (McFarland, Jefferson 1989) C. F. Carlson: Electrophotography,US Patent 2 297 691 (1942) I. Chen: J. Imaging Sci. 34, 15 (1990) I. Chen: Nature of Latent Images Formed on Single Layer Organic Photoreceptors. In: Proc. IS&T NIP18: 2002 Int. Conf. Digital Printing Technol. (Society Imaging Science and Technology, Springfield 2002) p. 404 S. Jeyadev, D. M. Pai: J. Imaging Sci. Technol. 40, 327 (1996) D. M. Pai, B. E. Springett: Rev. Mod. Phys. 65, 163 (1993) L. B. Schein: Electrophotography and Development Physics (Laplacian, Morgan Hill 1996)
Organic Photoconductors
39.17 39.18 39.19
39.20
39.21
39.22
39.23
39.24 39.25
39.26 39.27 39.28
39.29 39.30 39.31 39.32
39.34 39.35 39.36 39.37 39.38 39.39
39.40 39.41 39.42 39.43 39.44 39.45 39.46 39.47 39.48 39.49
39.50 39.51 39.52
39.53 39.54 39.55 39.56 39.57 39.58 39.59 39.60 39.61 39.62 39.63 39.64 39.65 39.66
39.67 39.68 39.69 39.70
plications of Organic Electronic Materials, ed. by M. Iwamoto, I. S. Pu, S. Taniguchi (Science Forum, Tokyo 1994) p. 265 C. W. Tang, S. A. VanSlyke: Appl. Phys. Lett. 51, 913 (1987) M. A. Abkowitz, H. Bässler, M. Stolka: Philos. Mag. B 63, 201 (1991) W. D. Gill: J. Appl. Phys. 43, 5033 (1972) M. A. Abkowitz, J. S. Facci, W. W. Limburg, J. Janus: Phys. Rev. B: Cond. Matter 46, 6705 (1992) R. G. Kepler, J. M. Zeigler, L. A. Harrah, S. R. Kurtz: Phys. Rev. B 35, 2818 (1987) M. A. Abkowitz, M. Stolka: Synth. Met. 50, 395 (1992) D. Hertel, H. Baessler, U. Scherf, H. H. Horhold: J. Chem. Phys. 110, 9214 (1999) C. Im, H. Baessler, H. Rost, H. H. Horhold: J. Chem. Phys. 113, 3802 (2000) J. S. Facci, M. A. Abkowitz, W. W. Limburg, D. Renfer, J. Yanus: Mol. Cryst. Liq. Cryst. 194, 55 (1991) J. Michl, J. W. Downing, T. Karatsu, A. J. McKinley, G. Poggi, G. M. Wallraff, R. Sooriyakumaran: Pure Appl. Chem. 60, 959 (1988) R. D. Miller, J. Michl: Chem. Rev. 89, 1359 (1989) M. A. Abkowitz, M. Stolka: Polym. Preprints (ACS Div. Polym. Chem.) 31, 254 (1990) R. D. Miller, J. R. Rabolt, R. Sooriyakumaran, G. N. Fickes, B. L. Farmer, H. Kuzmany: ACS Polym. Preprints 28, 422 (1987) M. A. Abkowitz, M. Stolka: Philos. Mag. Lett. 58, 239 (1988) D. Emin: Adv. Phys. 24, 305 (1975) L. B. Schein, A. Rosenberg, S. L. Rice: J. Appl. Phys. 60, 4287 (1986) L. B. Schein: Molec. Cryst. Liquid Cryst. 183, 41 (1990) H. Bässler: Phys. Status Solidi 175, 15 (1993) H. Baessler, G. Schoenherr, M. A. Abkowitz, D. M. Pai: Phys. Rev. B: Cond. Matter 26, 3105 (1982) P. E. Parris, V. M. Kenkre, D. H. Dunlap: Phys. Rev. Lett. 87, 126601 (2001) D. E. Dunlap, P. E. Parris, V. M. Kenkre: Proc. SPIE 3799, 88 (1999) L. B. Schein: Philos. Mag. B 65, 795 (1992) S. V. Novikov, A. V. Vannikov: J. Phys. Chem. 99, 14573 (1995) S. V. Novikov, D. H. Dunlap, V. M. Kenkre: Proc. SPIE 3471, 181 (1998) D. H. Dunlap: Phys. Rev. B 52, 939 (1995) D. H. Dunlap, P. E. Parris, V. M. Kenkre: Phys. Rev. Lett. 77, 542 (1996) S. V. Novikov, D. H. Dunlap, V. M. Kenkre, P. E. Parris, A. V. Vannikov: Phys. Rev. Lett. 81, 4472 (1998) M. A. Abkowitz: Philos. Mag. B 65, 817 (1992) M. Stolka, M. A. Abkowitz: Synth. Met. 54, 417 (1993) H. J. Yuh, D. Abramsohn, M. Stolka: Philos. Mag. Lett. 55, 277 (1987) F. W. Schmidlin: Phys. Rev. B 16, 2362 (1977)
979
Part D 39
39.33
R. M. Schaffert: Electrophotography (Focal, New York 1975) M. E. Scharfe: Electrophotography Principles and Optimization (Wiley, New York 1984) E. M. Williams: The Physics and Technology of Xerographic Processes (Wiley-Interscience, New York 1984) R. G. Enck, G. Pfister: Amorphous Chalcogenides. In: Photoconductivity and Related Phenomena, ed. by J. Mort, D. M. Pai (Elsevier, New York 1976) p. 215 S. O. Kasap: Photoreceptors: The Chalcogenides. In: Handbook of Imaging Materials, ed. by A. S. Diamond, D. S. Weiss (Marcel Dekker, New York 2002) p. 329 J. Mort: Applications of Amorphous Silicon and Related Materials in Electronic Imaging. In: Handbook of Imaging Materials, ed. by A. S. Diamond, D. S. Weiss (Marcel Dekker, New York 2002) p. 629 R. Joslyn: Photoreceptors: Recent Imaging Applications for Amorphous Silicon. In: Handbook of Imaging Materials, ed. by A. S. Diamond, D. S. Weiss (Marcel Dekker, New York 2002) p. 425 A. Rose: Photoconductivity and Related Processes (Interscience, New York 1963) J. C. Scott, G. S. Lo: Dark Decay in Organic Photoconductors. In: Proc. 6th Int. Symp. Adv. Non-Impact Printing Technol., ed. by R. J. Nash (Society Imaging Science and Technology, Springfield 1991) p. 403 R. H. Bube: Photoelectronic Properties of Semiconductors (Cambridge Univ. Press, Cambridge 1992) S. M. Sze: Physics of Semiconductor Devices, 2 edn. (Wiley, New York 1981) M. A. Abkowitz, M. Stolka: Electronic Transport in Polymeric Photoreceptors: PVK in Polysilylenes. In: Proc. Int. Symp. Polym. Adv. Technol., ed. by M. Lewin (VCH, Weinheim 1988) p. 225 D. M. Pai, J. F. Yanus, M. Stolka: J. Phys. Chem. 88, 4714 (1984) M. Stolka, J. F. Yanus, D. M. Pai: J. Phys. Chem. 88, 4707 (1984) M. Stolka, M. A. Abkowitz: Mater. Res. Soc. Symp. 277, 3 (1992) F. K. Dolezalek: Experimental Techniques. In: Photoconductivity and Related Phenomena, ed. by J. Mort, D. M. Pai (Elsevier, New York 1976) p. 27 A. R. Melnyk, D. M. Pai: Photoconductivity Measurements. In: Physical Methods in Chemistry, ed. by B. W. Rossiter, J. F. Hamilton, R. C. Baetzold (Wiley, New York 1992) p. 321 I. Chen: J. Appl. Phys. 43, 1137 (1972) I. Chen, J. Mort: J. Appl. Phys. 43, 1164 (1972) M. Abkowitz: J. Reinforced Plastics Composites 16, 1303 (1997) M. A. Abkowitz, M. Stolka, M. Morgan: J. Appl. Phys. 52, 3453 (1981) H. Bässler: Phys. Status Solidi (b) 107, 9 (1984) M. Stolka, M. A. Abkowitz: TBD a Contribution to the Success of Organic Materials. In: Practical Ap-
References
980
Part D
Materials for Optoelectronics and Photonics
39.71
Part D 39
M. A. Abkowitz, R. C. Enck: J. Phys. Colloq. C 4(1), 443 (1981) 39.72 M. A. Abkowitz: Characterization of Metal Interfaces to Molecular Media from Analysis of Transient and Steady State Electrical Measurements. In: Conjugated Polymer and Molecular Interfaces, ed. by W. R. Salaneck et al. (Marcel Dekker, New York 2002) p. 545 39.73 M. A. Abkowitz, J. S. Facci, M. Stolka: Chem. Phys. 177, 783 (1993) 39.74 M. A. Abkowitz, J. S. Facci, M. Stolka: Appl. Phys. Lett. 63, 1892 (1993) 39.75 M. A. Lampert, P. Mark: Current Injection in Solids (Academic, New York 1970) p. 17 39.76 P. N. Murgatroyd: J. Phys. D. 3, 151 (1970) 39.77 R. H. Young: Philos. Mag. Lett. 70, 331 (1994) 39.78 M. Pope, C. E. Swenberg: Electronic Processes in Organic Crystals (Clarendon, Oxford 1982) 39.79 Y. Hayashi, M. Kuroda, A. Inami: Bull. Chem. Soc. Jpn. 39, 1660 (1966) 39.80 H. Hoegl: J. Phys. Chem. 69, 755 (1965) 39.81 P. J. Regensburger: Photochem. Photobiol. 8, 429 (1968) 39.82 H. Hoegl, H. G. Barchietto, D. Tar: Photochem. Photobiol. 16, 335 (1972) 39.83 P. J. Melz: J. Chem. Phys. 57, 1694 (1972) 39.84 M. Yokoyama, Y. Endo, H. Mikawa: Bull. Chem. Soc. Jpn. 49, 1538 (1976) 39.85 M. Yokoyama, Y. Endo, A. Matsubara, H. Mikawa: J. Chem. Phys. 75, 3006 (1981) 39.86 M. Yokoyama, S. Shimokihara, A. Matsubara, H. Mikawa: J. Chem. Phys. 76, 724 (1982) 39.87 M. Yokoyama, H. Mikawa: Photogr. Sci. Eng. 26, 143 (1982) 39.88 M. B. O’Regan, P. M. Borsenberger, E. H. Magin, T. Zubil: J. Imaging Sci. Technol. 40, 1 (1996) 39.89 Z. D. Popovic: Carrier Generation Mechanisms in Organic Photoreceptors. In: Proc. 9th Int. Cong. Adv. Non-Impact Printing Technol. (Society Imaging Science and Technology, Springfield 1993) p. 591 39.90 L. Onsager: Phys. Rev. 54, 554 (1938) 39.91 P. M. Borsenberger, A. I. Ateya: J. Appl. Phys. 50, 909 (1979) 39.92 L. Onsager: J. Chem. Phys. 2, 599 (1934) 39.93 C. Braun: J. Chem. Phys. 80, 4157 (1984) 39.94 S. N. Smirnov, C. Braun: J. Imaging Sci. Technol. 43, 425 (1999) 39.95 D. M. Pai: Geminate Recombination in some Amorphous Materials. In: Physics of Disordered Materials, ed. by D. Adler, H. Fritzsche, S. R. Ovshinsky (Plenum, New York 1985) p. 579 39.96 J. Noolandi, K. M. Hong: J. Chem. Phys. 70, 3230 (1979) 39.97 T. Niimi, M. Umeda: J. Appl. Phys. 74, 465 (1993) 39.98 Z. D. Popovic: J. Chem. Phys. 76, 2714 (1982) 39.99 Z. D. Popovic: Chem. Phys. 86, 311 (1984) 39.100 R. C. Hughes: J. Chem. Phys. 58, 2212 (1973)
39.101 J. W. Kerr, G. H. S. Rokos: J. Phys. D: Appl. Phys. 10, 1151 (1977) 39.102 I. Chen: J. Appl. Phys. 49, 1162 (1978) 39.103 W. Mey, E. I. P. Walker, D. C. Hoesterey: J. Appl. Phys. 50, 8090 (1979) 39.104 R. H. Young: J. Appl. Phys. 60, 272 (1986) 39.105 J.-Y. Moisan, B. André, R. Lever: Chem. Phys. 153, 305 (1991) 39.106 A. V. Buettner, W. Mey: Photogr. Sci. Eng. 26, 80 (1982) 39.107 K. Aizawa, M. Takeshima, H. Kawakami: A Study of 1-dot Latent Image Potential. In: Proc. NIP17: Int. Conf. Digital Printing Technol. (Society Imaging Science and Technology, Springfield 2001) p. 572 39.108 T. Iwamatsu, T. Toyoshima, A. Nobuyuki, Y. Mutou, Y. Nakajima: A Study of High Resolution Latent Image Forming and Development. In: Proc. IS&T NIP 15: 1999 Internat. Conf. on Digital Printing Technol. (Society Imaging Science and Technology, Springfield 1999) p. 732 39.109 I. Chen: J. Imaging Sci. Technol. 37, 396 (1993) 39.110 R. M. Schaffert: IBM J. Res. Devel. 15, 75 (1971) 39.111 U. Vahtra, R. F. Wolter: IBM J. Res. Devel. 22, 34 (1978) 39.112 J. M. Pearson: Pure Appl. Chem. 49, 463 (1977) 39.113 R. C. Penwell, B. N. Ganguly, T. W. Smith: J. Polym. Sci., Makromol. Rev. 13, 63 (1978) 39.114 W. D. Gill: Polymeric Photoconductors. In: Photoconductivity and Related Phenomena, ed. by J. Mort, D. M. Pai (Elsevier, New York 1976) p. 303 39.115 M. Hatano, K. Tanikawa: Prog. Organic Coat. 6, 65 (1978) 39.116 F. Li, Y. Li, Z. Guo, Y. Mo, L. Fan, F. Bai, D. Zhu: Solid State Commun. 107, 189 (1998) 39.117 W. J. Dulmage, W. A. Light, S. J. Marino, C. D. Salzberg, D. L. Smith, W. J. Staudenmayer: J. Appl. Phys. 49, 5543 (1978) 39.118 P. M. Borsenberger, A. Chowdry, D. C. Hoesterey, W. Mey: J. Appl. Phys. 49, 5555 (1978) 39.119 J. M. Perlstein: Structure and Charge Generation in Low-Dimensional Organic Molecular SelfAssemblies. In: Electrical Properties of Polymers, ed. by D. A. Seanor (Academic, New York 1982) p. 59 39.120 P. M. Borsenberger, D. C. Hoesterey: J. Appl. Phys. 51, 4248 (1980) 39.121 D. McMurtry, M. Tinghitella, R. Svendsen: IBM J. Res. Devel. 28, 257 (1984) 39.122 J. Pacansky, R. J. Waltman: J. Am. Chem. Soc. 114, 5813 (1992) 39.123 G. DiPaola-Baranyi, C. K. Hsiao, A. M. Hor: J. Imaging Sci. 34, 224 (1990) 39.124 K.-Y. Law, I. W. Tarnawskyj: J. Imaging Sci. Technol. 37, 22 (1993) 39.125 M. Umeda, T. Shimada, T. Aruga, T. Niimi, M. Sasaki: J. Phys. Chem. 97, 8531 (1993) 39.126 K.-Y. Law, I. W. Tarnawskyj, Z. D. Popovic: J. Imaging Sci. Technol. 38, 118 (1994) 39.127 M. Umeda: J. Imaging Sci. Technol. 43, 254 (1999)
Organic Photoconductors
39.147 S. Takano, Y. Mimura, N. Matsui, K. Utsugi, T. Gotoh, C. Tani, K. Tateishi, N. Ohde: J. Imaging Sci. Technol. 17, 46 (1991) 39.148 T. I. Martin, J. D. Mayo, C. A. Jennings, S. Gardner, C. K. Hsiao: Solvent Induced Transformations of the Polymorphs of Oxytitanium Phthalocyanine (TiOPc). In: Proc. IS&T Eleventh Int. Cong. Adv. NonImpact Printing Technol. (Society Imaging Science and Technology, Springfield 1995) p. 30 39.149 Y. Kanemitsu, A. Yamamoto, H. Funada, Y. Masumoto: J. Appl. Phys. 69, 7333 (1991) 39.150 Z. D. Popovic, M. I. Khan, S. J. Atherton, A.M. Hor, J. L. Goodman: Time-Resolved Fluorescence Quenching and Carrier Generation in Titanyl Phthalocyanine (TiOPc)-Humidity Effects. In: Electrical and Related Properties of Organic Solids, ed. by R. W. Munn, A. Miniewicz, B. Kuchta (Kluwer Academic, Dordrecht 1997) p. 207 39.151 Z. D. Popovic, M. I. Khan, A.-M. Hor, J. L. Goodman, J. F. Graham: Study of the Photoconductivity Mechanism in Phthalocyanine Pigment Particles by Electric Field Modulated Time Resolved Fluorescence. In: Proc. IS&Ts NIP19: 2003 Int. Conf. Digital Printing Technol. (Society Imaging Science and Technology, Springfield 2003) p. 687 39.152 R. E. Wingard: IEEE Ind. Appl. 37, 1251 (1982) 39.153 R. B. Champ: SPIE Proc. 759, 40 (1987) 39.154 R. O. Loutfy, C. K. Hsiao, P. M. Kazmaier: Photogr. Sci. Eng. 27, 5 (1983) 39.155 K.-Y. Law: J. Imaging Sci. 31, 83 (1987) 39.156 K.-Y. Law, F. C. Bailey: J. Imaging Sci. 31, 172 (1987) 39.157 R. O. Loutfy, A.-M. Hor, C.-K. Hsiao, G. Baranyi, P. Kazmaier: Pure Appl. Chem. 60, 1047 (1988) 39.158 P. M. Kazmaier, R. Burt, G. Di-Paola-Baranyi and C.-K. Hsiao, R. O. Loutfy, T. I. Martin, G. K. Hamer, T. L. Bluhm, M. G. Taylor: J. Imaging Sci. 32, 1 (1988) 39.159 G. DiPaola-Baranyi, C. K. Hsiao, P. M. Kazmaier, R. Burt, R. O. Loutfy, T. I. Martin: J. Imaging Sci. 32, 60 (1988) 39.160 K.-Y. Law: Chem. Mater. 4, 605 (1992) 39.161 K. Sakanoue, M. Motoda, M. Sugimoto, S. Sakaki: J. Phys. Chem. 103, 5551 (1999) 39.162 P. M. Borsenberger, E. H. Magin, M. Van der Auweraer, F. C. De Schryver: Phys. Stat. Sol. (a) 140, 9 (1993) 39.163 P. M. Borsenberger, R. Richert, H. Bässler: Phys. Rev. B 47, 4289 (1993) 39.164 M. Van der Auweraer, F. C. De Schryver, P. M. Borsenberger, H. Bässler: Adv. Mater. 6, 199 (1994) 39.165 P. M. Borsenberger, H. Bässler: Phys. Status Solidi (b) 170, 291 (1992) 39.166 A. Dieckmann, H. Bässler, P. M. Borsenberger: J. Chem. Phys. 99, 8136 (1993) 39.167 P. M. Borsenberger, J. J. Fitzgerald: J. Phys. Chem. 97, 4815 (1993) 39.168 R. H. Young: Philos. Mag. B 72, 435 (1995) 39.169 A. Fujii, T. Shoda, S. Aramaki, T. Murayama: J. Imaging Sci. Technol. 43, 430 (1999)
981
Part D 39
39.128 M. Umeda, T. Niimi, M. Hashimoto: Jpn. J. Appl. Phys. 29, 2746 (1990) 39.129 T. Niimi, U. Umeda: J. Appl. Phys. 76, 1269 (1994) 39.130 K. Takeshita, Y. Sasaki, T. Shoda, T. Murayama: Time-Resolved Absorption Study on the Photocarrier Generation Process in Layered Organic Photoreceptors: A role of Delocalized Holes in Photocarrier Generation. In: Proc. IS&T NIP19: 2003 Int. Conf. Digital Printing Technol. (Society Imaging Science and Technology, Springfield 2003) p. 683 39.131 P. J. Melz, R. B. Champ, L. S. Chang, C. Chiou, G. S. Keller, L. C. Liclican, R. R. Neiman, M. D. Shattuck, W. J. Weiche: Photogr. Sci. Eng. 21, 73 (1977) 39.132 T. Murayama: The Design of High Performance Organic Photoconductors. In: Proc. IS&T NIP17: Int. Conf. Digital Printing Technol. (Society Imaging Science and Technology, Springfield 2001) p. 557 39.133 M. Umeda, M. Hashimoto: J. Appl. Phys. 72, 117 (1992) 39.134 Y. Fujimaki, H. Tadokoro, Y. Oda, H. Yoshioka, T. Homma, H. Moriguchi, K. Watanabe, A. Konishita, N. Hirose, A. Itami, S. Ikeuchi: J. Imaging Technol. 17, 202 (1991) 39.135 E. H. Magin, P. M. Borsenberger: J. Appl. Phys. 73, 787 (1993) 39.136 Z. D. Popovic, R. Cowdery, I. M. Khan, A.-M. Hor, J. Goodman: J. Imaging Sci. Technol. 43, 266 (1999) 39.137 R. O. Loutfy, A. M. Hor, P. Kazmaier: Properties and Application of Organic Photoconductive Materials: Molecular Design of Organic Photoconductive Polycyclic Aromatic Diimides Compounds. In: Proc. 32nd Symp. Macromolecules, ed. by T. Saegusa, T. Higashimura, A. Abe (Blackwell Scientific, Oxford 1988) p. 437 39.138 R. O. Loutfy, A. M. Hor, P. Kazmaier, M. Tam: J. Imaging Sci. 33, 151 (1989) 39.139 Z. D. Popovic, A.-M. Hor, R. O. Loutfy: Chem. Phys. 127, 451 (1988) 39.140 J. M. Duff, G. Allen, A.-M. Hor, S. Gardner: Synthesis, Spectroscopy and Photoconductivity of Dimetric Perylene Bisimide Pigments. In: Proc. IS&T NIP15: 1999 Int. Conf. Digital Printing Technol. (Society Imaging Science and Technology, Springfield 1999) p. 655 39.141 A. Kakuta, Y. Mori, S. Takano, M. Sawada, I. Shibuya: J. Imaging Sci. Technol. 11, 7 (1985) 39.142 A. Shimada, M. Anzai, A. Kakuta, T. Kawanishi: IEEE Trans. Ind. Appl. 1A23, 804 (1987) 39.143 Y. Kanemitsu, S. Imamura: J. Appl. Phys. 67, 3728 (1990) 39.144 T. Enokida, R. Hirohashi, S. Mizukami: J. Imaging Sci. 35, 235 (1991) 39.145 K. Daimon, K. Nukada, Y. Sakaguchi, R. Igarashi: J. Imaging Sci. Technol. 40, 249 (1996) 39.146 T. Enokida, R. Hirohashi, T. Nakamura: J. Imaging Sci. 34, 234 (1990)
References
982
Part D
Materials for Optoelectronics and Photonics
39.170 T. Shoda, T. Murayama, A. Fujii: Relationship Between Molecular Properties of Hole Transport Molecules and Field Dependence of Hole Mobility. In: Proc. NIP17: Int. Conf. Digital Printing Technol. (Society Imaging Science and Technology, Springfield 2001) p. 550 39.171 P. M. Borsenberger, W. T. Gruenbaum: J. Polym. Sci.: Polym. Phys. 34, 575 (1996) 39.172 P. M. Borsenberger, E. H. Magin, M. R. Detty: J. Imaging Sci. Technol. 39, 12 (1994) 39.173 P. M. Borsenberger, H.-C. Kan, E. H. Magin, W. B. Vreeland: J. Imaging Sci. Technol. 39, 6 (1995) 39.174 P. M. Borsenberger, W. T. Gruenbaum, M. B. O’Regan, L. J. Rossi: J. Polym. Sci.: Polym. Phys. 33, 2143 (1995)
39.175 W. T. Ferrar, D. S. Weiss, J. R. Cowdery-Corvan, L. G. Parton: J. Imaging Sci. Technol. 44, 429 (1999) 39.176 X. Jin, D. S. Weiss, L. J. Sorriero, W. T. Ferrar: J. Imaging Sci. Technol. 47, 361 (2003) 39.177 D. S. Weiss, J. R. Cowdery, W. T. Ferrar, R. H. Young: J. Imaging Sci. Technol. 40, 322 (1996) 39.178 N.-J. Lee, H.-R. Joo, K.-Y. Yon, Y. No: Development of Positive Charging Multi-Layered Organic Photoconductor for Liquid Electrophotographic Process. In: Proc. IS&T NIP19: 2003 Int. Conf. Digital Printing Technol. (Society Imaging Science and Technology, Springfield 2003) p. 670 39.179 Y. C. Chan, X. S. Miao, E. Y. B. Pun: J. Mater. Res. 13, 2042 (1998)
Part D 39
983
Luminescent 40. Luminescent Materials
This chapter surveys the field of solid-state luminescent materials, beginning with a discussion of the different ways in which luminescence can be excited. The internal energy-level structures of luminescent ions and centres, particularly rareearth ions, are then discussed before the effects of the vibrating host lattice are included. Having set the theoretical framework in place, the chapter then proceeds to discuss the specific excitation process for photo-stimulated luminescence and thermally stimulated luminescence before concluding by surveying current applications, including plasma television screens, long-term persistent phosphors, X-ray storage phosphors, scintillators, and phosphors for white LEDs.
40.1.3 s2 Ions ..................................... 987 40.1.4 Semiconductors ........................ 987 40.2 Interaction with the Lattice.................. 987 40.3 Thermally Stimulated Luminescence ...... 989 40.4 Optically (Photo-)Stimulated Luminescence ..................................... 990 40.5 Experimental Techniques – Photoluminescence ............................. 991 40.6 Applications ........................................ 40.6.1 White Light-Emitting Diodes (LEDs) ...................................... 40.6.2 Long-Persistence Phosphors ....... 40.6.3 X-Ray Storage Phosphors ........... 40.6.4 Phosphors for Optical Displays .... 40.6.5 Scintillators ..............................
992 992 992 993 994 994
40.1 Luminescent Centres ............................ 985 40.1.1 Rare-Earth Ions ........................ 985 40.1.2 Transition-Metal Ions ................ 986
40.7 Representative Phosphors .................... 995
Luminescent materials are substances which convert an incident energy input into the emission of electromagnetic waves in the ultraviolet (UV), visible or infrared regions of the spectrum, over and above that due to
black-body emission. A wide range of energy sources can stimulate luminescence, and their diversity provides a convenient classification scheme for luminescence phenomena, which is summarised in Table 40.1. Pho-
References .................................................. 995
Table 40.1 Types of luminescence Excitation
Trigger
Acronym
Photoluminescence
UV, visible photons X-ray, gamma rays, charged particles Energetic electrons Electric field Photons, charged particles Photons, charged particles
–
PL
–
RL
–
CL
– Heat
EL TSL
Visible/IR photons
OSL, PSL
Radioluminescence
Cathodoluminescence Electroluminescence Thermoluminescence
Optically/photo-stimulated luminescence
Part D 40
Designation
986
Part D
Materials for Optoelectronics and Photonics
Part D 40.1
tion of one electron to the 5d state, giving an overall 4fn−1 5d1 configuration; more generally it could be a socalled charge transfer band, which corresponds to the transfer of one electron from the ligands to the luminescent ion. The relative location and importance of these bands varies with the luminescent ion and the crystalline environment, but they play an important role in the excitation of luminescence. Excitation and luminescence transitions within the various levels in Fig. 40.2 are governed by the golden rule of quantum mechanics [40.5] for interactions with the electromagnetic field; in summary the probability of a transition between two states i and j is proportional to square of the matrix element < i|H| j >, where H is the time-dependent perturbation Hamiltonian representing the interaction of the electrons with the electromagnetic field. The proportionality constant contains the light intensity in the case of excitation. The perturbation can be expanded in a power series involving electric and magnetic multipoles of the electronic system, but of these the electric dipole term is dominant, with the magnetic dipole term being much smaller by a factor of more than five orders of magnitude. Since the electric dipole operator er has odd parity, the matrix element for transitions rij =< i|er| j > is necessarily zero unless i and j have opposite parity. This is the most important selection rule governing luminescence: transitions between states of the same parity have zero transition probability and so are forbidden (Laporte’s rule). In the case of the rare earths, all states of a single 4fn configuration have the same parity, and so all optical transitions within the configuration are strictly forbidden. But this rule is relaxed by several considerations. First, if the crystalline environment lacks inversion symmetry, the crystal field admixes a small fraction of the excited configurations (eg 4f1 5d1 for Pr3+ ) of opposite parity into the ground configuration, which makes such transitions weakly allowed. Secondly, the selection rule for magnetic dipole transitions is that they are allowed between states of the same parity, although they are typically about five orders of magnitude weaker than for electric dipole ones. Finally, odd-parity vibrations and an electron–phonon interaction produce a similar configuration admixture effect to static lattice odd-parity mixing although this effect is more important for 3d ions than for 4f ones. With only weak transitions possible within the 4f configuration, one might wonder how it would be possible to optically excite any significant luminescence. The answer lies in the 5d or charge-transfer bands which either lie at higher energies, or overlap with the upper levels of the 4f configuration. These give rise to strong
absorption and efficient pumping. Relaxation can occur via the parity-allowed transitions to the upper levels of the 4f configuration, and from there via single or multiple radiative emissions back to the ground state. Because these intra-configurational transitions are only weakly allowed, the lifetimes are generally quite long, of the order of µs–ms. Figure 40.2 shows some of the observed transitions in the case of the Pr3+ ion. There are further constraints on possible transitions which arise from an analysis of the angular momenta of the initial and final states. For example, a transition between two states both of which have J = 0 is forbidden since there is no angular momentum change as required for a photon; similarly for dipole transitions we require ∆J = 0, ±1. The transition probability per second for spontaneous emission [40.6] is given by 64π 4 ν3 2 rij , (40.3) 3hc3 where ν is the frequency of the transition, h is Planck’s constant, c is the velocity of light, and |rij | is the matrix element of the electric dipole operator erij between the two states i and j, and e is the electronic charge. For absorption, this must be multiplied by N, the mean number of photons with energy hν, which thus incorporates the effect of the incident beam intensity. Experimentally, one measures an absorption coefficient k as a function of energy k(E) [40.6] which is linked to Pij through, 2 2 2 πe h n +2 f ij , (40.4) k(E) dE = Ni nmc 3 Pij =
where n is the refractive index of the crystal environment, m is the electronic mass, Ni is the concentration of the luminescent centres, and f is the oscillator strength for the transition. For both absorption and emission, the dimensionless oscillator strength f ij defined as [40.6] 8π 2 mν 2 (40.5) rij 3h e2 is often quoted to compare the relative transition # probabilities. For an electron harmonic oscillator, f ij = 1, and so oscillator strengths of the order of 0.1–1 are strongly allowed transitions. f ij =
40.1.2 Transition-Metal Ions Transition-metal ions from the 3d series are characterised by a much stronger interaction with the crystalline environment than the 4f ions since there is no equivalent of screening by the 5s, 5p outer shells. In addition, the spin–orbit coupling is weaker, and so the order
Luminescent Materials
of perturbation is reversed: the atomic L, S multiplets are split by the crystal field, with spin–orbit coupling being a smaller interaction. Intra-configurational transitions are again strictly forbidden, but become weakly allowed by inter-configurational mixing through oddparity crystal fields, and by odd-parity vibrations. The result of this is that the strongest selection rule after parity is that transitions should have ∆S = 0, since the electric dipole operator does not involve spin. The other major difference, again due to the strength of the crystal-field interaction, is that transitions which are purely electronic, the so-called zero-phonon lines, are rarely observed. Rather what are seen are broad bands which correspond to the simultaneous excitation of an electronic transition and vibrational transitions, which overlap to give the broad observed bands. In particular, transitions involving odd-parity vibrations have a high transition probability through the effect of configuration admixing. This will be considered in a following section. The most commonly observed luminescent ions are those from the d3 configuration (Cr3+ , Mn4+ ) and from the d5 configuration (Mn2+ ).
40.1.3 s2 Ions The 5s2 (e.g. Sn2+ and Sb3+ ) ions and 6s2 (e.g. Tl+ , Pb2+ , Bi3+ ) ions are of considerable importance because transitions to and from the excited s1 p1 states are Laporte-allowed. The interaction of the p state with the
40.2 Interaction with the Lattice
987
crystalline environment can be very strong, and so broad spectra are often observed.
40.1.4 Semiconductors Luminescence in semiconductors is dominated by nearband-gap luminescence arising from recombination of electrons and holes. This process is most efficient in direct-band-gap materials such as ZnS and GaP rather than indirect-gap materials such as Si and Ge because the transition probability requires conservation of wavevector, but the photon wavevector is ≈ 0 on the scale of the Brillouin zone. Hence creation or destruction of a phonon is required for band-to-band luminescence in indirect-gap materials, which is less probable. The near-edge emission may correspond to luminescence from a variety of shallow energy-level structures such as free or trapped excitons, or from donor–acceptor recombination. These are both example of electronic systems with spatially extensive wavefunctions, in contrast to the atomically localised 3d and 4f wavefunctions considered earlier. However, it is also possible to observe deep-level luminescence from transition-metal ions and rare earths in semiconductors provided that the electron affinities and band-gap energies are such that the pertinent energy levels fall in the band gap. Since semiconductors are discussed elsewhere in this volume, we shall not consider them further here.
40.2 Interaction with the Lattice
Q b = (Z 1 − Z 2 + X 3 − X 4 + Y5 − Y6 )/6 .
(40.6)
If all the other modes have zero amplitude, the ions move radially towards or away from the central luminescent ion. The key point in considering the influence of the crystal lattice is that the vibrational potential energy is just the variation of the electronic energy with ionic displacement, or equivalently with the normal modes (within the spirit of the Born–Oppenheimer approximation). Put another way, the crystal field depends on the ion positions so that the electron and lattice quantum-mechanical systems are linked through this electron–lattice coupling. We can therefore expect a difference in the harmonic vibrational potential from one electronic state to another, so that it will in general have the form (1/2)mωi2 (Q − Q 0i )2 ; i. e. both the magnitude of the potential and the position of the minima Q i0 will depend on the electronic state i. The vibrational states in the harmonic approximation are just the usual simple harmonic oscillator states with energies (n + 1/2)hνι ,
Part D 40.2
For rare-earth ions, the interaction with the vibrations of the crystal lattice can be ignored for most purposes; the observed luminescence spectrum consists of sets of sharp electronic transitions. But for other luminescent ions which interact strongly with the vibrating ions of the surrounding crystal, the incorporation of the latter is critical to explaining the observed spectra. The simplest model of ion–lattice interactions is to consider only the N nearest neighbour ions and their atomic displacements X n , Yn , Z n , (n = 1, N) in Cartesian coordinates. The vibrational Hamiltonian involves cross terms in these coordinates, but may be transformed to harmonic form if symmetry-adapted forms of these coordinates (normal modes) are used instead of the actual displacements. For example, the so-called breathing mode Q b , for an octahedrally coordinated ion takes the form
Luminescent Materials
40.5 Experimental Techniques – Photoluminescence
991
40.5 Experimental Techniques – Photoluminescence generations of GaN/GaInN blue/violet/UV laser diodes. For rare-earth spectroscopy, or other systems which are characterised by narrow absorption lines, it is very useful to have a scanning dye laser as the excitation source. This selective excitation facility enables tagging of particular luminescent levels with excited states belonging to the same centre, so that a picture of the energy-level structure of each luminescent centre can be built up in cases where several such centres contribute to the overall luminescence. For decay kinetics on faster time scales, fluorimeters such as those developed initially by the Spex company (now Horiba) use a fast modulator and phase-sensitive detection to measure the phase shift between fluorescence and excitation; it is claimed that fluorescence decays can be measured with a resolution of 25 ps this way. An alternative is the time-correlated single-photoncounting technique which can measure decay constants in the ps–ns range. In this method, the excitation comes from a fast laser pulse, and the light level reaching the photomultiplier or micro-channel plate detector is reduced to such a low level that less than one photon per excitation pulse is detected. The time delay between the photon detection and the time of the pulse is measured, and a histogram produced of numbers of detected photons versus arrival time taken over a large number of excitation pulses. For efficient data collection, a high repetition rate and fast-pulse laser are required, often a Ti–sapphire laser. The wavelength for Ti–sapphire is too large for stimulating many materials directly with single-photon excitation, but stimulation is nonetheless possible by a two-photon excitation process, or by the use of a nonlinear crystal acting as a frequency doubler to produce laser output at one half the wavelength of the basic laser. There are a number of specialist techniques, such as hole-burning, fluorescence line-narrowing, and photon echo methods associated with the use of lasers with either very narrow line widths or short pulse duration which have developed in a parallel way to techniques first introduced in nuclear magnetic resonance, and which are mainly used to investigate the dynamics and quantum mechanics of the luminescent species rather than the material in which they are contained. Meijerink gives a review of experimental luminescence techniques [40.1] which includes a short discussion of these specialist techniques.
Part D 40.5
A typical traditional photoluminescence measuring system involves a broad-spectrum source, either a combined tungsten filament for the visible spectrum and deuterium lamp for the UV, or a xenon flash lamp. The lamp emission is passed through a grating monochromator and so selectively excites the luminescence. Band-pass or band-edge filters are generally required to eliminate unwanted second- and higher-order diffraction maxima from the grating. The luminescence is efficiently gathered by low-f/number optics and fed to a second grating monochromator, also equipped with filters, to monitor and analyse the luminescence. The final detector may be a photomultiplier, or preferably a charge-coupled device or photodiode array for improved data collection efficiency at multiple wavelengths. This arrangement relies on good monochromation/filtering to remove what is sometimes a relatively strong component of scattered light from the beam analysed by the emission monochromator. An alternative method of removing scattered light is to use time discrimination, by replacing the source by a xenon flash lamp (for example, as in the common Perkin Elmer LS55B luminescence spectrometer). The flash has a duration of about 10 µs, so any scattered light has decayed away to an insignificant level when the emitted beam is sampled some time (0.1–10 ms) after the flash. A timed electronic gate is used to sample the emission immediately after a flash and just before the next flash; the difference between these two sampled signals gives the short-term luminescence whilst the second sample alone gives the long-term luminescence with long and short being relative to the flash repetition period. Of course, luminescence with lifetimes shorter than the pulse width (≈ 10 µs) cannot be readily measured with this system. The luminescence intensity is normalised with respect to the excitation intensity by steering a sample of the excitation beam to a rhodamine dye cell which has a quantum efficiency of essentially unity for wavelengths below about 630 nm. The fluorescence from the dye is measured with a second photomultiplier. To minimise the effect of scattered light, a conventional laser with its intrinsically narrow linewidth and high intensity is a very convenient replacement for a broad-spectrum lamp, but suffers from the disadvantage of a fixed wavelength. Typical lasers of interest are nitrogen (pulsed), argon (UV lines, or frequency-doubled visible lines), krypton, and the new
994
Part D
Materials for Optoelectronics and Photonics
The columnar structure has a light-guiding property, restricting the scattering effect, and improving the resolution. A second development is that of glass-ceramic storage phosphors, where PSL active crystals are embedded in a glass [40.19–21]; the combination of particle size, separation and refractive-index mismatch means that these composite materials are semitransparent and the problem of scattering of read-out light is reduced. Figure 40.10 shows an image of a BC549 transistor recorded in a glass-ceramic X-ray storage phosphor.
40.6.4 Phosphors for Optical Displays
Part D 40.6
There are several new technologies being developed to replace cathode ray tubes for domestic televisions, including plasma display panels (PDPs). In these units, each pixel is a sealed cell containing a mixture of Xe and Ne in a dielectric-shielded electrode structure (for a review, see Boeuf [40.22]). An alternating current (AC) voltage applied between the electrodes results in a glow discharge being set up in the gas, and a Xe dimer vacuum UV (VUV) emission predominantly between 147 and 190 nm occurs. (In comparison, the mercury discharge in a conventional fluorescent tube emits primarily at 254 nm.) The UV discharge excites red, blue, or green phosphors coated on one of the cells; each colour is activated by an adjacent electrode. The requirements for efficient output from these phosphors differ from conventional tubes since the latter were chosen on the basis of their luminescence efficiency at a 254-nm pump wavelength, and their resistance to degradation by the UV light and chemical attack by Hg+ ions. These requirements are evidently different for the PDP technology; in addition the phosphors must have a significant reflection coefficient in the visible to optimise the light output [40.23], and the surface quality is of greater significance due to the short penetration depth of the VUV. The phosphors which have been used so far include BaMgAl10 O17 :Eu2+ (blue), Zn2 SiO4 :Mn2+ (green), and (Y, Gd)BO3 :Eu3+ and Y2 O3 :Eu3+ (red). The blue phosphor is prone to degradation. The widespread introduction of Xe excimer excitation in PDPs can be expected to stimulate applications in other lighting technologies. In this regard, the possibility of so-called quantum cutting is of much interest. This recognises that the energy of a VUV photon is equivalent to two or more visible photons, so that quantum efficiencies in excess of 100% can in principle be achieved. The difficulty lies in finding a luminescent ion system whose energy-level system provides for both efficient pumping and two-photon luminescence in the visible. One
example which has been reported to have a quantum efficiency of up to ≈ 145% is Pr3+ in YF3 and other hosts [40.24, 25], where the excitation is through the allowed 4f 2 → 4f 1 5d1 or host transitions. The system then decays to the 1 S0 excited state of the 4f2 configuration from which two-photon decay is possible through successive 1 S0 →1 I6 and 3 P0 →3 HJ , 3 FJ transitions, as shown in Fig. 40.2. (The intermediate step from 1 I6 to 3 P is provided by a nonradiative transition.) A diffi0 culty is that the photon for the first transition is in the UV region of the spectrum, and so it is necessary to incorporate a second luminescent ion pumped by this transition to convert the UV to visible output, and the visible quantum efficiency is necessarily reduced.
40.6.5 Scintillators Although semiconductor detectors of ionising radiation are making increasing inroads into the particle detection market, traditional scintillators are still widely used and are indispensable for some applications. The operating principle is that an incident gamma ray creates a large number of electron–hole pairs in the scintillating material directly or indirectly through the photoelectric effect, Compton scattering, or pair production, and that some of the energy of recombination appears as photon emission from luminescent ions. Charged particles such as protons produce electron–hole pairs through the Coulomb interaction with the band electrons. The scintillation or multiphoton bursts which signal the event is detected by a photomultiplier, and the height of the output pulse from the photomultiplier is proportional to the energy of the particle. A pulse-height analyser sorts the pulses according to energy, and so an energy spectrum can be obtained. Key figures of merit for a scintillator material are the numbers of photons per MeV of particle energy, the radiative lifetime of the luminescent ion (since possible pulse overlap limits the maximum count rate which 4 , which can be measured), and the weighted density ρZ eff reflects the gamma sensitivity. (Here Z eff is the effective atomic number.) A recent review of scintillators has been given by van Eijk [40.26]. The most widely used scintillator for many years has been NaI:Tl, but many different scintillators are being investigated, driven by the need for improved performance and lower cost for medical applications such as positron emission tomography (PET) and single-photon emission computed tomography (SPECT), and for large-scale elementary-particle facilities such as those at the Centre Européen pour la Recherche Nucléaire (CERN). In the latter regard, the Crystal Clear collaborative project and other programs
Luminescent Materials
have resulted in several new materials such as LaBr3 , LaCl3 , Lu2 SiO5 , Gd2 SiO5 , and LuAlO3 , all Ce-doped, and undoped Bi4 Ge3 O12 and PbWO4 , with typical performance figures of 10 000–50 000 photons/MeV and lifetimes of 10–50 ns. For gamma spectroscopy the pulse-height resolution is critical and LaBr3 :Ce has twice the resolution of NaI:Tl. The fastest scintillators
References
995
are based on core-valence luminescence where a hole created in a core level recombines with an electron in the valence band. For example, BaF2 shows this crossluminescence effect with a lifetime as short as 600 ps. The effect is only shown by materials with a core-valence (CV) band energy gap less than the usual band gap, otherwise CV luminescence is absorbed.
40.7 Representative Phosphors To conclude, we present in Table 40.2 a list of several luminescent materials of practical significance. The table is intended to be representative rather than comprehen-
sive. It is noticeable from the table that just a few ions are responsible for a large number of applications, and primarily as oxides.
Table 40.2 Some luminescent materials of practical significance Host
Dopants
Colour
Excitation
Application
Bi4 Ge3 O12 ZnS Zn0.68 Cd0.32 S Y3 Al5 O12 Gd2 SiO5 ZnS BaFBr BaMgAl10 O17
– Ag+ Ag+ Ce3+ Ce3+ Cu+ Eu2+ Eu2+
Blue Blue Green Yellow UV Green UV/blue Blue
Ionising radiation Electrons Electrons Blue, violet Ionising radiation Electrons X-rays UV
Sr3 SiO5 SrGa2 S4 SrAl2 O4 CaAl2 O4 Y2 O3
Eu2+ Eu2+ Eu2+ , Dy3+ Eu2+ , Nd3+ Eu3+
Blue Green Green Blue Red
UV UV UV, violet UV, violet Electrons, UV
Sr2 SiO4 (Y, Gd)BO3 Y2 O3 SrY2 S4 LiF ZnS Zn2 SiO4 CeMgAl11 O19
Eu3+ Eu3+ Eu3+ Eu3+ Mg2+ and Ti4+ Mn2+ Mn2+ Tb3+
Yellow Red Red Red UV//blue Yellow Green Green
UV UV UV UV Ionizing radiation Electric field UV UV
Scintillator Colour TV screens Colour TV screens White LED Scintillator Colour TV screens X-ray imaging fluorescent lamps, plasma displays White LED White LED Persistent phosphor Persistent phosphor Colour TV screens, fluorescent lamps White LED Plasma displays Plasma displays White LED TL dosimetry Panel displays Plasma displays Fluorescent lamps
References
40.2
D. J. Vij: Luminescence of Solids (Plenum, New York 1998) G. Blasse, B. C. Grabmeier: Luminescent Materials (Springer, Berlin, Heidelberg 1994)
40.3 40.4
G. H. Dieke: Spectra and Energy Levels of Rare Earth Ions in Crystals (Interscience, New York 1968) W. T. Carnall, G. L. Goodman, K. Rajnak, R. S. Rana: J. Chem. Phys. 90, 343 (1989)
Part D 40
40.1
996
Part D
Materials for Optoelectronics and Photonics
40.5 40.6 40.7 40.8 40.9
40.10 40.11
40.12 40.13 40.14 40.15
E. Merzbacher: Quantum Mechanics (Wiley, New York 1970) D. Curie: Luminescence in Crystals (Methuen, London 1962) S. W. S. McKeever: Thermoluminescence of Solids (Cambridge Univ. Press, Cambridge 1985) G. Kitis, J. M. Gomex-Ros, J. W. N. Tuyn: J. Phys. D. 31, 2636–2641 (1998) L. Botter-Jensen, S. W. S. McKeever, A. G. Wintle: Optically Stimulated Luminescence Dosimetry (Elsevier, Amsterdam 2003) J. K. Park, C. H. Kim, H. D. Park, S. Y. Choi: Appl. Phys. Lett. 84, 1647–1649 (2004) S. Ken, O. Koji, K. Naoki, O. Masakazu, T. Daiichiro, H. Naoto, Y. Yominobu, X. Rong-Jun, S. Takayuki: Opt. Lett. 29, 2001–2003 (2004) P. L. Kim, P. E. Jeon, Y. H. Park, J. C. Choi, L. P. Park: Appl. Phys. Lett. 85, 3696–3698 (2004) D. Jia, R. S. Meltzer, W. M. Yen: Appl. Phys. Lett. 80, 1535–1537 (2002) D. Jia, X. J. Wang, E. van der Kolk, W. M. Yen: Opt. Commun. 204, 247–251 (2002) T. Aitasalo, A. Durygin, J. Holsa, J. Niittykoski, A. Suchocki: J. Alloys Comp. 380, 4–8 (2004)
40.16 40.17 40.18
40.19
40.20
40.21 40.22 40.23 40.24 40.25 40.26
S. Schweizer: Phys. Status Solidi 187, 335–393 (2001) J. A. Rowlands: Phys. Med. Biol. 47, R123–R166 (2002) P. Hackenschmied, G. Schierning, A. Batentschuk, A. Winnacker: J. Appl. Phys. 93, 5109–5113 (2003) S. Schweizer, L. Hobbs, M. Secu, J.-M. Spaeth, A. Edgar, G. V. M. Williams: Appl. Phys. Lett. 83, 449–451 (2003) M. Secu, S. Schweizer, A. Edgar, G. V. M. Williams, U. Rieser: J. Phys. C: Condens. Matter 15, 1–12 (2003) A. Edgar, G. V. M. Williams, S. Schweizer, M. Secu, J.-M. Spaeth: Curr. Appl. Phys. 4, 193–196 (2004) J. P. Boeuf: J. Phys. D 36, R53–R79 (2003) H. Bechtel, T. Juestel, H. Glaeser, D. U. Wiechert: J. Soc. Inform. Display 10, 63–67 (2002) S. Kuck, I. Sokolska, M. Henke, M. Doring, T. Scheffler: J. Lumin. 102-103, 176–181 (2003) A. B. Vink, P. Dorenbos, C. W. E. Van Eijk: J. Solid State Chem. 171, 308–312 (2003) C. W. E. Van Eijk: Nuclear Instruments and Methods in Physics Research A 460, 1–14 (2001)
Part D 40
997
Photonic crystals offer a well-recognized ability to control the propagation of modes of light in an analogous fashion to the way in which nanostructures have been harnessed to control electron-based phenomena. This has led to proposals and indeed demonstrations of a wide variety of photonic-crystal-based photonic devices with applications in areas including communications, computing and sensing, for example. In such applications, photonic crystals can offer both a unique performance advantage, as well as the potential for substantial miniaturization of photonic systems. However, as this review outlines, two-dimensional (2-D) and three-dimensional (3-D) structures for the spectral region covering frequencies from the ultraviolet to the near-infrared (≈ 2 µm) are challenging to fabricate with appropriate precision, and in a cost-effective and also flexible way, using traditional methods. Naturally, a key concern is how amenable a given approach is to the intentional incorporation of selected defects into a particular structure. Beyond passive structures, attention turns to so-called active photonic crystals, in which the response of the photonic crystal to light can be externally changed or
Photonic crystals (PCs) are periodic, dielectric, composite structures in which the interfaces between the dielectric media behave as light-scattering centers. PCs consist of at least two component materials having different refractive indices, and which scatter light due to their refractive-index contrast. The one, two, or threedimensional (1-D, 2-D, or 3-D) periodic arrangement of the scattering interfaces may, under certain conditions, prevent light with wavelengths comparable to the periodicity dimension of the PC from propagating through the structure. The band of forbidden wavelengths is commonly referred to as a photonic band gap (PBG). Thus, PCs are also commonly referred to as photonic-band-gap (PBG) structures.
41.1
PC Overview ........................................ 998 41.1.1 Introduction to PCs .................... 998 41.1.2 Nano-Engineering of PC Architectures..................... 999 41.1.3 Materials Selection for PCs .......... 1000
41.2
Traditional Fabrication Methodologies for Static PCs ....................................... 1001 41.2.1 2-D PC Structures....................... 1001 41.2.2 3-D PC Structures....................... 1007
41.3
Tunable PCs......................................... 1011 41.3.1 Tuning the PC Response by Changing the Refractive Index of the Constituent Materials ....... 1011 41.3.2 Tuning PC Response by Altering the Physical Structure of the PC .................................. 1012
41.4 Summary and Conclusions .................... 1014 References .................................................. 1015
tuned. This capability has widespread potential in planar lightwave circuits for telecommunications, where it offers mechanisms for selective switching, for example. This review discusses alternative proposals for tuning of such photonic crystals.
PCs have great potential for providing new types of photonic devices. The continuing demand for photonic devices in the areas of communications, computing, and signal processing, using photons as information carriers, has made research into PCs an emerging field with considerable resources allocated to their technological development. PCs have been proposed to offer a means for controlling light propagation in submicron-scale volumes – the photon-based equivalent of a semiconductor chip – consisting of optical devices integrated together onto a single compact circuit. Proposed applications of PCs for the telecommunication sector include optical cavities, high-Q filters, mirrors, channel add/drop filters, superprisms and com-
Part D 41
Nano-Engine
41. Nano-Engineered Tunable Photonic Crystals in the Near-IR and Visible Electromagnetic Spectrum
998
Part D
Materials for Optoelectronics and Photonics
Part D 41.1
pact waveguides for use in so-called planar lightwave circuits (PLCs). Practical applications of PCs generally require manmade structures, as photonic devices are designed primarily for light frequencies ranging from the ultraviolet to the near-IR regime (i. e., ≈ 100 nm to ≈ 2 µm, respectively) and PCs having these corresponding periodicities are not readily available in nature. 1-D PCs in this wavelength range may be easily fabricated using standard thin-film deposition processes. However, 2-D and 3-D PC structures are significantly more difficult to fabricate and remain among the more challenging nanometer-scale architectures to realize with cost-effective and flexible patterning using traditional fabrication methodologies. Recently, there has been considerable interest in PC-based devices that has driven advanced fabrication technologies to the point where techniques are now available to fabricate such complex structures reliably on the laboratory scale. In addition to traditional semiconductor nanostructure patterning methods based on advanced patterning/etching techniques developed by the semiconductor industry, novel synthesis methods have been identified for 2-D and 3-D periodic nanostructured PC arrays. There are several excellent reports reviewing these fabrication techniques in the literature [41.1–4] and this growing field has already
been the subject of numerous recent reviews, special issues, and books in the area of theoretical calculations (both band-structure and application simulations), 2-D PC structures, 3-D PC structures, and opal-based structures [41.5]. Recently, there has been great interest in exploring the use of PCs for active applications in the field of telecommunications, such as in the area of PLCs (e.g., for optical switching). In such applications, the PC properties should be adjustable to create tunable photonic band gaps. This development increases the functionality of all present applications of PCs by allowing the devices in such applications to be adjustable, or tunable. We review recent developments in the engineering of tunable nanometer-scale architectures in 2-D and 3-D. This review aims to organize this ever-changing volume of information such that interested theorists can design structures that may be easily fabricated with certain materials, and such that technologists can try to meet existing fabrication gaps and issues with current systems. The chapter begins with a brief introduction to PCs, followed by general criteria used to determine appropriate methodologies for the nano-engineering of tunable PCs. Finally, overviews of the most common fabrication methods for tunable 2-D and 3-D PCs will be given.
41.1 PC Overview 41.1.1 Introduction to PCs The simplest PC structure is a multilayer film, periodic in 1-D, consisting of alternating layers of material with different refractive indices (Fig. 41.1). Theoretically, this 1-D PC can act as a perfect mirror for light with wavelengths within its photonic band gap, and for light incident normal to the multilayer surface. 1-D PCs are found in nature, as seen for example in the iridescent colors of abalone shells, butterfly wings and some crystalline minerals [41.6], and in manmade 1-D PCs (i. e., also known as Bragg gratings). The latter are widely used in a variety of optical devices, including dielectric mirrors, optical filters and in optical fiber technology. The center frequency and size (i. e., frequency band) or so-called stop band of the PBG depends on the refractive index contrast (i. e., n 1 /n 2 , where n 1 and n 2 represent the refractive index of the first and second material, respectively) of the component materials in the system. Figure 41.1 is an example of a 1-D PC, with a pe-
riodic arrangement of low-loss dielectric materials. This multilayer film is periodic in the z-direction and extends to infinity in the x- and y-directions. In 1-D, a photonic band gap occurs between every set of bands, at either the edge or at the center of the Brillouin zone – photonic band gaps will appear whenever n 1 /n 2 is not equal to unity [41.7]. For such multilayer structures, corresponding photonic band gap diagrams show that, the smaller the contrast, the smaller the band gaps [41.7]. In 1-D PCs, if light is not incident normal to the film surface, no photonic band gaps will exist. It is also important to note tha,t at long wavelengths (i. e., at wavelengths much larger than the periodicity of the PC), the electromagnetic wave does not probe the fine structure of the crystal lattice and effectively sees the structure as a homogeneous dielectric medium. The phenomena of light waves traveling in 1-D periodic media was generalized for light propagating in any direction in a crystal, periodic in all three dimensions, in 1987 when two independent researchers suggested that
Nano-Engineered Tunable Photonic Crystals
clear that the fabrication technique primarily determines the PC structure that can be fabricated, which in turn, determines the PC properties.
41.2 Traditional Fabrication Methodologies for Static PCs Unlike for electrons, where there are natural length scales, for photons there is no such length scale [41.19]. This lack of an absolute length scale ensures that the physics of PCs is scalable: resizing the system rescales the energy in such a way that the spectrum, in units of c/a (where c is the speed of light and a is the lattice parameter of the PC), is independent of the system size [41.19]. Since ω/(2πc/a) = a/λ, it is customary to use such dimensionless units to measure the photon energy [41.19]. Besides spatial scaling, there is also dielectric scaling – that is, there is no fundamental scale for ε. Two systems whose dielectric functions scale by a factor, ε (r) = ε(r)/s2 have spectra that are scaled by the same factor: ω = sω, which means that increasing the dielectric function by a factor of four decreases the photon energy by a factor of two [41.19]. The apparent scalability of PCs is misleading because it suggests that PCs are truly size-independent, which in practice is not the case. As the size of the PC elements decrease, the effect of structural inhomogeneities become increasingly important, leading to inherent size limitations for particular architectures and for particular materials systems. This is most evident in changes in effective refractive index (e.g., due to the formation of inter-granular phases, surface oxides, etc.) and functionality. 2-D systems are increasingly being used for microphotonic applications and consist of periodic repetitions of objects in a 2-D arrangement [41.19]. 3-D systems present a modulation in the third direction, such as a stack of spheres [41.19]. To date, 2-D and 3-D PCs have been fabricated using various approaches, including those based on electron-beam lithography, self-assembly and templating. The stringency of the requirements for a fabrication methodology, are dictated by the application of a given PC, in conjunction with associated requirements for material and architecture. Currently, there remains a great need for fabrication methods for mass production of nanometer-scale PCs, offering flexibility in material composition and design, while overcoming the low throughput of serial lithographic patterning, and the low reproducibility and material
restrictions of self-assembly methods. Many excellent reports reviewing these fabrication techniques are available in the literature [41.1–4]. For readers unfamiliar with traditional PC fabrication techniques, the following sections review typical 2-D and 3-D PC fabrication methods, based on lithography, self-assembly and hybrid techniques.
41.2.1 2-D PC Structures Introduction to 2-D PBG Structures The advantage of the 2-D PC structure is that, due to its planar form, it is easier to fabricate using existing lithographic methods, it retains its original single-crystal properties, and it can be simply integrated into desired PLC designs. However, there are specific design limitations that must be considered for 2-D PC structures. The main problem that has been identified both theoretically and experimentally is diffraction losses in the third dimension (i. e., for light directed out of the plane of the 2-D PC) [41.1, 2]. Calculations often assume a perfect and infinite 2-D material. However, the aspect ratio in experimental structures fabricated using typical micromachining techniques (e.g., reactive-ion etching) tend to be very limited [41.2]. Thus, in order to confine light in the third dimension (i. e., the out-of-plane dimension), the structure must either be large compared to the beam size (i. e., many wavelengths deep), or must be sufficiently confined within a waveguide to experience the full interaction with the periodic lattice [41.1]. As a consequence, 2-D PC structures are now typically fabricated in three principal forms: (1) a 2-D array of air holes in a dielectric (or dielectric pillars of very high aspect ratio in air), (2) a slab waveguide consisting of a 2-D array of holes perforating a thin membrane (with the membrane thickness approximately equal to the hole diameter) and (3) a heterostructure waveguide (i. e., similar to those used for confining light and carriers in semiconductor laser heterostructures) with holes drilled through the heterostructure [41.20]. New fabrication methodologies need to be able to address the fabrication issues for each of these three types of low-loss 2-D PC structures in
1001
Part D 41.2
technology, in which ceramic PCs are relatively easy to fabricate when sol–gel-based infiltration techniques are used (e.g., in 3-D inverse opal fabrication). It is also
41.2 Traditional Fabrication Methodologies for Static PCs
1002
Part D
Materials for Optoelectronics and Photonics
Part D 41.2
order to implement these structures in practical device applications. 2-D Lithographic PC Fabrication Methods The majority of semiconductor PCs are synthesized using the advanced lithographic and etching capabilities developed for the semiconductor microelectronic industry [41.1, 2, 4, 21]. One major benefit of being able to fabricate PCs from single crystals using topdown lithography is that the properties (e.g., nonlinear electro-optic properties) are typically superior to those for polycrystalline structures produced using bottom-up (e.g., template-based) processes [41.22]. Utilizing the former materials enables significant modulation of the optical properties suitable for developing tunable PC devices. Also, in top-down fabrication, the optical and dielectric properties of the bulk material may be characterized before PC fabrication, allowing them to be more effectively modeled after PC fabrication. Indeed, semiconductor-based PBG structures made using lithographic processes tend to be more reproducible than self-assembled PC structures. Most importantly, there is much greater flexibility in the design and implementation of lithographically fabricated structures, and hence to implementing functional devices. Finally, PC-based PLCs are ideal for optical system integration. For high refractive-index contrast (i. e., larger photonic stop bands), the preferred PBG structure is a topologically patterned substrate (i. e., an air–solid PC), which may be fabricated using multistep submicron machining based on lithography. In this process, a radiation-sensitive resist is patterned to transfer structures to the bulk material. The patterned resist is developed (i. e., the properties of the exposed material are changed), followed by etching and/or metal thinfilm deposition to define features in the bulk material. The majority of submicron machining processes involve exposure of the resist with either electromagnetic radiation (e.g., optical, UV or X-ray photons) or charged particles (electrons, low-energy heavy ions, high-energy light ions) [41.23]. Although resist exposure is essentially a surface micromachining technique and therefore planar, various wet and dry etching techniques have been successfully used to produce topologically varying microstructures in the axial direction [41.23]. Similar to all resist-based processes, the resist itself limits the ultimate minimum size and maximum density of nanostructured patterns [41.24, 25], and organic residues (from processes resist) are often sources of contamination [41.26]. Organic resists are chosen as a compromise between sufficient resilience for selected
postprocessing procedures, and having sufficiently high sensitivity and contrast (i. e., a low energy threshold for resist exposure and total resist exposure occurring only above a certain energy threshold with no resist exposure occurring below, respectively) to the incident exposure [41.27] – this tends to be a difficult compromise to satisfy in practice for traditional resist materials. Lithographic methods provide a simple and straightforward approach for the intentional introduction of defects into these 2-D systems (due to the simple surface patterning), and this top-down method may be the only way to retain the bulk-like semiconducting properties that are required for certain functionalities (e.g., such as lasing). Electromagnetic Radiation Patterning. Generally, using electromagnetic radiation to micromachine structures requires masks to expose a resist material in a spatially selective manner, with subsequent development of the exposed resist to produce microstructures. Masks typically incorporate multiple repeat patterns, enabling multiple microstructures to be fabricated in a single exposure, which significantly reduces costs [41.23]. Masks tend to be less useful for patterning with charged particles, since the high energy deposited into the mask during exposure can produce undesirable mask instabilities due to heat expansion, stress and damage [41.23]. Charged-particle micromachining is therefore normally limited to direct-write processes, where a focused charged-particle beam is scanned over a material in a specific pattern to produce microstructures. Such a direct-write process has the advantage of not requiring a mask, but suffers from relatively low throughput as a serial write process, and hence losses its attraction for multiple component production [41.23]. A main consideration of patterning approaches for PC fabrication is the minimum required feature size. Diffraction effects occurring for wavelengths of light below 100 nm impose a fundamental limitation on achievable structure sizes using optical lithography. Micromachined features smaller than about 250 nm are essentially beyond current readily available optical lithography systems [41.23], although recent advances (e.g., in UV lithography) will probably make this size regime achievable in the near future using a parallel patterning processes. Other techniques such as X-ray lithography that are capable of high-volume production, by allowing parallel exposure for larger-area nanometerscale patterning, are hampered by challenges including making suitable high-resolution masks, as well as the significant capital cost required to achieve the high pho-
Nano-Engineered Tunable Photonic Crystals
41.2 Traditional Fabrication Methodologies for Static PCs
Charged-Particle Beams. Limitations on the minimum feature size using traditional photolithography have motivated a number of studies using serial patterning with charged-particle beams (e.g., electron-beam lithography (EBL) or, less commonly, focused ion-beam (FIB) lithography) to obtain the small feature sizes required in PC structures. The advantages of EBL and FIB for defining sub100-nm feature sizes in a mask-less fashion are offset by the fact that high-volume production is commercially impractical using such serial writing techniques [41.23, 28, 29]. Conventional ion implantation, though widely available and robust, has thus far been incapable of patterning densely organized nanostructures due to ion scattering [41.27, 30] and mask limitations [41.31–35]. Another consideration for choosing lithographic techniques for sub-100-nm-scale feature fabrication is that all the steps in this multistep process have their own limitations. Indeed, the finite size of the molecular compound comprising the resist sets the ultimate limit on pattern resolution [41.24, 36]. Since all traditional submicron micromachining techniques except FIB require resist exposure, this is a major factor for determining resolution – for example, the ultimate resolution of EBL is not determined by the electron optical system, which is ≈ 0.1 nm, but by the resolution of the resist and by the subsequent fabrication process [41.37]. Also, electrons in the beam scatter very easily from electrons in the resist material, and both scattered and secondary electrons cause a lateral spread in the patterned material due to diffusion effects (i. e., proximity effects) [41.23]. Thus, the very high spatial resolution achievable at the surface using EBL deteriorates as the beam penetrates into the resist, making EBL unsuitable for high-resolution topographically patterned structures using resist alone [41.23]. The advantage of mask-less processing for high-definition surface structures in resist and the inherently slower processing mean that EBL has been limited to mask-making and direct-writing on wafers for specialized applications such as fabrication of 2-D PCs for research purposes only [41.23]. Currently, the most commonly used method to fabricate 2-D PCs in the optical regime is EBL-based mask processing, combined with etching [41.1]. This approach has been used to pattern different semiconductor materials, including Si [41.4] and GaAs [41.1, 4, 7] (Fig. 41.4). Some detrimental effects arising from dry etching have been reported, including damage and ion
Part D 41.2
ton flux suitable for mass production of nanometer-scale components [41.23].
1003
Fig. 41.4 Example of a 2-D PC structure in GaAs [41.7]. The air hole radius is 122.5 nm, the lattice parameter is 295 nm, and the holes are 600 nm deep
channeling that increase nonradiative recombination in active microstructures [41.1]. Other post-mask procedures used to fabricate 2-D PC structures include vertical selective oxidation and lift-off techniques [41.1]. In vertical selective oxidation, an all solid 2-D PC structure may be fabricated, which maintains the physical integrity of the crystal and allows deposition of electrical contacts afterwards. However, the oxidation process usually also occurs laterally, while typical desired configurations for 2-D PC crystals are structured in the vertical direction. Even with improved vertically selective oxidation techniques, the depth-to-diameter aspect ratios of pillars are around 1 : 1, which is significantly less than the 10 : 1 ratios achieved using dry etching techniques [41.1]. Also, volume shrinkage of up to 13% [41.1] can lead to strain, the formation of micro-cracks and other structural problems. 2-D PC membranes have been fabricated using epitaxial liftoff/substrate removal, but this method is limited to materials with sufficient wet-etch selectivity [41.1]. Despite its extremely low throughput, focused ion beams are presently used for direct, resistless, nanometer-scale surface modification, micromachining, and ion implantation. This is primarily because such patterning cannot be achieved with any other method. However, FIB patterning is inherently slow and expensive, and requires sophisticated optics for ion focusing. Also, the patterning resolution and proximity of nanometerscale features is greatly limited by the significant FIB tail distribution [41.26, 36] and the highly dose-dependent sputtering yield of FIBs [41.38]. The use of FIB patterning has not been widely used to fabricate PCs.
Nano-Engineered Tunable Photonic Crystals
41.2 Traditional Fabrication Methodologies for Static PCs
Nanotemplate type
Alumina
Silicon
Glass
Pore size (nm) Pore density (cm−2 ) Pore arrangement Thickness (nm) Temperature tolerance
1–102
400–104
109 –1012 Pseudo-ordereda 103 < 450 ◦ C
106 – 109 Disordereda 103 < 900 ◦ C
> 10 1010 Ordered 103 < 600 ◦ C
a
May be ordered using pre-patterning processes [41.42, 45]
Some examples of easily available, high-aspect-ratio nanoporous arrays include electrochemically grown porous alumina (nanochannel alumina, or NCA) [41.39], electrochemically grown silicon [41.42] and fiber-pulled nanochannel array glass (Table 41.2) [41.43, 44]. Nanochannel Alumina. NCA may be fabricated eco-
nomically with well-characterized properties. Pseudoordered regions can be easily fabricated using electrochemical etching, compared with porous silicon, which requires back-side illumination in addition to an applied bias. The pore arrangement is completely disordered in silicon if no pre-patterning with optical or EBL is used [41.46]. Aluminium is electrochemically oxidized to alumina (Al2 O3 ) under positive polarization. For over a century, the growth of disordered pore arrangements have been observed and studied for selected electrolytes that weakly dissolve alumina. However, in 1995 it was first discovered that, after an extended period of anodization, self-ordered porous alumina films with pores arranged in a hexagonal pattern are obtained at the growth front [41.39]. Although knowledge of how to fabricate such NCA templates is now widespread, the formation mechanism of hexagonal NCA has still not been completely elucidated. Mechanical stress between neighboring pores due to the volume expansion of alumina with respect to the aluminium substrate has been proposed as a mechanism for the self-ordering [41.46]. Controlled single-domain porous arrays may be obtained by lithographically pre-patterning the aluminium substrate, and applying the optimum potential for the corresponding inter-pore distance [41.47]. Recently, in addition to the hexagonal lattice, square and honeycomb lattices with square or triangular pore shapes have also been obtained by appropriate pre-patterning [41.48]. Extremely high-aspect-ratio NCA templates can be fabricated with periodicities ranging from ≈ 80 to ≈ 600 nm. The domain sizes (i. e., areas with the same orientations) are controlled by the anodization condi-
tions and increase with time to micrometer-scale sizes. The thickness of the NCA template can be controlled by the electrochemical etching time, from ≈ 50 nm to > 10 µm thick. Current Alternative 2-D PC Fabrication Methods. Templating of 2-D Structures. 2-D PCs have been fab-
ricated by templating a secondary material into a PC backbone, that can either remain in the final structure as a composite material or be removed to form a dielectric/air PC structure. In many cases, a dielectric/air PC structure is preferred due to the higher dielectric contrast, but depending on the original PC backbone material, it may not be possible for the secondary materials to be removed after templating. Over the past decade NCA templates have been commonly used to fabricate various nanostructured arrays by either dry etching or by growth through templates [41.45, 49, 50]. This method of using selfassembled templates for nanostructure patterning has been used to pattern physical topology, resulting in either nanostructured hole arrays (e.g., by dry etching through the templates), or growth of nanostructured wire arrays [e.g., by metalorganic chemical vapor deposition (MOCVD), MBE, and chemical-solution deposition]. The effectiveness of NCA as a templating tool results from the fact that the alumina may be easily selectively chemically etched compared with semiconductor materials, and forms a robust mask for dry etching (compared to, for example, patterned resist materials). Combining Self-Organized 2-D Structures with Ion Implantation. Ion-beam techniques may be used to
pattern, for example, sub-100-nm-resolution features on thin membranes, but they are unsuitable for deep sub-surface patterning, particularly at the end of the implantation range. One reason for this is that stencil-type masks need to be used, due to the relatively short range of energetic ions compared to, for example, X-rays, which do not require stencil-type masks [41.24, 27]. In the
Part D 41.2
Table 41.2 Parameters of current high-aspect-ratio 2-D periodic structures [41.42–44, 46]
1005
Nano-Engineered Tunable Photonic Crystals
The choice of wall material depends on the desired optical functionality of the PC, as its properties depend on the transparency region of the dielectric material. The availability of a precursor that can infiltrate the voids between the colloidal spheres without significantly swelling or dissolving the template is critical. For example, high-temperature infiltration techniques exclude the use of polymer templates. The precursor must be carefully chosen to allow for shrinkage occurring during solidification and the final grain size, which affects the smoothness, density, effective refractive index, PC wall structure and the mechanical properties of the final 3-D PC [41.74]. The approach and conditions used for infiltration can affect the filling efficiencies (i. e., the effective wall density) which, in turn, affect the mechanical strength and reduces the average refractive index of the walls [41.74,82]. Choice of template also depends on the method of solidification of the precursor and whether the template can be selectively removed from the wall material, either chemically or by heating during the final fabrication step. Porous structures are produced by the removal of the colloidal templates, either by burning, extracting with a solvent, or, in the case of silica colloids, by dissolving with dilute hydrofluoric (HF) acid. The method of template removal depends on the colloid material and on the properties of the infiltrated precursor. Silica sphere template arrays are removed by dissolution in aqueous HF solutions [41.74,88]. Most metal-oxide inverse opals have typically been synthesized using polymer spheres as templates [41.74]. Polymer sphere template arrays are often removed thermally, with the added benefit of chemically converting the sol–gel precursors in the infiltrated phase to the desired oxide product [41.74, 88]. If precursor solidification is feasible at low temperatures, or if the precursor has components that would be destroyed by thermal template removal, polymer spheres can also be removed chemically using organic solvents, such as toluene, or tetrahydrofuran (THF) and acetone mixtures, or by photodegradation [41.74, 88]. Fabrication of such structures is not trivial: some of the difficulties include incomplete infiltration, structural shrinkage after infiltration, and microstructural variations (affecting the refractive index). A major problem with chemical assembly is that residual disorder drastically reduces the PBG width and the intensity of the reflectance peaks [41.74, 85]. Such disorder can arise from several different sources. Firstly, there are defects in the initial opal template, such as stacking faults or small deviations in sphere size or shape. It is not clear whether the imperfections present in current in-
1009
Part D 41.2
or poly (methyl-methacrylate) (PMMA)] have refractive indices ≈ 1.5, which are too low to obtain a full PBG [41.77–79]. Such structures have weak refractiveindex contrast, but theoretical calculations have shown that the final porosity of the artificial opal is too small to ever obtain a full PBG, even with very high refractiveindex contrast [41.1, 3, 73]. These colloidal structures may also be infiltrated with a second material of higher refractive index to potentially improve the dielectric contrast [41.77, 80], while providing the required dimensional control and low solid fraction [41.74]. So called inverse structures can be formed when the original colloidal structure is removed to leave a macroporous structure. Calculations have shown that these inverse structures give rise to larger PBGs for the same refractive-index contrast [41.81]. The porous materials obtained using this approach have also been referred to as inverse opals or inverted opals because they have an open, periodic 3-D framework complementary to that of the parent opal. Modeling suggests that a porous material consisting of an opaline lattice of interconnected air balls (embedded in an interconnected matrix with a higher refractive index) should produce a full PBG. Optimum photonic effects require that the volume fraction of the matrix material should be 20–30%. Template-based synthesis based on colloids is attractive because the periodicity of this system can be conveniently tuned and a wide variety of materials with relatively high refractive index can be used [41.73]. There have been many examples of inverse opals using materials such as carbon, titania, zirconia, Si and Ge reported in the literature [41.3, 70–74, 82–89] (Fig. 41.9). The inverse structure is made by infiltrating the interstitial void spaces (≈ 26% by volume) between the colloidal spheres in the opaline array with a fluid precursor. The fluid may be a pure liquid (e.g., a liquid metal alkoxide or a molten metal), a solution (e.g., a salt solution), a vapor (e.g., using CVD), or a colloidal dispersion of nanocrystals (e.g., colloidal gold particles) [41.73, 74]. Alternately, suspensions containing nanoparticle precursors (with sizes of ≈ 1–50 nm) and monodisperse spheres can be co-precipitated into an ordered structure [41.73, 74]. The void spaces between the colloidal spheres has also been filled using a variety of materials by electrochemical deposition [41.73]. The fidelity of this procedure is mainly determined by van der Waals interactions, the wetting of the template surface, kinetic factors such as the filling of the void spaces in the template, and the volume shrinkage of precursors during solidification [41.73].
41.2 Traditional Fabrication Methodologies for Static PCs
Nano-Engineered Tunable Photonic Crystals
to leave a 3-D PC formed of cross-linked polymer with air-filled voids [41.102]. This process allows flexible design of the structure of a unit cell and thus of the optical properties of the microstructured material. Of concern is that such structures have relatively weak refractiveindex contrast, and the processing approach is quite demanding [41.62].
41.3 Tunable PCs Although conventional PCs offer an ability to control light propagation or confinement through the introduction of defects, once such defects are introduced, the propagation or confinement of light in these structures is not controllable. Thus, discretionary switching of light, for example, or rerouting of optical signals, is not available with fixed defects in PCs. There are two approaches that have been pursued to tune the properties of PCs: these are by tuning the refractive index of the constituent materials, or by altering the physical structure of the PC. In the latter case, the emphasis has been principally on changing the lattice constant, although other approaches are also relevant (i. e., including for example, the fill factor, structure symmetry and scattering element shape). In the text below, we discuss the state of the art in both of these areas.
41.3.1 Tuning the PC Response by Changing the Refractive Index of the Constituent Materials We discuss recent progress in using four approaches within this category – namely, tuning the PC response by using: (i) light, (ii) applied electric fields, (iii) temperature or electrical field in infiltrated PCs, and (iv) by changing the concentration of free carriers (using electric field or temperature) in semiconductor-based PCs. PC Refractive-Index Tuning Using Light One approach to modifying the behavior of PCs is to use intense illumination of the PC by one beam of light to change the optical properties of the crystal in a nonlinear fashion; this, in turn, can thus control the properties of the PC for another beam of light. An example of a nonlinear effect includes the flattening of the photon dispersion relation near a PBG, which relaxes the constraints on phase matching for second- and third-harmonic generation [41.103]. In addition, light location near defects can enhance a variety of third-order nonlinear processes in 1-D PCs [41.104].
A new approach for PBG tuning has been proposed based on photo-reversible control over molecular aggregation, based on using the photochromic effect in dyes [41.105]. This can cause a reversible change over the photonic stop band. Structures that were studied included opal films formed from 275-nm-diameter silica spheres, infiltrated with photochromic dye: two dyes were considered – namely, 1,3-dihydro-1,3,3-trimethylspiro-[2H-indol-2,3 -[3H]-naphth[2,1-b] [1,4]oxazine] (SP) and cis-1,2-dicyano-1,2-bis(2,4,5-trimethyl-3-trienyl)ethane (CMTE). For the SP dye opal, a reversible 15-nm shift in the reflectance spectrum was observed following UV irradiation, which was ascribed to changes in the reflective index due to resonant absorption near the stop band. Smaller shifts (of about 3 nm) were observed for the CMTE dye opal. The recovery process on cessation of UV illumination was quite slow, taking about 38 s for the SP dye. Nonlinear changes in refractive index have also been studied in PCs consisting of 220-nm-diameter SA (self assembly) polystyrene spheres infiltrated with water [41.106]. In these studies, the optical Kerr effect was used to shift the PBG. 40 GW/cm2 [41.2] of peak pump power at 1.06 µm (35-ps pulses at 10-Hz repetition rate) was used to shift the PBG 13 nm. The large optical nonlinearity originates from the delocalization of conjugated π-electrons along the polymer chains, leading to a large third-order nonlinear optical susceptibility. The time response was measured as a function of the delay time between pump and probe, and confirmed a response time of several picoseconds. PC Refractive-Index Tuning Using an Applied Electric Field There have been a number of studies focusing on using ferroelectric materials to form PCs [41.90,98,107,108]. The application of an electric field to such materials can be used to change the refractive index and tune the PC optical response. For example, lead lanthanum zirconate titanate (PLZT) inverse opal structures have been fabri-
1011
Part D 41.3
on the order of a few micrometers due to focusing and diffraction effects. A 3-D holographic patterning process using multiple laser beams has recently been investigated; a 3-D laser interference pattern exposes a photosensitive polymer precursor (i. e., a photoresist) rendering the exposed areas insoluble and unexposed areas are dissolved away
41.3 Tunable PCs
1012
Part D
Materials for Optoelectronics and Photonics
Part D 41.3
cated by infiltration using 350-nm-diameter polystyrene sphere templates and annealing at 750 ◦ C [41.107]. The films were formed on indium tin oxide (ITO) coated glass to enable electric-field-induced changes in reflectivity to be measured due to the electro-optic effect. Applying voltages of up to about 700 V across films of thickness of about 50 mm only achieved a few nm of peak shift, attributed to the very modest changes in refractive index from the applied field (i. e., from 2.405 to 2.435, as a result of the bias). It should be noted, however, that the intrinsic response of the electrooptic effect in these materials is known to be in the GHz range and hence highly suitable for rapid tuning. Other reports include the formation of inverse opal barium strontium titanate (BST) PCs using infiltration of polystyrene opals [41.90, 98]. BST is most interesting in that it provides a high-refractive-index material and a factor of at least two times higher breakdown field strength than PLZT, and hence offers a much wider range of applied fields for tuning. Reports of using other ferroelectric materials include a high-temperature infiltration process for the ferroelectric copolymer, poly(vinylidene fluoride-trifluoroethane), infiltrated into 3-D silica opals with sphere diameters of 180, 225 and 300 nm [41.108]. Refractive-Index Tuning of Infiltrated PCs In this case the approach has been to consider modulation of the refractive index of a PC infiltrated with a tunable medium – in particular, the most popular approach has been to use liquid crystals to infiltrate porous 2-D and 3-D PC structures. Such liquid crystals can behave as ferroelectrics whose refractive index may be tuned using either an applied electric field, or by thermal tuning. Reported results for this approach, using 3-D inverse opal structures, have been restricted to infiltration of ferroelectric liquid-crystal material into a silicon/air PC [41.109]. Reported changes in the refractive index of the liquid crystal are 1.4–1.6 under an applied field [41.109]. However, since the ferroelectric liquid has a higher refractive index than air, the infiltration results in a significant decrease in the refractive index contrast. This means that the original full photonic band gap of the inverse opal silicon PC no longer exists, and the practical utility of the silicon structure as a PC is effectively lost. Theoretical simulations have shown that partial surface wetting of the internal inverse opal surface can retain the full photonic band in silicon [41.109], but it is questionable whether such a complex structure can ever be practically fabricated. Finally, the presence of ferroelectric liquid crystal surrenders one of the main advantages of the original concept for PC structures: that
is, permitting light propagation in air [41.110]. Temperature tuning of the liquid-crystal material was shown to result in very small changes in refractive index (changes in n < 0.01 over a 70 ◦ C change) and thus only provide minimal shifts in the transmittance through the PC over a large temperature range [41.111]. PC Refractive-Index Tuning by Altering the Concentration of Free Carriers (Using Electric Field or Temperature) in Semiconductor-Based PCs An elegant way to rapidly tune the PBG of semiconductor-based PCs is to adjust the refractive index by modulating the free-carrier concentration using an ultra-fast optical pulse [41.112]. Using this approach, reflectivity of a two-dimensional silicon-based honeycomb PCs with 412-nm air holes (100 µm in length) in a 500-nm periodic array, was studied with a pump– probe approach [41.112]. By varying the delay between the pump the speed of PBG tuning was measured to be about 0.5 ps. The reflectance relaxation (corresponding to the return of the PBG to its original position) occurred on a timescale of 10–100 ns, characteristic of recombination of excess electrons and holes. Although these results are very encouraging, this approach cannot suppress or correct for light-scattering losses caused by structural imperfections, which remain an important consideration for currently fabricated PCs.
41.3.2 Tuning PC Response by Altering the Physical Structure of the PC The second approach that we discuss for tuning the response of a PC is based on changes to the physical structure of the PC. We discuss the following approaches for tuning using this approach: tuning using (i) temperature, (ii) an applied magnetic field, (iii) strain/deformation, (iv) piezoelectric effects, and (v) using micro-electro-mechanical systems (MEMS) [i. e., actuation]. Tuning PC Response Using Temperature An example of this approach is a study of temperature tuning of PCs fabricated from self-assembled polystyrene beads [41.113]. The PBG in these structures was fine-tuned by annealing samples at temperatures of 20–100 ◦ C, resulting in a continuous blue shift of the stop band wavelength from 576 nm to 548 nm. New stop bands appeared in the UV transmission spectra when the sample was annealed above about 93 ◦ C – the glass-transition temperature of the polystyrene beads.
Nano-Engineered Tunable Photonic Crystals
Tuning PC Response Using Strain The concept in this case is quite straightforward – deforming or straining the PC changes the lattice constant or arrangement of dielectric elements in the PC with concomitant change in the photonic band structure. Polymeric materials would appear to be most suited to this methodology, owing to their ability to sustain considerable strains. However, concerns of reversibility and speed of tuning would clearly need to be addressed. Theoretical predictions for the influence of deformation on such systems include a report on a new class of PC based on self-assembling cholesteric elastomers [41.114]. These elastomers are highly deformable when subjected to external stress. The high sensitivity of the photonic band structure to strain, and the opening of new PBGs have been discussed [41.114]. Charged colloidal crystals were also fixed in a poly(acrylamide) hydrogel matrix to fabricate PCs whose diffraction peaks were tuned by applying mechanical stress [41.115]. The PBG shifted linearly and reversibly over almost the entire visible spectral region (from 460 nm to 810 nm). Modeling of the photonic band structure of 2-D silicon-based triangular PCs under mechanical deformation was also reported [41.116]. The structures considered consisted of a silicon matrix with air columns. The authors showed that while a 3% applied shear strain provides only minor modifications to the PBG, uniaxial tension can produce a considerable shift. Other modeling includes a study of how strain can be used to tune the anisotropic optical response of 2-D PCs in the long-wavelength limit [41.117]. These calculations showed that the decrease in dielectric constant per unit strain is larger in the direction of the strain
than normal to it. Indeed, the calculated birefringence is larger than that of quartz. They suggest that straintuning of this birefringence has attractive application in polarization-based optical devices. To appreciate the sensitivity of such structures to mechanical tuning, it is instructive to refer to some recent work on PMMA inverse opal PC structures that were fabricated using silica opal templates [41.118]. Under the application of uniaxial deformation of these PCs, the authors found a blue shift of the stop band in the transmission spectrum – the peak wavelength of the stop band shifted from about 545 nm in the undeformed material to about 470 nm under a stretch ratio of about 1.6. Another practical approach that has been applied to physically tuning PC structures is that of thermal annealing. One such study showed how the optical properties of colloidal PCs consisting of silica spheres can be tuned through thermal treatment [41.119]. This was attributed to both structural and physio-chemical modification of the material on annealing. A shift in the minimum transmission from about 1000 nm (un-annealed) to about 850 nm (for annealing at about 1000 ◦ C) was demonstrated, or about a maximum shift in Bragg wavelength of ≈ 11%. A quite novel application of strain-tuning was recently reported [41.120]. The authors studied 2-D PCs consisting of arrays of coupled optical microcavities fabricated from vertical-cavity surface-emitting laser structures. The influence of strain, as manifested by shifts in the positions of neighboring rows of microcavities with respect to each other, corresponded to alternating square or quasi-hexagonal shear-strain patterns. For strains below a critical threshold value, the lasing photon mode-locked to the corresponding mode in the unstrained PC. At the critical strain, switching occurred between the square and hexagonal lattice modes. Finally, there has been a proposal for using strain in a PC to tune the splitting of a degenerate photon state within the PBG, suitable for implementing tunable PC circuits [41.121]. The principle applied is analogous to the static Jahn–Teller effect in solids. These authors showed that this effect is tunable by using the symmetry and magnitude of the lattice distortion. Using this effect the design of an optical valve that controls the resonant coupling of photon modes at the corner of a T-junction waveguide structure has been discussed. Tuning PC Response Using Piezoelectric Effects In this section we discuss using piezoelectric effects to physically change PC structures and hence tune them.
1013
Part D 41.3
Tuning PC Response Using Magnetism An example of this approach is the use of an external applied magnetic field to adjust the spatial orientation of a PC [41.97]. This can find application in fabricating photonic devices such as tunable mirrors and diffractive display devices. These authors fabricated magnetic PCs by using monodisperse polystyrene beads selfassembled into a ferro-fluid consisting of magnetite particles, with particle sizes below 15 nm [41.97]. On evaporation of the solvent, a cubic PC lattice was formed with the nanoparticles precipitating out into the interstices between the spherical polystyrene colloids. These authors then showed how the template could be selectively removed by calcination or wet etching to reveal an inverse opal of magnetite – such structures being proposed as suitable for developing magnetically tunable PCs.
41.3 Tunable PCs
1014
Part D
Materials for Optoelectronics and Photonics
Part D 41.4
A proposal was made for using the piezoelectric effect to distort the original symmetry of a two-dimensional PC from a regular hexagonal lattice to a quasi-hexagonal lattice under an applied electric field [41.122]. The original bands decomposed into several strained bands, dependent on the magnitude and direction of the applied field. In the proposed structures, the application of ≈ 3% shear strain is shown to be suitable for shifting 73% of the original PBG, which they refer to as the tunable-bandgap regime. An advantage of such an approach is that such structures are suggested to be capable of operation at speeds approaching MHz. Another report [41.123] discusses the design and implementation of a tunable silicon-based PBG microcavity in an optical waveguide, where tuning is accomplished using the piezoelectric effect to strain the PC; this was carried out using integrated piezoelectric microactuators. These authors report on a 1.54-nm shift in the cavity resonance at 1.56 µm for an applied strain of 0.04%. There have also been reports of coupling piezoelectric-based actuators to PCs. One such report [41.124] discusses a poly(2-methoxyethyl acrylate)-based PC composite directly coupled to a piezoelectric actuator to study static and dynamic stopband tuning characteristics; the stop band of this device could be tuned through a 172-nm tuning range, and could be modulated at up to 200 Hz. Tuning PC Response Using Micro-Electro-Mechanical Systems (MEMS) Actuation There have been a number of interesting developments in this field including PC-based air-bridge devices consisting of suspended 1-D PC mirrors separated by a Fabry–Perot cavity (gap) [41.125]. When such structures are mechanically perturbed, there can be a substantial shift in the PBG due to strain. The au-
thors discuss how a suite of spectrally tunable devices can be envisioned based on such structures – these include modulators, optical filters, optical switches, WDM (wavelength division multiplexing), optical logical circuits, variable attenuators, power splitters and isolators. Indeed, the generalization of these concepts beyond 1-D was discussed in a recent patent [41.126] that covers tunable PC structures. This report [41.126], as well as others [41.127], consider the extension of these ideas to form families of micromachined devices. These authors [41.127] modeled and implemented a set of micromachined vertical resonator structures for 1.55-µm filters consisting of two PC (distributed Bragg reflector [DBR]) mirrors separated by either an air gap or semiconductor heterostructure. Electromechanical tuning was used to adjust the separation between the mirrors and hence fine-tune the transmission spectrum. The mirror structures were implemented using strong-index-contrast InP/air DBRs giving an index contrast of 2.17, and weak-index-contrast (0.5) silicon nitride/silicon dioxide DBRs. In the former case, a tuning range of over 8% of the absolute wavelength was achieved; varying the inter-membrane voltage up to 5 V gave a tuning range of over 110 nm. Similar planar structures are discussed in other papers [41.128] where the mirrors are formed from two slabs of PC separated by an adjustable air gap [41.126]. These structures have been shown to be able to perform as either flat-top reflection or all-pass transmission filters, by varying the distance between the slabs, for normally incident light. Unlike all previously reported all-pass reflection filters, based on Gires–Tournois interferometers using multiple dielectric stacks, their structure generates an all-pass transmission spectrum, significantly simplifying signal extraction and optical alignment – also the spectral response is polarization-independent owing to the 90◦ rotational symmetry of their structure.
41.4 Summary and Conclusions This chapter discussed the fabrication and properties of PCs, with emphasis on developing tunable structures. New fabrication methodologies and also tuning schemes offers a glimpse of the far-reaching prospects for developing photonic devices which can, in a discretionary fashion, control the propagation of modes of light in an
analogous fashion to the way in which nanostructures have been harnessed to control electron-based phenomena. Analogous to the evolution of electronic systems, one can anticipate a path toward development of compact active integrated photonic systems, as envisioned based on the technology outlined in this review.
Nano-Engineered Tunable Photonic Crystals
References
41.1
41.2 41.3
41.4
41.5
41.6
41.7
41.8
41.9
41.10
41.11
41.12
41.13
41.14 41.15 41.16
41.17
41.18
T. F. Krauss, R. M. de la Rue: Photonic crystals in the optical regime – past, present, and future, Prog. Quantum Electron. 23, 51–96 (1999) V. Berger: From photonic band gaps to refractive index engineering, Opt. Mater. 11, 131–142 (1999) D. J. Norris, Y. A. Vlasov: Chemical approaches to three-dimensional semiconductor photonic crystals, Adv. Mater. 13(6), 371–376 (2001) V. Mizeikis, S. Juodkazis, A. Marcinkevicius, S. Matsuo, H. Misawa: Tailoring and characterization of photonic crystals, J. Photochem. Photobiol. C 2, 35–69 (2001) Photonics Nanostruct. 1(1), 1–78 (2003): whole edition is dedicated to fundamentals and applications of photonic crystals S. G. Johnson, J. D. Joannopoulos: Photonic Crystals: Road from Theory to Practice (Kluwer Academic, Boston 2002) J. D. Joannopoulos, R. D Meade, J. N. Winn: PCs: Moulding the Flow of Light (Princeton Univ. Press, Princeton 1995) S. John: Strong localization in certain disordered dielectric super-lattices, Phys. Rev. Lett. 58(23), 2486–2489 (1987) E. Yablonovitch: Inhibited spontaneous emission in solid state physics and electronics, Phys. Rev. Lett. 58(20), 2059–2062 (1987) H. Kosaka, T. Kawashima, A. Tomita, M. Notomi, T. Tamamura, T. Sato, S. Kwakami: Superprism phenomena in photonic crystals, Phys. Rev. B 58(16), R10096–R10099 (1998) V. Berger: Photonic crystals and photonic structures, Cur. Opin. Solid State Mater. Sci. 4, 209–216 (1999) K. M. Ho, C. T. Chan, C. M. Soukoulis: Existence of a photonic gap in periodic dielectric structures, Phys. Rev. Lett. 65(25), 3152–3155 (1990) S. Satpathy, Z. Zhang, M. R. Salehpour: Theory of photon bands in three-dimensional periodic dielectric structures, Phys. Rev. Lett. 64(11), 1239–1242 (1990) E. Yablonovitch: Photonic band-gap structures, J. Opt. Soc. Am. B 10(2), 283–295 (1993) Y. Xia: Photonic Crystals, Adv. Mater. 13(6), 369 (2001) C. Anderson, K. Giapis: Larger two-dimensional photonic band gaps, Phys. Rev. Lett. 77, 2949–2952 (1996) R. D. Meade, A. M. Rappe, K. D. Brommer, J. D. Joannopoulos: Nature of the photonic band gap: Some insights from a field analysis, J. Opt. Soc. Am. B 10(2), 328–332 (1993) R. D. Meade, A. M. Rappe, K. D. Brommer, J. D. Joannopoulos, O. L. Alerhand: Accurate the-
41.19 41.20
41.21
41.22 41.23
41.24 41.25
41.26 41.27
41.28 41.29 41.30
41.31
41.32
41.33
41.34
41.35
oretical analysis of photonic band-gap materials, Phys. Rev. B 48(11), 8434–8437 (1993) C. Lopez: Materials aspects of PCs, Adv. Mater. 15(20), 1679–1704 (2003) C. Weisbuch, C. H. Benisty, S. Olivier, M. Rattier, C. J. M. Smith, T. F. Krauss: Advances in photonic crystals, Phys. Status Solidi B 221(93), 93–99 (2000) C. Weisbuch, H. Benisty, M. Rattier, C. J. M. Smith, T. F. Krauss: Advances in 2D semiconductor PCs, Synth. Mater. 116, 449–452 (2001) S. L. Swartz: Topics in electronic ceramics, IEEE Trans. Electr. Ins. 25(5), 935–987 (1990) F. Watt: Focused high energy proton beam micromachining: A perspective view, Nucl. Instrum. Methods Phys. Res. 158, 165–172 (1999) D. K. Ferry, R. O. Grondin: Physics of Submicron Devices (Plenum, New York 1991) J. Gierak, D. Mailly, G. Faini, J. L. Pelouard, P. Denk, F. Pardo, J. Y. Marzin, A. Septier, G. Schmmid, J. Ferre, R. Hydman, C. Chappert, J. Flicstein, B. Gayral, J. M. Gerard: Nano-fabrication with focused ion beams, Microelectron. Eng. 57-58, 865–875 (2001) K. Gamo: Nanofabrication by FIB, Microelectron. Eng. 32, 159–171 (1996) J. Melngailis, A. A. Mondelli, I. L. Berry III, R. Mohondro: A review of ion projection lithography, J. Vac. Sci. Technol. B 16(3), 927–957 (1998) P. Peercy: The drive to miniaturization, Nature 406, 1023–1026 (2000) T. Ito, S. Okazaki: Pushing the limits of lithography, Nature 406, 1027–1031 (2000) N. Peng, C. Jeynes, R. P. Webb, I. R. Chakarov, M. G. Blamire: Monte Carlo simulations of masked ion beam irradiation damage profiles in YBa2 Cu3 O7−δ thin films, Nucl. Instrum. Methods Phys. Res. B 178, 242–246 (2001) J. L. Bartelt: Masked ion beam lithography: An emerging technology, Sol. State Technol. 29(5), 215–220 (1986) D. P. Stumbo, J. C. Wolfe: Contrast of ion beam proximity printing with non-ideal masks, J. Vac. Sci. Technol. B 12(6), 3539–3542 (1994) T. Devolder, C. Chappert, Y. Chen, E. Cambril, H. Launois, H. Bernas, J. Ferre, J. P. Jamet: Patterning of planar magnetic nanostructures by ion irradiation, J. Vac. Sci. Technol. B 17(6), 3177–3181 (1999) P. Ruchhoeft, J. C. Wolfe, R. Bass: Ion beam aperture-array lithography, J. Vac. Sci. Technol. B 19(6), 2529–2532 (2001) Y. Hsieh, Y. Hwang, J. Fu, Y. Tsou, Y. Peng, L. Chen: Dislocation multiplication inside contact holes, Microelectron. Reliab. 39, 15–22 (1999)
Part D 41
References
1015
1016
Part D
Materials for Optoelectronics and Photonics
Part D 41
41.36
41.37
41.38
41.39
41.40
41.41
41.42
41.43 41.44
41.45
41.46
41.47
41.48
41.49
41.50
41.51
J. Gierak, A. Septier, C. Vieu: Design and realization of a very high-resolution FIB nanofabrication instrument, Nucl. Instrum. Methods Phys. Res. A 427, 91–98 (1999) A. N. Broers, A. C. F. Hoole, J. M. Ryan: Electron beam lithography – resolution limits, Microelectron. Eng. 32, 131–142 (1996) C. Lehrer, L. Frey, S. Petersen, H. Ryssel: Limitations of focused ion beam nano-machining, J. Vac. Sci. Technol. B 19(6), 2533–2538 (2001) H. Masuda, K. Fukuda: Ordered metal nanohole arrays made by a two-step replication of honeycomb structures of anodic alumina, Science 268, 1466–1468 (1995) R. Tonucci, B. Justus, A. Campillo, C. Ford: Nanochannel array glass, Science 258, 783–785 (1992) V. Lehmann, H. Foll: Formation mechanism and properties of electrochemically etched trenches in n-type silicon, J. Electrochem. Soc. 137(2), 653–659 (1990) A. Birner, R. B. Wehrspohn, U. M. Gosele, K. Busch: Silicon-based photonic crystals, Adv. Mater. 13(6), 377–388 (2001) J. Martin: Nanomaterials: A membrane-based synthetic approach, Science 266, 1961–1966 (1994) J. I. Martin, J. Nogues, K. Liu, J. L. Vicent, I. K. Schuller: Ordered magnetic nanostructures: Fabrication and properties, J. Magn. Mater. 256(13), 449–501 (2003) H. Masuda, M. Ohya, H. Asoh, M. Nakao, M. Nohtomi, T. Tamamura: Photonic crystals using anodic porous alumina, Jpn. J. Appl. Phys. Pt. 2 38(12A), L1403–1405 (1999) R. Wehrspohn, J. Schilling: Electrochemically prepared pore arrays for photonic-crystal applications, MRS Bull., 623–626 (2001) A. P. Li, F. Muller, A. B. K. Nielsch, U. Gosele: Hexagonal pore arrays with a 50-420 nm interpore distance formed by self-organization in anodic alumina, J. Appl. Phys. 84(11), 6023–6026 (1998) H. Masuda, H. Asoh, M. Watanabe, K. Nishio, M. Nakao, T. Tamamura: Square and triangular nanohole array architectures in anodic alumina, Adv. Mater. 13, 189–192 (2001) M. Nakao, S. Oku, T. Tamamura, K. Yasui, H. Masuda: GaAs and InP nanohole arrays fabricated by reactive beam etching using highly ordered alumina membranes, Jpn. J. Appl. Phys. Pt. 1 38(2B), 1052–1055 (1999) J. Liang, H. Chik, A. Yin, J. Xu: Two-dimensional lateral superlattices of nanostructures: Nonlithographic formation by anodic membrane template, J. Appl. Phys. 91(4), 2544–2546 (2002) N. Matsuura, T. W. Simpson, C. P. McNorgan, I. V. Mitchell, X. Mei, P. Morales, H. E. Ruda: Nanometer-scale pattern transfer using ion implantation. In: Three-Dimensional Nano-engi-
41.52
41.53 41.54
41.55
41.56
41.57
41.58
41.59
41.60
41.61
41.62
41.63
41.64
41.65
neered Assemblies, MRS Proc., Vol. 739, ed. by T. M. Orlando, L. Merhari, D. P. Taylor, K. Ikuta (Mater. Res. Soc., Boston 2002) N. Matsuura, T. W. Simpson, I. V. Mitchell, X. Mei, P. Morales, H. E. Ruda: Ultra-high density, nonlithographic, sub-100 nm pattern transfer by ion implantation an selective chemical etching, Appl. Phys. Lett. 81(25), 4826–4828 (2002) E. Rimini: Ion Implantation: Basics to Device Fabrication (Kluwer Academic, Norwellt 1995) G. Hobler: Monte Carlo simulation of twodimensional implanted dopant distributions at mask edges, Nucl. Instrum. Methods Phys. Res. B 96, 155–162 (1995) M. M. Faye, C. Vieu, G. B. Assayag, P. Salles, A. Claverie: Lateral damage extension during masked ion implantation into GaAs, J. Appl. Phys. 80(8), 4303–4307 (1996) P. Schmuki, L. Erickson: Direct micro-patterning of Si and GaAs using electrochemical development of focused ion beam implants, Appl. Phys. Lett. 73, 2600–2602 (1998) K. Wang, A. Chelnokov, S. Rowson, P. Garouche, J.M. Lourtioz: Three-dimensional Yablonovite-like photonic crystals by focused ion beam etching of macroporous silicon, Mater. Res. Soc. Symp. Proc. 637, E1.4.1–E1.4.5 (2001) E. Yablonovitch, T. Gmitter, K. Leung: Photonic band structure: The face-centered-cubic case employing non-spherical atoms, Phys. Rev. Lett. 67, 2295–2298 (1991) E. Ozbay, A. Abeyta, G. Tuttle, M. Tringides, R. Biswas, C. Chan, C. Soukoulis, K. Ho: Measurement of a three-dimensional photonic band gap in a crystal structure made of dielectric rods, Phys. Rev. B 50, 1945–1948 (1994) K. M. Ho, C. T. Chan, C. M. Soukoulis, R. Biswas, M. Sigalas: Photonic band gaps in three dimensions: New layer-by-layer periodic structures, Sol. State Commun. 89(5), 413–481 (1994) H. S. Sözüer, J. P. Dowling: Photonic band calculations for woodpile structures, J. Mod. Opt. 41(2), 231–239 (1994) Y. Xia, B. Gates, Z-Y. Li: Self-assembly approaches to three-dimensional photonic crystals, Adv. Mater. 13(6), 409–413 (2001) A. Moroz: Three-Dimensional complete photonicband-gap structures in the visible range, Phys. Rev. Lett. 83(25), 5274–5277 (1999) S. Lin, J. Fleming, D. Hetherington, B. Smith, R. Biswas, K. Ho, M. Sigalas, W. Zubrzycki, S. Kurtz, J. Bur: A three-dimensional photonic crystals operating at infrared wavelengths, Nature 394, 251–253 (1998) S. Noda, K. Tomoda, N. Yamamoto, A. Chutinan: Full three-dimensional photonic bandgap crystals at near-infrared wavelengths, Science 289, 604– 606 (2000)
Nano-Engineered Tunable Photonic Crystals
41.67
41.68
41.69
41.70
41.71
41.72
41.73
41.74
41.75
41.76
41.77
41.78
41.79
41.80
S. Kawakami: Fabrication of sub-micrometre 3D periodic structures composed of Si/SiO2 , Electron. Lett. 33(4), 1260–1261 (1997) C. T. Chan, S. Datta, K. M. Ho, C. M. Soukoulis: A7 structure: A family of photonic crystals, Phys. Rev. B 50(3), 1988–1991 (1994) G. Feiertag, W. Ehrfeld, H. Freimuth, H. Kolle, H. Lehr, M. Schmidt, M. M. Sigalas, C. M. Soukoulis, G. Kiriakidis, T. Pedersen, J. Kuhl, W. Koenig: Fabrication of photonic crystals by deep x-ray lithography, Appl. Phys. Lett. 71(11), 1441–1443 (1997) C. Cheng, A. Scherer: Fabrication of photonic bandgap crystals, J. Vac. Sci. Technol. B 13(6), 2153–3113 (1995) A. A. Zakhidov, R. H. Baughman, Z. Iqbal, C. Cui, I. Khayrullin, S. O. Dantas, J. Marti, V. G. Ralchenko: Carbon structures with three-dimensional periodicity at optical wavelengths, Science 282, 897–901 (1998) A. Blanco, E. Chomski, S. Grabtchak, M. Ibisate, S. John, S. W. Leonard, C. Lopez, F. Meseguer, H. Miguez, J. P. Mondia, G. A. Ozin, O. Toader, H. M. van Driel: Large-scale synthesis of a silicon photonic crystals with a complete threedimensional bandgap near 1.5 micrometres, Nature 405, 437–440 (2000) J. E. G. J. Wijnhoven, W. L. Vos: Preparation of photonic crystals made of air spheres in titania, Science 281, 802–804 (1998) Y. Xia, B. Gates, Y. Yin, Y. Lu: Mono-dispersed colloidal spheres: Old materials with new applications, Adv. Mater. 12(10), 693–713 (2000) A. Stein: Sphere templating methods for periodic porous solids, Micropor. Mesopor. Mater. 44-45, 227–239 (2001) J. Martorell, N. M. Lawandy: Observation of inhibited spontaneous emission in a periodic dielectric structure, Phys. Rev. Lett. 65(15), 1877–1880 (1990) I. I. Tarhan, G. H. Watson: Photonic band structure of FCC colloidal crystals, Phys. Rev. Lett. 76(2-8), 315–318 (1996) Y. A. Vlasov, M. Deutsch, D. J. Norris: Single-domain spectroscopy of self-assembled photonic crystals, Appl. Phys. Lett. 76(12), 1627–1629 (2000) D. C. Reynolds, F. Lopez-Tejeira, D. Cassagne, F. Garcia-Vidal, C. Jouanin, J. Sanchez-Dehesa: Spectral properties of opal-based photonic crystals having a SiO2 matrix, Phys. Rev. B 60(16), 11422–11426 (1999) V. N. Bogomolov, S. V. Gaponenko, I. N. Germanenko, A. M. Kapitonov, E. P. Petrov, N. V. Gaponenko, A. V. Prokofiev, A. N. Ponyavina, N. I. Silvanovich, S. M. Samoilovich: Photonic band gap phenomenon and optical properties of artificial opals, Phys. Rev. E 55(6), 7619–7625 (1997) S. G. Romanov, A. V. Fokin, R. M. De La Rue: Stop-band structure in complementary three-
41.81
41.82
41.83
41.84
41.85
41.86
41.87
41.88
41.89
41.90
41.91
41.92 41.93 41.94
41.95
41.96
dimensional opal-based photonic crystals, J. Phys. Condens. Matter 11, 3593–3600 (1999) R. Biswas, M. M. Sigalas, G. Subramania, K. M. Ho: Photonic band gaps in colloidal systems, Phys. Rev. B 57(9), 3701–3705 (1998) A. Richel, N. P. Johnson, D. W. McComb: Observation of Bragg reflection in photonic crystals synthesized from air spheres in a titania matrix, Appl. Phys. Lett. 76(14), 1816–1818 (2000) B. T. Holland, C. F. Blanford, A. Stein: Synthesis of macroporous minerals with highly ordered threedimensional arrays of spheroidal voids, Science 281, 538–540 (1998) M. S. Thijssen, R. Sprik, J. E. G. J. Wijnhoven, M. Megens, T. Narayanan, A. Lagendijk, W. L. Vos: Inhibited light propagation and broadband reflection in photonic air-sphere crystals, Phys. Rev. Lett. 83(14), 2730–2733 (1999) F. Meseguer, A. Blanco, H. Miguez, F. GarciaSantamaria, M. Ibisate, C. Lopez: Synthesis of inverse opals, Coll. Surf. A 202, 281–290 (2002) O. D. Velev, E. W. Kaler: Research news: Structured porous materials via colloidal crystal templating: From inorganic oxides to metals, Adv. Mater. 12(7), 531–534 (2000) O. D. Velev, A. M. Lenhoff: Colloidal crystals as templates for porous materials, Current Opin. Coll. Interf. Sci. 5, 56–63 (2000) F. Blanford, H. Yan, R. C. Schroden, M. Al-Daous, A. Stein: Gems of chemistry and physics: Macroporous metal oxides with 3D order, Adv. Mater. 13(6), 401–407 (2001) A. M. Kapitonov, N. V. Gaponenko, V. N. Bogomolov, A. V. Prokofiev, S. M. Samoilovich, S. V. Gaponenko: Photonic stop band in a threedimensional SiO2 /TiO2 lattice, Phys. Stat. Sol. (a) 165(1), 119–123 (1998) N. Matsuura, S. Yang, P. Sun, H. E. Ruda: Development of highly-ordered, ferroelectric inverse opal films using sol-gel infiltration, Appl. Phys. A 81, 379–384 (2005) S. M. Yang, H. Miguez, G. A. Ozin: Opal circuits of light – planarized micro photonic crystals chips, Adv. Funct. Mater. 12(6-7), 425431 (2002) A. Polman, P. Wiltzius: Materials science aspects of PCs, MRS Bull., 608–610 (2001) V. L. Colvin: From opals to optics: Colloidal photonic crystals, MRS Bull., 637–641 (2001) S. H. Park, D. Qin, Y. Xia: Crystallization of mesoscale particles over large areas, Adv. Mater. 10(3), 1028–1032 (1998) P. Jiang, J. Bertone, K. Hwang, V. Colvin: Single-crystal colloidal multi-layers of controlled thickness, Chem. Mat. 11, 2132–2140 (1999) Y. A. Vlasov, X.-Z. Bo, J. C. Sturm, D. J. Norris: Onchip natural assembly of silicon photonic bandgap crystals, Nature 414, 289–293 (2001)
1017
Part D 41
41.66
References
1018
Part D
Materials for Optoelectronics and Photonics
Part D 41
41.97
41.98
41.99
41.100
41.101
41.102
41.103
41.104
41.105
41.106
41.107
41.108
41.109
41.110 41.111
B. Gates, Y. Xia: Photonic crystals that can be addressed with an external magnetic field, Adv. Mater. 13(21), 1605–1608 (2001) I. Soten, H. Miguez, S. M. Yang, S. Petrov, N. Coombs, N. Tetreault, N. Matsuura, H. E. Ruda, G. A. Ozin: Barium titanate inverted opals – synthesis, characterization, and optical properties, Adv. Funct. Mater. 12(1), 71–77 (2002) M. C. Wanke, O. Lehmann, K. Muller, Q. Wen, M. Stuke: Laser rapid prototyping of photonic band-gap microstructures, Science 275, 1284–1286 (1997) B. H. Cumpston, S. P. Ananthavel, S. Barlow, D. L. Dyer, J. E. Ehrlich, L. L. Erskine, A. A. Heikal, S. M. Kuebler, I.-Y. S. Lee, D. McCord-Maughon, J. Qin, H. Rockel, M. Rumi, X.-L. Wu, S. R. Marder, J. W. Perry: Two-photon polymerization initiators for three-dimensional optical data storage and microfabrication, Nature 398, 51–54 (1999) H-B. Sun, S. Matsuo, H. Misawa: Threedimensional photonic crystals structures achieved with two-photon-absorption photo-polymerization of resin, Appl. Phys. Lett. 74(6), 786–788 (1999) M. Campbell, D. Sharp, M. Harrison, R. Denning, A. Turberfield: Fabrication of photonic crystals for the visible spectrum by holographic lithography, Nature 404, 53–56 (2000) J. Martorell, R. Vilaseca, R. Corbalan: Second harmonic generation in a photonic crystal, Appl. Phys. Lett. 70(6), 702–704 (1997) H. Inouye, Y. Kanemitsu: Direct observation of non-linear effects in a one dimensional photonic crystal, Appl. Phys. Lett. 82(8), 1155–1157 (2003) Z.-Z. Gu, T. Iyoda, A. Fujishima, O. Sato: Photo reversible regulation of optical stop bands, Adv. Mater. 13(7), 1295–1298 (2001) X. Hu, Q. Zhang, Y. Liu, B. Cheng, D. Zhang: Ultrafast three-dimensional tunable photonic crystal, Appl. Phys. Lett. 83(13), 2518–2520 (2003) B. Li, J. Zou, X. J. Wang, X. H. Liu, J. Zi: Ferroelectric inverse opals with electrically tunable photonic band gap, Appl. Phys. Lett. 83(23), 4704–4706 (2003) T. B. Xu, Z. Y. Cheng, Q. M. Zhang, R. H. Baughman, C. Cui, A. A. Zakhidov, J. Su: Fabrication and characterization of three dimensional periodic ferroelectric polymer-silica opal composites and inverse opals, J. Appl. Phys. 88(1), 405–409 (2000) S. John, K. Busch: Photonic bandgap formation and tunability in certain self-organizing systems, J. Lightwave Technol. 17(11), 1931–1943 (1999) J. D. Joannopoulos: The almost-magical world of photonic crystals, Braz. J. Phys. 26(1), 53–67 (1996) X. Yoshino, Y. Kawagishi, M. Ozaki, A. Kose: Mechanical tuning of the optical properties of plastic
41.112
41.113
41.114
41.115
41.116
41.117
41.118
41.119
41.120
41.121
41.122
41.123
41.124
41.125
opal as a photonic crystals, Jpn. J. Appl. Phys. Pt. 2 38(7A), L786–78 (1999) S. W. Leonard, H. M. van Driel, J. Schilling, R. B. Wehrspohn: Ultrafast band edge tuning of a two dimensional silicon photonic crystal via free carrier injection, Phys. Rev. B 66, 161102–1–111102–4 (2002) B. Gates, S. H. Park, Y. Xia: Tuning the photonic bandgap properties of crystalline arrays of polystyrene beads by annealing at elevated temperatures, Adv. Mater. 12(9), 653–656 (2000) P. A. Bermel, M. Warner: Photonic bandgap structure of highly deformable self-assembling systems, Phys. Rev. E 65(1), 010702(R)–1–010702(R)–4 (2001) Y. Iwayama, J. Yamanaka, Y. Takiguchi, M. Takasaka, K. Ito, T. Shinohara, T. Sawada, M. Yonese: Optically tunable gelled photonic crystal covering almost the entire visible light wavelength region, Langmuir 19(4), 977–980 (2003) S. Jun, Y-S. Cho: Deformation-induced bandgap tuning of 2D silicon-based photonic crystals, Opt. Express 11(21), 2769–2774 (2003) C.-S. Kee, K. Kim, H. Lim: Tuning of anisotropic optical properties of 2D dielectric photonic crystals, Physica B 338, 153–158 (2003) K. Sumioka, H. Kayashima, T. Tsutsui: Tuning the optical properties of inverse opal photonic crystals by deformation, Adv. Mater. 14(18), 1284–1286 (2002) H. Miguez, F. Meseguer, C. Lopez, A. Blanco, J. S. Moya, J. Requena, A. Mifsud, V. Fornes: Control of the photonic crystal properties of fcc-packed sub-micrometer SiO2 spheres by sintering, Adv. Mater. 10(6), 480–483 (1998) H. Pier, E. Kapon, M. Moser: Strain effects and phase transitions in photonic crystal resonator crystals, Nature 407, 880–883 (2000) N. Malkova, V. Gopalan: Strain tunable optical valves at T-junction waveguides in photonic crystals, Phys. Rev. B 68, 245115–1–245115–6 (2003) S. Kim, V. Gopalan: Strain tunable photonic band gap crystals, Appl. Phys. Lett. 78(20), 3015–3017 (2001) C. W. Wong, P. T. Rakich, S. G. Johnson, M. Qi, H. I. Smith, E. P. Ippen, L. C. Kimmerling, Y. Jeon, G. Barbastathis, S-G. Kim: Strain-tunable silicon photonic bandgap microcavities in optical waveguides, Appl. Phys. Lett. 84(8), 1242–1244 (2004) S. H. Foulger, P. Jiang, A. Lattam, D. W. Smith, J. Ballato, D. E. Dausch, S. Grego, B. R. Stoner: Photonic crystal composites with reversible highfrequency stop band shifts, Adv. Mater. 15(9), 685–689 (2003) S. Rajic, J. L. Corbeil, P. G. Datskos: Feasibility of tunable MEMS photonic crystal devices, Ultramicroscopy 97, 473–479 (2003)
Nano-Engineered Tunable Photonic Crystals
wide continuously tunable optoelectronic devices, Appl. Phys. B 75, 3–13 (2002) 41.128 W. Suh, S. Fan: Mechanically switchable photonic crystal filter with either all-pass transmission or flat-top reflection characteristics, Opt. Lett. 28(19), 1763–1765 (2003)
1019
Part D 41
41.126 N. Matsuura, H. E. Ruda, B. G. Yacobi: Configurable photonic device,US Patent 09/918398 [pending] 41.127 H. Hiller, J. Daleiden, C. Prott, F. Römer, S. Irmer, V. Rangelov, A. Tarraf, S. Schüler, M. Strassner: Potential for a micromachined actuation of ultra-
References
1021
Quantum Wel 42. Quantum Wells, Superlattices, and Band-Gap Engineering 42.2 Optoelectronic Properties of Quantum-Confined Structures........... 1024 42.2.1 Electronic States in Quantum Wells and Superlattices .............. 1024 42.2.2 Interband Optical Transitions ...... 1026 42.2.3 The Quantum-Confined Stark Effect ....................................... 1028 42.2.4 Inter-Sub-Band Transitions........ 1029 42.2.5 Vertical Transport ...................... 1030 42.2.6 Carrier Capture and Relaxation ... 1031 42.3 Emitters.............................................. 1032 42.3.1 Interband Light-Emitting Diodes and Lasers................................ 1032 42.3.2 Quantum Cascade Lasers ............ 1033 42.4 Detectors ............................................ 1034 42.4.1 Solar Cells................................. 1034 42.4.2 Avalanche Photodiodes.............. 1034 42.4.3 Inter-Sub-Band Detectors .......... 1035 42.4.4 Unipolar Avalanche Photodiodes. 1035 42.5 Modulators ......................................... 1036 Principles of Band-Gap Engineering and Quantum Confinement .................. 1022 42.1.1 Lattice Matching ....................... 1022 42.1.2 Quantum-Confined Structures .... 1023
42.6 Future Directions ................................. 1037
The need for efficient light-emitting diodes and lasers operating over the whole of the visible spectrum and also the fibre-optic windows at 1.3 µm and 1.55 µm drives research into new direct-gap semiconductors to act as the active materials. Since the emission wavelength of a semiconductor corresponds to its band-gap energy, research focuses on engineering new materials which have their band gaps at custom-designed energies. This science is called band-gap engineering. In the early years of semiconductor optoelectronics, the band gaps that could be achieved were largely determined by the physical properties of key III–V materials such as GaAs and its alloys such as AlGaAs and InGaAs. Then in 1970 a major breakthrough occurred when Esaki and Tsu invented the semiconductor quantum well and superlattice [42.1]. They realised that developments in epitaxial crystal growth could open the door to new
structures that exploit the principles of quantum confinement to engineer electronic states with custom-designed properties. They foresaw that these quantum-confined structures would be of interest both to research scientists, who would be able to explore uncharted areas of fundamental physics, and also to engineers, who would learn to use their unique properties for device applications. Their insight paved the way for a whole new breed of devices that are now routinely found in a host of everyday applications ranging from compact-disc players to traffic lights. The emphasis of the chapter is on the optoelectronic properties of quantum-well and superlattice structures. We begin by outlining the basic principles of bandgap engineering and quantum confinement. We will then discuss the electronic states in quantum-confined structures and the optical properties that follow from
42.1
42.7 Conclusions ......................................... 1038 References .................................................. 1038
Part D 42
This chapter reviews the principles of bandgap engineering and quantum confinement in semiconductors, with a particular emphasis on their optoelectronic properties. The chapter begins with a review of the fundamental principles of band-gap engineering and quantum confinement. It then describes the optical and electronic properties of semiconductor quantum wells and superlattices at a tutorial level, before describing the principal optoelectronic devices. The topics covered include edge-emitting lasers and light-emitting diodes (LEDs), resonant cavity LEDs and vertical-cavity surface-emitting lasers (VCSELs), quantum cascade lasers, quantum-well solar cells, superlattice avalanche photodiodes, inter-sub-band detectors, and quantum-well light modulators. The chapter concludes with a brief review of current research topics, including a discussion of quantum-dot structures.
1026
Part D
Materials for Optoelectronics and Photonics
Part D 42.2
Inx Ga1−x As on GaAs, and Si1−x Gex on Si. Large biaxial strain develops within the x–y plane of a quantum well grown on a substrate with a different lattice constant. In order to avoid the buildup of misfit dislocations at the interfaces, the strained layers need to be thinner than a certain critical dimension. For example, a defect-free strained Inx Ga1−x As layer on GaAs requires a thickness less than around 10 nm when x = 0.2. Since the band gap is related to the lattice constant, the strain induces a shift of the band edges which, in turn, affects many other properties. It is due to some of these effects that strained QW structures have become widely exploited in optoelectronic devices. (Chapt. 37) The most significant effect of the strain is to alter the band gap and remove the valence-band degeneracy near the Γ valley. The splitting of the valence band is a consequence of the lattice distortion, which reduces the crystal symmetry from cubic to tetragonal [42.10]. There are essentially two types of strain. Compressive strain occurs when the active layer has a larger lattice constant than the substrate, for example in Inx Ga1−x As on GaAs. In this case, the band gap increases and the effective mass of the highest hole band decreases, while that of next valence band increases. The opposite case is that of tensile strain, which occurs when the active layer has a smaller lattice constant than the substrate, such as Si1−x Gex on Si. The ordering of the valence bands is opposite to the case of compressive strain, and the overall band gap is reduced. Superlattices The analytical derivation of the allowed energy values in a superlattice (SL) is similar to that for a single QW, with the appropriate change of the boundary conditions imposed by the SL periodicity. The mathematical description of a superlattice is similar to a one-dimensional crystal lattice, which allows us to borrow the formalism of the band theory of solids, including the well-known Kronig–Penney model [42.9]. Within this model, the electron envelope wave function ψ(z) can be expressed as a superposition of Bloch waves propagating along the z-axis. For a SL with a barrier height V0 , the allowed energy is calculated numerically as a solution of the transcendental equation involving the Bloch wave vector:
cos (ka) = cos (kd) cos κ b
−
k2 + κ 2
2kκ E > V0 ,
sin (kd) sin κ b , (42.11)
cos (ka) = cos (kd) cos (κb) k2 − κ 2 sin (kd) sin (κb) , 2kκ E < V0 , −
(42.12)
where a ≡ (b + d) is the period, and k and κ are given by (42.9) and (42.10), respectively. The decay constant κ is given by: E − V0 =
2 κ 2
2m ∗b
.
(42.13)
The electronic states in superlattices can be understood in a more qualitative way by reference to Fig. 42.3 and making use of the analogy with the tight-binding model of band formation in solids. Isolated atoms have discrete energy levels which are localised on the individual atom sites. When the atoms are brought close together, the energy levels broaden into bands, and the overlapping wave functions develop into extended states. In the same way, repeated quantum-well structures with large values of the barrier thickness b (i. e. MQWs) have discrete levels with wave functions localised within the wells. As the barrier thickness is reduced, the wave functions of adjacent wells begin to overlap and the discrete levels broaden into minibands. The wave functions in the minibands are delocalised throughout the whole superlattice. The width of the miniband depends on the cross-well coupling, which is determined by the barrier thickness and the decay constant κ (42.10). In general, the higher-lying states give rise to broader minibands because κ decreases with E n . Also, the heavy-hole minibands are narrower than the electron minibands, because the cross-well coupling decreases with increasing effective mass.
42.2.2 Interband Optical Transitions Absorption The optical transitions in quantum wells take place between electronic states that are confined in the zdirection but free in the x–y plane. The transition rate can be calculated from Fermi’s golden rule, which states that the probability for optical transitions from the initial state |i at energy Ei to the final state | f at energy E f is given by:
W(i → f ) =
2π
| f |er · E|i|2 g( ω) ,
(42.14)
where er is the electric dipole of the electron, E is the electric field of the light wave, and g( ω) is the joint density of states at photon energy ω. Conservation of
1034
Part D
Materials for Optoelectronics and Photonics
Part D 42.4
The quantum-well structures used in QC lasers are very complicated, and often contain hundreds of different layers. Figure 42.12 illustrates a relatively simple design based on lattice-matched In0.47 Ga0.53 As/Al0.48 In0.52 As quantum wells grown on an InP substrate. The diagram shows two active regions and the miniband injector region that separates them. A typical operational laser might contain 20–30 such repeat units. The population inversion is achieved by resonant tunnelling between the n = 1 ground state of one active region and the n = 3 upper laser level of the next one. The basic principles of this process were enunciated as early as 1971 [42.42], but it took more than 20 years to demonstrate the ideas in the laboratory. The active regions contain asymmetric coupled quantum wells, and the laser transition takes place between the n = 3 and n = 2 states of the coupled system. The separation of the n = 2 and n = 1 levels is carefully designed to coincide with the LO-phonon energy, so that very rapid relaxation to the ground state oc-
curs and the system behaves as a four-level laser. This latter point is crucial, since the lifetime of the upper laser level is very short (typically ≈ 1 ps), and population inversion is only possible when the lifetime of the lower laser level is shorter than that of the upper one. The lasing wavelength can be varied by detailed design of the coupled QW active region. The transition energy for the design shown in Fig. 42.12 is 0.207 eV, giving emission at 6.0 µm. Further details may be found in [42.33]. A very interesting recent development has been the demonstration of a QC laser operating in the far-infrared spectral region at 67 µm [42.43]. Previous work in this spectral region had been hampered by high losses due to free-carrier absorption and the difficulties involved in designing the optical waveguides. The device operated up to 50 K and delivered 2 mW. These long-wavelength devices are required for applications in the THz frequency range that bridges between long-wavelength optics and high-frequency electronics.
42.4 Detectors Photodetectors for the visible and near-infrared spectral regions are generally made from bulk silicon or III–V alloys such as GaInAs. Since these devices work very well, the main application for QW photodetectors is in the infrared spectral region and for especially demanding applications such as avalanche photodiodes and solar cells. These three applications are discussed separately below, starting with solar cells.
42.4.1 Solar Cells The power generated by a solar cell is determined by the product of the photocurrent and the voltage across the diode. In conventional solar cells, both of these parameters are determined by the band gap of the semiconductor used. Large photocurrents are favoured by narrow-gap materials, because semiconductors only absorb photons with energies greater than the band gap, and narrow-gap materials therefore absorb a larger fraction of the solar spectrum. However, the largest open-circuit voltage that can be generated in a p–n device is the built-in voltage which increases with the band gap of the semiconductor. Quantum-well devices can give better performance than their bulk counterparts because they permit separate optimisation of the current- and voltage-generating factors [42.44]. This is because the built-in voltage is
primarily determined by the band gap of the barrier regions, whereas the absorption edge is determined by the band gap of the quantum wells. The drawback in using quantum wells is that it is difficult to maintain high photocurrent quantum efficiency in the low-field forward-bias operating conditions in solar cells. Recent work in this field has explored the added benefits of the versatility of the design of the QW active region [42.45] and also the possibility of using strained QWs. In the latter case, a tradeoff arises between the increase in both the absorption and the number of interface dislocations (which act as carrier traps) with the number of QWs. A way round this compromise is to use strain balance. An example is the case of Inx Ga1−x As/GaAs0.94 P0.06 QW solar cells grown on GaAs substrates, in which the compressive strain of the InGaAs QWs is compensated with the tensilestrained GaAs0.94 P0.06 barriers, such that the overall active region could be successfully lattice-matched to the substrate [42.46].
42.4.2 Avalanche Photodiodes Avalanche photodiodes (APDs) are the detectors of choice for many applications in telecommunications and single-photon counting. The avalanche multiplica-
1038
Part D
Materials for Optoelectronics and Photonics
Part D 42
sic gain of the dots is higher than that of a quantum well [42.75], and the threshold current is less sensitive to temperature [42.76]. However, the volume of the gain medium is necessarily rather small, and the benefits of the lower dimensionality cannot be exploited to the full. At present, one of the most promising applications for quantum dots is in long-wavelength lasers [42.77]. As mentioned in Sect. 42.3.1, the production of VCSELs at 1300 nm and 1550 nm has proven to be difficult using conventional InP-based QW structures due to the low refractive-index contrast of the materials that form the DBR mirrors. The use of InAs/GaAs quantum dots as the active region circumvents this problem and allows the benefits of mature GaAs-based VCSEL technology. Another very exciting potential application for quantum dots is in quantum information processing. High-efficiency single-photon sources are required for quantum cryptography and also quantum computation using linear optics. Several groups have demonstrated
single photon emission after excitation of individual InAs quantum dots (see e.g. [42.78, 79]), and one group has demonstrated an electrically driven singlephoton LED [42.80]. After these proofs of principle, the challenge now lies ahead to establish the quantumdot sources in working quantum information-processing systems. At the same time as exploring the effects of lower dimensionality, many other groups are working on new QW materials. One of the most promising recent developments is the dilute nitride system for applications in long-wavelength VCSELs and solar cells [42.81]. It has been found that the inclusion of a small fraction of nitrogen into GaAs leads to a sharp decrease in the band gap due to very strong band-bowing effects. This then allows the growth of InGaAsN structures that emit at 1300 nm on GaAs substrates [42.77, 82]. The field is developing very rapidly, with 1300-nm VCSELs and 1500-nm edge emitters already demonstrated [42.83, 84].
42.7 Conclusions Semiconductor quantum wells are excellent examples of quantum mechanics in action. The reduced dimensionality has led to major advances in both the understanding of 2-D physics and the applied science of optoelectronics. In some cases, QWs have enhanced the performance of conventional devices (e.g. LEDs and edge-emitting lasers), and in others, they have led to radically new devices (e.g. VCSELs, quantum cascade lasers, QCSE
modulators). At present, the main commercial use for QW optoelectronic devices is in LEDs, laser diodes and QCSE modulators. It remains to be seen whether some of the other devices described here (QW solar cells, SLAPDs, QWIPs) will come to commercial fruition, and whether systems of lower dimensionality will eventually replace QWs in the same way that QWs have replaced bulk devices.
References 42.1 42.2
42.3 42.4 42.5
42.6 42.7
L. Esaki, R. Tsu: IBM J. Res. Develop. 14, 61–5 (1970) G. Bastard: Wave Mechanics Applied to Semiconductor Heterostructures (Wiley, New York 1988) M. Jaros: Physics and Applications of Semiconductor Microstructures (Clarendon, Oxford 1989) C. Weisbuch, B. Vinter: Quantum Semiconductor Structures (Academic, San Diego 1991) S. O. Kasap: Optoelectronics and Photonics: Principles and Practices (Prentice Hall, Upper Saddle River 2001) M. J. Kelly: Low-Dimensional Semiconductors (Clarendon, Oxford 1995) Paul J. Dean: III–V Compound Semiconductors. In: Electroluminescence, ed. by J. I. Pankove (Springer, Berlin, Heidelberg 1977) pp. 63–132
42.8 42.9 42.10 42.11 42.12 42.13
42.14
S. Nakamura, S. Pearton, G. Fasol: The Blue Laser Diode, 2nd edn. (Springer, Berlin, Heidelberg 2000) S. Gasiorowicz: Quantum Physics, 2nd edn. (Wiley, New York 1996) E. P. O’Reilly: Semicond. Sci. Technol. 4, 121–137 (1989) M. Fox: Optical Properties of Solids (Clarendon, Oxford 2001) M. Shinada, S. Sugano: J. Phys. Soc. Jpn. 21, 1936– 46 (1966) D. A. B. Miller, D. S. Chemla, D. J. Eilenberger, P. W. Smith, A. C. Gossard, W. T. Tsang: Appl. Phys. Lett. 41, 679–81 (1982) A. M. Fox, D. A. B. Miller, G. Livescu, J. E. Cunningham, W. Y. Jan: IEEE J. Quantum Electron. 27, 2281–95 (1991)
Quantum Wells, Superlattices, and Band-Gap Engineering
42.15 42.16
42.17
42.19
42.20 42.21 42.22
42.23 42.24
42.25
42.26 42.27
42.28 42.29 42.30 42.31
42.32 42.33 42.34
42.35
42.36
42.37 42.38
42.39
42.40
42.41 42.42 42.43
42.44
42.45 42.46
42.47
42.48 42.49 42.50
42.51 42.52
42.53
42.54
tor Quantum Optoelectronics, ed. by A. Miller, M. Ebrahimzadeh, D. M. Finlayson (Institute of Physics, Bristol 1999) pp. 339–366 O. Blum Spahn: Materials issues for vertical cavity surface emitting lasers (VCSEL) and edge emitting lasers (EEL). In: Semiconductor Quantum Optoelectronics, ed. by A. Miller, M. Ebrahimzadeh, D. M. Finlayson (Institute of Physics, Bristol 1999) pp. 265–94 E. F. Schubert, Y.-H. Wang, A. Y. Cho, L.-W. Tu, G. J. Zydzik: Appl. Phys. Lett. 60, 921–3 (1992) N. E. Hunt, E. F. Schubert, R. F. Kopf, D. L. Sivco, A. Y. Cho, G. J. Zydzik: Appl. Phys. Lett. 63, 2600–2 (1993) R. Baets: Micro-cavity light emitting diodes. In: Semiconductor Quantum Optoelectronics, ed. by A. Miller, M. Ebrahimzadeh, D. M. Finlayson (Institute of Physics, Bristol 1999) pp. 213–64 J. Faist, F. Capasso, D. L. Sivco, C. Sirtori, A. L. Hutchinson, A. Y. Cho: Science 264, 553–6 (1994) F. Capasso, C. Gmachl, D. L. Sivco, A. Y. Cho: Phys. Today 55(5), 34–40 (2002) R. A. Kazarinov: Sov. Phys. Semicond. 5, 707–9 (1971) R. Köhler, A. Tredicucci, F. Beltram, H. E. Beere, E. H. Linfield, A. G. Davies, D. A. Ritchie, R. C. Iotti, F. Rossi: Nature 417, 156–9 (2002) K. Barnham, I. Ballard, J. Barnes, J. Connolly, P. Griffin, B. Kluftinger, J. Nelson, E. Tsui, A. Zachariou: Appl. Surf. Sci. 113/114, 722–733 (1997) R. H. Morf: Physica E 14, 78–83 (2002) N. J. Ekins-Daukes, K. W. J. Barnham, J. P. Connolly, J. S. Roberts, J. C. Clark, G. Hill, M. Mazzer: Appl. Phys. Lett. 75, 4195–5197 (1999) J. Wei, J. C. Dries, H. Wang, M. L. Lange, G. H. Olsen, S. R. Forrest: IEEE Photon. Technol. Lett. 14, 977–9 (2002) A. Suzuki, A. Yamada, T. Yokotsuka, K. Idota, Y. Ohiki: Jpn. J. Appl. Phys. 41, 1182–5 (2002) F. Capasso, W. T. Tsang, A. L. Hutchinson, G. F. Williams: Appl. Phys. Lett. 40, 38–40 (1982) G. Ripamonti, F. Capasso, A. L. Hutchinson, D. J. Muehlner, J. F. Walker, R. J. Malek: Nucl. Instrum. Meth. Phys. Res. A 288, 99–103 (1990) R. Chin, N. Holonyak, G. E. Stillman, J. Y. Tang, K. Hess: Electron. Lett. 16, 467–9 (1980) C. K. Chia, J. P. R. David, G. J. Rees, P. N. Robson, S. A. Plimmer, R. Grey: Appl. Phys. Lett. 71, 3877–9 (1997) F. Ma, X. Li, S. Wang, K. A. Anselm, X. G. Zheng, A. L. Holmes, J. C. Campbell: J. Appl. Phys. 92, 4791– 5 (2002) P. Yuan, S. Wang, X. Sun, X. G. Zheng, A. L. Holmes, J. C. Campbell: IEEE Photon. Technol. Lett. 12, 1370–2 (2000)
1039
Part D 42
42.18
H. Schneider, K. von Klitzing: Phys. Rev. B 38, 6160–5 (1988) A. M. Fox, R. G. Ispasoiu, C. T. Foxon, J. E. Cunningham, W. Y. Jan: Appl. Phys. Lett. 63, 2917–9 (1993) J. Feldmann, K. Leo, J. Shah, D. A. B. Miller, J. E. Cunningham, T. Meier, G. von Plessen, A. Schulze, P. Thomas, S. Schmitt-Rink: Phys. Rev. B 46, 7252–5 (1992) K. Leo, P. Haring Bolivar, F. Brüuggemann, R. Schwedler, K. Köhler: Solid State Commun. 84, 943–6 (1992) C. Waschke, H. G. Roskos, R. Schwedler, K. Leo, H. Kurz, K. Köhler: Phys. Rev. Lett. 70, 3319–22 (1993) Y. Shimada, K. Hirakawa, S.-W. Lee: Appl. Phys. Lett. 81, 1642–4 (2002) P. W. M. Blom, C. Smit, J. E. M. Haverkort, J. H. Wolter: Phys. Rev. B 47, 2072–2081 (1993) J. Shah: Ultrafast Spectroscopy of Semiconductors and Semiconductor Nanostructures, 2nd edn. (Springer, Berlin, Heidelberg 1999) R. G. Ispasoiu, A. M. Fox, D. Botez: IEEE J. Quantum Electron. 36, 858–63 (2000) P. Blood: Visible-emitting quantum well lasers. In: Semiconductor Quantum Optoelectronics, ed. by A. Miller, M. Ebrahimzadeh, D. M. Finlayson (Institute of Physics, Bristol 1999) pp. 193–211 N. Chand, S. N. G. Chu, N. K. Dutta, J. Lopata, M. Geva, A. V. Syrbu, A. Z. Mereutza, V. P. Yakovlev: IEEE J. Quantum Electron. 30, 424–40 (1994) E. P. O’Reilly, A. R. Adams: IEEE J. Quantum Electron. 30, 366–79 (1994) M. R. Krames, J. Bhat, D. Collins, N. F. Gargner, W. Götz, C. H. Lowery, M. Ludowise, P. S. Martin, G. Mueller, R. Mueller-Mach, S. Rudaz, D. A. Steigerwald, S. A. Stockman, J. J. Wierer: Phys. Stat. Sol. A 192, 237–245 (2002) M. Ikeda, S. Uchida: Phys. Stat. Sol. A 194, 407–13 (2002) S. Nagahama, T. Yanamoto, M. Sano, T. Mukai: Phys. Stat. Sol. A 194, 423–7 (2002) S. Kamiyama, M. Iwaya, H. Amano, I. Akasaki: Phys. Stat. Sol. A 194, 393–8 (2002) L. F. Eastman, V. Tilak, V. Kaper, J. Smart, R. Thompson, B. Green, J. R. Shealy, T. Prunty: Phys. Stat. Sol. A 194, 433–8 (2002) W. S. Tan, P. A. Houston, P. J. Parbrook, G. Hill, R. J. Airey: J. Phys. D: Appl. Phys. 35, 595–8 (2002) C. Gmachl, F. Capasso, D. L. Sivco, A. Y. Cho: Rep. Prog. Phys. 64, 1533–1601 (2001) K. J. Eberling: Analysis of vertical cavity surface emitting laser diodes (VCSEL). In: Semiconductor Quantum Optoelectronics, ed. by A. Miller, M. Ebrahimzadeh, D. M. Finlayson (Institute of Physics, Bristol 1999) pp. 295–338 M. SanMiguel: Polarisation properties of vertical cavity surface emitting lasers. In: Semiconduc-
References
1040
Part D
Materials for Optoelectronics and Photonics
42.55
42.56 42.57
Part D 42
42.58
42.59
42.60 42.61 42.62 42.63
42.64
42.65
42.66
42.67
42.68 42.69
M. A. Saleh, M. M. Hayat, P. P. Sotirelis, A. L. Holmes, J. C. Campbell, B. E. A. Saleh, M. C. Teich: IEEE Trans. Electron. Dev. 48, 2722–31 (2001) L. C. West, S. J. Eglash: Appl. Phys. Lett. 46, 1156–8 (1985) S. D. Gunapala, G. Sarusi, J. S. Park, T. Lin, B. F. Levine: Phys. World 7(10), 35–40 (1994) S. D. Gunapala, S. V. Bandara: Quantum well infrared photodetector (QWIP) focal plane arrays. In: Semiconductors and Semimetals, Vol. 62, ed. by M. C. Liu, F. Capasso (Academic, New York 1999) pp. 197–282 S. D. Gunapala, S. V. Bandara, J. K. Liu, E. M. Luong, N. Stetson, C. A. Shott, J. J. Block, S. B. Rafol, J. M. Mumolo, M. J. McKelvey: IEEE Trans. Electron. Dev. 47, 326–332 (2000) B. F. Levine, K. K. Choi, C. G. Bethea, J. Walker, R. J. Malik: Appl. Phys. Lett. 51, 934–6 (1987) H. Schneider: Appl. Phys. Lett. 82, 4376–8 (2003) J. S. Weiner, D. A. B. Miller, D. S. Chemla: Appl. Phys. Lett. 50, 842–4 (1987) R. W. Martin, S. L. Wong, R. J. Nicholas, K. Satzke, M. Gibbons, E. J. Thrush: Semicond. Sci. Technol. 8, 1173–8 (1993) G. D. Boyd, D. A. B. Miller, D. S. Chemla, S. L. McCall, A. C. Gossard, J. H. English: Appl. Phys. Lett. 50, 1119–21 (1987) A. Ramdane, F. Devaux, N. Souli, D. Delprat, A. Ougazzaden: IEEE J. Quantum Electron. 2, 326–35 (1996) T. Ido, S. Tanaka, M. Suzuki, M. Koizumi, H. Sano, H. Inoue: J. Lightwave Technol. 14, 2026–33 (1996) Y. Miyazaki, H. Tada, T. Aoyagi, T. Nishimura, Y. Mitsui: IEEE J. Quantum Electron. 38, 1075–80 (2002) G. Agrawal: Fiber Optic Communication Systems (Wiley, New York 1993) K. W. Goosen, J. A. Walker, L. A. D’Asaro, S. P. Hui, B. Tseng, R. Leibenguth, D. Kossives, D. D. Bacon, D. Dahringer, L. M. F. Chirovsky, A. L. Lentine,
42.70 42.71
42.72 42.73
42.74 42.75 42.76 42.77 42.78
42.79 42.80
42.81 42.82
42.83 42.84
D. A. B. Miller: IEEE Photon. Technol. Lett. 7, 360–2 (1995) D. A. B. Miller: IEEE J. Sel. Top. Quantum Electron. 6, 1312–7 (2000) P. W. Fry, I. E. Itskevich, D. J. Mowbray, M. S. Skolnick, J. J. Finley, J. A. Barker, E. P. O’Reilly, L. R. Wilson, I. A. Larkin, P. A. Maksym, M. Hopkinson, M. Al-Khafaji, J. P. R. David, A. G. Cullis, G. Hill, J. C. Clark: Phys. Rev. Lett. 84, 733–6 (2000) E. Kapon, D. M. Hwang, R. Bhat: Phys. Rev. Lett. 63, 430–3 (1989) D. Bimberg, M. Grundmann, Nikolai N. Ledentsov: Quantum Dot Heterostructures (Wiley, Chichester 1998) M. Grundmann: Physica E 5, 167–84 (2000) M. Asada, Y. Miyamoto, Y. Suematsu: IEEE J. Quantum Electron. 22, 1915–21 (1986) Y. Arakawa, H. Sakaki: Appl. Phys. Lett. 40, 939–41 (1982) V. M. Ustinov, A. E. Zhukov: Semicond. Sci. Technol. 15, R41–R54 (2000) P. Michler, A. Kiraz, C. Becher, W. V. Schoenfeld, P. M. Petroff, L. Zhang, E. Hu, A. Imamoglu: Science 290, 2282–5 (2000) C. Santori, M. Pelton, G. Solomon, Y. Dale, Y. Yamamoto: Phys. Rev. Lett. 86, 1502–5 (2001) Z. Yuan, B. E. Kardynal, R. M. Stevenson, A. J. Shields, C. J. Lobo, K. Cooper, N. S. Beattie, D. A. Ritchie, M. Pepper: Science 295, 102–5 (2002) A. Mascarenhas, Y. Zhang: Current Opinion Solid State Mater. Sci. 5, 253–9 (2001) A. Yu. Egorov, D. Bernklau, B. Borchert, S. Illek, D. Livshits, A. Rucki, M. Schuster, A. Kaschner, A. Hoffmann, Gh. Dumitras, M. C. Amann, H. Riechert: J. Cryst. Growth 227–8, 545–552 (2001) G. Steinle, H. Riechert, A. Yu. Egorov: Electron. Lett. 37, 93–5 (2001) D. Gollub, M. Fischer, A. Forchel: Electron. Lett. 38, 1183–4 (2002)
1041
43. Glasses for Photonic Integration
Glasses for Ph
Inorganic glasses have played a central role in optical science and technology, and more generally within the electrical and electronic engineering disciplines [43.1]. Amongst optical materials, the unique advantages of glasses are well known [43.2, 3]. Glasses can be worked relatively easily into various forms, such as bulk lenses, fibers, and thin films. This is dramatically illustrated by the modern technology for the manufacture of lowloss silica fibers, in which a hair-thin glass fiber (with tightly controlled geometrical and material properties) is drawn from a heated glass preform at rates as high as 20 m/s or greater [43.4]. Glass can be manufactured with excellent homogeneity and without grain boundaries, so that scattering of light is acceptably low. While perfect crystals typically exhibit even lower lev-
43.1
Main Attributes of Glasses as Photonic Materials........................... 1042 43.1.1 The Glass Transition as Enabler ... 1043 43.1.2 Metastability ............................ 1046 43.1.3 Glass as Host Material ................ 1049
43.2 Glasses for Integrated Optics ................ 1050 43.2.1 Low Index Glassy Films .............. 1050 43.2.2 Medium Index Glassy Films ........ 1051 43.2.3 High Index Glassy Films ............. 1051 43.3 Laser Glasses for Integrated Light Sources ................. 1053 43.3.1 Advantages of Glass-based Light Sources ...... 1053 43.3.2 Alternative Glass Hosts............... 1054 43.3.3 Progress Towards Integrated Light Sources in Glass ................ 1056 43.4 Summary ............................................ 1057 References .................................................. 1059 practical limitations they present. Further, an overview is provided of the main families of glassy inorganic films studied for integrated optics. Finally, the main features of rare earth doped glasses are reviewed, with an emphasis on their potential for implemention of compact integrated light sources and amplifiers.
els of scattering, they are difficult to realize in large sizes and with arbitrary shapes. Optical losses in polycrystalline materials, on the other hand, are generally excessive due to scattering from grain boundaries. Finally, the standard glass compositions (oxide, halide, and chalcogenide glasses and hybrids of those) provide transparency windows from the UV to the mid-infrared (see Fig. 43.1). It has been estimated [43.2] that more than 90% of optical components are based on glasses. Given the massive worldwide installation of telecommunications fibers since the 1980s [43.5], and depending on the definition assigned to the term ‘component’, this statistic might actually be closer to 100%. Befitting their central role in optical technology, there are numerous excellent reviews covering
Part D 43
Inorganic glasses are the workhorse materials of optics and photonics. In addition to offering a range of transparency windows, glasses provide flexibility of processing for the realization of fibers, films, and shaped optical elements. Traditionally, the main role of glass has been as a passive material. However, a significant attribute of glasses is their ability to incorporate dopants such as nanoparticles or active ions. Hence, glasses promise to play an increasingly important role in active photonics, as laser, amplification, switching, and nonlinear media. For photonic integration, many of the attributes of glasses are particularly compelling. Glasses allow numerous options for thin film deposition and integration on arbitrary platforms. The possibility of controlling the viscosity of a glass during processing can be exploited in the realization of extremely low loss microphotonic waveguides, photonic crystals, and microcavities. The metastable nature of glass can enable the direct patterning of photonic elements by energetic beams. This chapter provides an overview of these unique properties of glasses, from the perspectives of the technology options they afford and the
1046
Part D
Materials for Optoelectronics and Photonics
Part D 43.1
has been extended [43.21, 32] to the manufacture of silica toroid microresonators on a silicon substrate (see Fig. 43.4b). The latter devices exhibit Q factors exceeding 108 . Finally, glass-based photonic crystal fibers have been demonstrated to exhibit scattering loss near fundamental limits set by surface capillary waves [43.25]. This last result contrasts with the situation mentioned above for semiconductor photonic crystals, which to date are significantly compromised by optical scattering. In summary, processing enabled by the glass transition clearly offers unique benefits for manufacture of microphotonic structures. It is necessary to add a caveat to this discussion, however. Many amorphous materials are conditional glass formers, and do not offer the full range of processing advantages outlined above. As an extreme example, amorphous silicon films typically crystallize at temperatures well below their effective glass transition temperature [43.13], and are not amenable to reflow processes. To varying degrees, this is true of many ‘glassy’ films used in integrated optics, such as silicon oxynitride and alumina. Further, the tendency towards crystallization varies greatly even amongst the traditional glass formers, as discussed below. Thus, chalcogenide and fluoride glasses will not generally support the range of viscosity control that enabled many of the SiO2 -based devices mentioned. Having said that, novel techniques can sometimes circumvent crystallization problems. For example, pressure can be used as a tool for forming glass devices at temperatures below the onset of severe crystallization (but high enough to enable the glass to flow). Chalcogenide glass based lenses molded by the application of pressure and temperature are now commercially available [43.38]. Another interesting example is the recent work on extruded channel waveguides reported by Mairaj et al. [43.39]. Finally, much research has been directed at the fabrication of microphotonic elements in organic glasses by hot embossing [43.8]. In this technique, a hard master (such as a silicon wafer) is pre-patterned with the negative image of the desired photonic circuitry. The glass is heated above Tg and the master is pressed against the glass such that the image of its pattern is transferred to the softened glass. While studied mainly in polymer, this technique might also be applicable to low cost fabrication of photonic structures in ‘soft’ inorganic glasses.
43.1.2 Metastability A glass is a metastable material, having been frozen as an amorphous solid possessing excess internal en-
ergy relative to the corresponding crystal. Metastability is a double-edged sword. On the one hand, it presents technological limitations with respect to the processing and use of a glass device. As an example, it is well known that commercial introduction of organic glass (polymer) based optical devices has been hindered by poor stability of many polymers at elevated temperatures or under exposure to high intensity light. On the other hand, metastability offers unique options for fabrication and optimization of photonic microstructures. Specifically, glass properties (optical, mechanical, chemical) can often be tailored or adjusted by the careful addition of energy, in the form of heat, light, electron beams, or ion beams. An example is provided by the commercially important fiber Bragg gratings (FBG), wherein UV light is used to induce a stable refractive index change in the Ge-doped core of a standard SiO2 -based fiber. In the following subsections, the implications of metastability for glass-based integrated photonics are discussed. Devitrification Well below its glass transition temperature, a glass sits in a local energy minimum and is impeded by its own viscosity from reaching the lower energy crystalline state. A frequently cited example is that of silicate glass windows in ancient buildings, which have not exhibited any significant crystallization. However, addition of sufficient energy (such as heating a glass to some temperature above Tg ) can result in crystallization of a glass. Controlled crystallization is a technologically useful means of modifying the mechanical, thermal, or optical properties of a glass, used in the production of glass-ceramics. However, devitrification produces a polycrystalline material, increases optical scattering loss, and is usually a problem to be avoided in manufacture of photonic devices. As mentioned in Sect. 43.1.1, crystallization tendencies vary widely between various glass systems. The difference between the onset temperature for crystallization and Tg , ∆T = Tx − Tg , is one of the parameters that define the stability of a particular glass. The high stability against devitrification of silicate glasses is one of numerous reasons that they have traditionally dominated glass technology. This stability underlies the manufacture of the structures discussed in Sect. 43.1.1. Other glass forming systems have lower stability against devitrification, often making their technological application a greater challenge [43.39, 40]. For example, a major research thrust in the field of fluoride and chalcogenide glass fibers is the identification of new compositions that
1048
Part D
Materials for Optoelectronics and Photonics
Part D 43.1
izing the long-term stability of a glass component. For example, SiO2 has a very high Tg (≈ 1100 ◦ C) and does not exhibit significant relaxation at room temperature, either on the time scale of typical experiments or over the typical lifetimes envisioned for glass devices. In fact, aging effects in silica fibers are predominately associated with the growth of defects formed in the glass at the time of manufacture. Environmental moisture is a particular contributor to this aging process. On the other extreme, structural relaxation in low Tg organic glasses is known to be an issue, and intrinsic aging effects in polymers have been widely studied [43.48]. In particular, low Tg glasses exhibit densification with time (relaxation of their specific volume) and a corresponding change in their refractive index. This is a particular concern for interferometric optical devices (gratings, resonators, Mach–Zehnder interferometers), which necessitate tight control of material indices. Volume relaxation can be characterized by a rate parameter β, defined as: 1 ∂V β= (43.6) V ∂ (log t) P,T where V is volume, t is time, P is pressure, and T is temperature. Using the Lorentz–Lorenz expression, the following relationship has been derived from (43.6) [43.42]:
∂n −β ∂T ∂n P,t = (43.7) ∂ (log t) P,T α where n is refractive index, ∂n/∂T is the thermo-optic coefficient, and α is the volume coefficient of thermal expansion. Zhang et al. [43.42] further showed that, for polymers, β is approximately a universal function of Tg − T1 as shown in Fig. 43.5. This implies that the time rate of change of refractive index due to structural relaxation is strongly correlated with the parameter ∆TSC = Tg − T1 , termed the supercooling temperature. They also assessed the implications for polymer-based telecommunications devices, concluding that Tg needs to be higher than 300 ◦ C for many applications. This result provides a nice illustration of the impact of Tg on device stability. While it is not necessarily reasonable to extend the foregoing results directly to inorganic glasses, the essential features of structural relaxation will be similar. The chalcogenide glasses in particular are sometimes called inorganic polymers, partly because of their softness and mechanical flexibility relative to silica-based glasses. Further, the range of Tg for chalcogenide glasses
(≈ 50–550 ◦ C) is similar to that of organic polymers, and studies on chalcogenide glasses [43.14] support the conclusion that structural relaxation would present similar restrictions on inorganic and organic glasses. Thus, lifetime restrictions due to relaxation should be considered in the application of any glass having relatively low Tg , including chalcogenide glasses, fluoride glasses, and many non-silicate oxide glasses [43.6]. Both the processing temperatures (during manufacture) and the in-use temperatures are important considerations in assessing the stability of a glass. Telecommunication devices are typically designed to withstand in-use temperatures between −40 ◦ C and 85 ◦ C [43.49]. As an example of a more demanding application, it has been proposed that glasses are good candidates for fabrication of integrated photonic elements on future integrated circuit chips [43.50]. In-use temperatures on modern microprocessors can reach 100–200 ◦ C. Finally, it should be noted that any energy applied to the glass (light, electron beams, etc.) might induce structural relaxation. This has been most widely studied for the chalcogenide glasses, which exhibit a wide array of photoinduced structural changes [43.51]. A fascinating example is the so-called photoinduced fluidity phenomenon [43.52], in which intense sub-bandgap light can reduce the viscosity of a chalcogenide glass by several orders of magnitude (causing the glass to flow or melt). This effect is completely athermal, and in fact is often enhanced at low temperatures. While an extreme example, it illustrates the possibility of aging effects due to non-thermal processes in glass devices. For photonic devices, the main concern is usually the effect of light exposure over the in-use lifetime. Metastability as Enabler One highly desirable implication of metastability is the possibility for direct patterning of photonic structures in glasses using energetic beams (electron beams, ion beams, light). These effects can also be exploited for post-fabrication trimming of devices [43.53], which is important since many optical components have tolerances beyond the capabilities of practical fabrication processes. Beam induced effects in glasses are generally linked to their random network structure. That is, unlike crystals, glasses contain a range of internal ‘defects’ – wrong bonds, dangling (missing) bonds, impurity atoms that act as network modifiers, as well as their inherent variation in bond angles. Addition of energy can cause a glass to undergo a transition from one metastable state to another. This transition is often accompanied by a change in the
1050
Part D
Materials for Optoelectronics and Photonics
43.2 Glasses for Integrated Optics
Part D 43.2
In general, thin films can be amorphous, polycrystalline, or crystalline. Tien and Ballman [43.45] provided an early review of waveguide results achieved for various materials lying within each of these categories. Unless the crystal grain size is sufficiently small relative to the wavelength of interest [43.47], polycrystalline films are too lossy for integrated optics. It should be noted that high attenuation might be tolerable if circuit length is sufficiently short. The present discussion is concerned with amorphous films, which Tien further subcategorized as low index (n < 1.7), medium index (n < 2), and high index (n > 2). For convenience, we will follow a similar approach in the following sections. This categorization is somewhat arbitrary, especially when considering material systems (such as the silicon oxynitride system) that enable a range of refractive index. It is interesting to note that 1.7 is the approximate upper limit for the refractive index of organic glasses [43.49] As noted in Sect. 43.1, increased circuit density is one of the primary goals of integrated optics research [43.9]. Whether employing traditional total internal reflection effects or photonic band gap materials, increased density relies on high index contrast between at least two compatible materials. Note that the terminology ‘high index’ and ‘high index contrast’ are rather imprecise. For example, in silica PLC technologies core-cladding index differences of ∆n ≈ 0.02 have been labeled as ‘superhigh’ index contrast [43.10]. This is a very small value, however, relative to the index contrasts that characterize SOI photonic wire waveguides [43.30].
43.2.1 Low Index Glassy Films SiO2 -Based Glasses As mentioned in the introduction, the SiO2 on silicon PLC system is the most widely used and developed glass-based integrated optics platform. PLC development (mainly in the 1990s) was driven by fiber optic long haul communication systems, especially the emergence of wavelength division multiplexing (WDM). Various devices, but especially arrayed waveguide grating (AWG) wavelength demultiplexers, were developed to a very high degree of sophistication by the telecommunications industry. Good early [43.18] and recent [43.9,10] reviews are available in the literature. A brief overview of the technology is given below, as it illustrates some of the advantages and challenges associated with glassbased photonic integration.
As mentioned in Sect. 43.1.1, commercial PLC waveguides are fabricated primarily by FHD [43.10] or by CVD [43.9]. In addition, considerable research has been conducted on sol-gel synthesis [43.61], with the aim of reducing fabrication costs and providing greater flexibility over the choice of glass compositions. Typically, the undercladding is pure silica glass. To raise its refractive index, the core layer is doped with Ge or P. Ge-doped SiO2 is known for its photosensitivity, as discussed in Sect. 43.1.2. Addition of P lowers the viscosity and characteristic reflow temperature, enabling the processing options discussed in Sect. 43.1.1. Typical relative index offset ∆ between the core and cladding is in the 0.3 to 2% range, corresponding to minimum waveguide bend radius R in the 2 to 25 mm range [43.10]. After RIE to form an approximately square waveguide core, an upper cladding is deposited and subjected to heat treatment. The upper cladding is often a boro-phosphosilicate glass (BPSG), partly to enable reflow. Further, the B and P dopants have opposite effects on refractive index allowing a nearly symmetric waveguide structure to be obtained. Given the target applications, it is not surprising that PLC technology placed a great emphasis on efficient coupling between the integrated waveguides and external fiber waveguides. Thus, waveguide cross-sectional dimensions and refractive index contrast between core and cladding layers were tailored to provide a good impedance match (low reflection and good modal overlap) to standard fiber. By employing essentially the same glass (doped SiO2 ) as that used to construct fiber, it is even possible to achieve intimate reflowed (fusion type) coupling between the integrated and fiber guides [43.18]. The emphasis on impedance matching is due to the great importance of minimizing insertion loss in fiber systems, but presents some practical limitations: 1. The relatively low index contrast (≈ 10−3 ) between core and cladding necessitates thick glass films. For example the undercladding or buffer layer, often a thermally grown SiO2 layer, must typically exceed 12 µm in order to negate radiation losses into the high index silicon substrate. Further, high temperature anneals (typically 900–1150 ◦ C) are required to drive out hydrogen impurities and to reflow the core and upper cladding layers. The combination of thick films, high temperature anneals, and thermal expansion mismatch can result in wafer bending and damage to the glass films. This is partly alleviated
Glasses for Photonic Integration
in practice by depositing identical layers on both sides of the Si wafer [43.9], which adds cost and complexity. 2. Since modal area and minimum bend radius scale inversely with core-cladding index contrast, traditional PLC waveguides do not support high-density optical integration. As mentioned above, index contrasts in the 0.3 to 2% range correspond approximately to bending radii in the 25 to 2 mm range [43.10].
Amorphous Aluminium Oxide Sapphire (crystalline Al2 O3 ) is amongst the most important solid-state laser hosts. Alumina (polycrystalline or amorphous Al2 O3 ) is an important industrial material in its own right, possessing outstanding mechanical and thermal properties [43.15]. Amorphous Al2 O3 films are of interest for integrated optics for several reasons [43.47]. First, the refractive index is relatively high (although falling within the low index range specified above), typically n ≈ 1.65. Second, Al2 O3 is an excellent host for rare earth and transition metal dopants. As discussed in Sect. 43.3, rare earth dopants of interest are typically trivalent, matching the valency of Al ions in Al2 O3 [43.64]. As a result, rare earth ions can be incorporated easily into the alumina matrix. In short, Al2 O3 can homogeneously dissolve large concentrations of rare earth ions and is therefore of interest for realization of integrated amplifiers and light sources. Finally, Al2 O3 films typically have excellent transparency from the UV to mid-IR range. Fluoride Glasses Heavy-metal fluoride glasses (typically fluorozirconate glasses) such as ZBLAN have been widely studied since their discovery in 1974 [43.41]. One of their outstanding attributes is a wide transparency range, extending from the UV well into the mid-IR (see Fig. 43.1). They have received considerable attention as fiber optic materials, because theory predicts a minimum absorption well below that of silica glass. Further, rare earth ions exhibit the greatest number of useful radiative transitions when embedded in fluoride glasses [43.65]. This is due to
their wide transparency window and low characteristic phonon energies (see Sect. 43.3). Fluoride glasses typically have refractive indices in the 1.47–1.57 range, which is advantageous in terms of being well matched to silica glasses. Thin film deposition of these complex multicomponent glasses is difficult, and thermal expansion mismatches with standard substrates create further challenges. For these reasons, fluoride glasses have not been widely explored for applications in integrated optics. The so-called PZG fluoride glasses (PbF2 − ZnF2 − GaF3 ) have been successfully deposited using straightforward evaporation techniques, enabling relatively low loss waveguides and erbium-doped amplifiers on a silicon platform [43.66].
43.2.2 Medium Index Glassy Films Silicon Oxynitride Silicon oxynitride (SiON) films are generally deposited by a CVD technique. The promise of this material system for integrated optics was identified in early work [43.45]. One of the main attributes of SiON is that it is a standard material system employed in silicon microelectronics, and the thin film technology has been developed accordingly [43.47, 63]. Because of this, SiON is currently being studied as potential material for on-chip interconnects [43.50]. Further, the system enables a continuous range of refractive index from approximately 1.45 (SiO2 ) to 2 (Si3 N4 ) at 1550 nm wavelength. This index range has been extended to approximately 2.2 by deposition of non-stoichiometric, silicon-rich nitride films [43.67]. SiON and SiN have been amongst the most explored materials for realization of microring resonator structures [43.53, 67]. As an optical material, SiON has some drawbacks. The main one is the presence of hydrogen impurities in films deposited by traditional techniques. Overtones due to hydrogen bonds (mainly N−H and O−H) can produce impractically large values of loss in the 1300 nm and 1550 nm telecommunications bands [43.62]. Long term, high temperature annealing (typically at >1000 ◦ C) is required to reduce this loss. Interestingly, structural relaxation on the time scale of hours and days has been observed in such annealed films [43.47]. Perhaps related to this metastability, UV light has been used as a means to trim the refractive index of SiN-based microring resonators [43.53]. Modified deposition processes that can produce SiON films having low stress and low hydrogen content (without requiring a high temperature annealing step) have been reported recently [43.63, 67]. However,
1051
Part D 43.2
In recent work at Corning [43.62], very low loss (< 0.1 dB/cm) waveguides and ring resonators were realized in PECVD grown silica-germania waveguides having index contrast as high as 4%. Such high index contrasts can accommodate bending radius of less than 1 mm, which is comparable to the range explored recently by IBM researchers using silicon oxynitride materials [43.63].
43.2 Glasses for Integrated Optics
Glasses for Photonic Integration
that 1 pJ pulses of 1 ps duration (≈ 1 W peak power) could induce phase shifts (due to self phase modulation) greater than π in feasible chalcogenide glass waveguides with length on the order of a few centimeters. This is predicated on realization of low loss waveguides with modal area of ≈ 1 µm2 . Recent experimental results suggest that this goal is within reach [43.55]. Tellurite Glasses While TeO2 is a conditional glass former, the addition of other oxides can result in stable glasses with
43.3 Laser Glasses for Integrated Light Sources
many interesting properties [43.75]. They typically have refractive index greater than 2, low phonon energy, large optical nonlinearities, and a high acousto-optic figure of merit. Further, they have been widely studied as hosts for rare-earth ions. Of particular interest has been the wide bandwidth of the 1550 nm emission exhibited by erbium in tellurite glass [43.79]. Because of these numerous attractive properties, tellurite glasses and amorphous TeO2 films (n ≈ 2.2) have received some attention for applications in integrated optics [43.80].
43.3.1 Advantages of Glass-based Light Sources Stimulated emission devices in glass are almost always based on trivalent rare-earth dopants [43.6]. Thus, the term laser glass can usually be equated with the term rare-earth doped glass. Rare-earth doped laser glasses have been widely studied and reviewed [43.64,65]. Fur-
ther, recent and comprehensive reviews on Nd- and Er-doped integrated glass amplifiers and lasers are available [43.6, 81]. Relative to single crystal hosts, glass hosts result in rare-earth ions exhibiting broadened luminescence lines and lower peak stimulated emission cross-sections [43.65]. This property is a result of the random network structure of glasses; embedded rare earth ions exist in a range of local environments. The broadened, weaker emission is of great importance for the realization of broadband, low noise fiber amplifiers. It should be noted that the semiconductor injection laser is an extremely advanced technology, and is the dominant type of integrated light source at present and for the foreseeable future. Semiconductor lasers have important advantages over any glass-based device demonstrated to date. First, semiconductor gain media typically have gain coefficients of the order ≈ 100 cm−1 [43.17]. By comparison, glasses require high rare-earth dopant concentration to achieve gain coefficients exceeding 1 cm−1 . Thus, semiconductor optical amplifiers (SOAs) and lasers have cavity lengths measured in tens to hundreds of µm while it is typical for glass waveguide amplifiers and lasers to be measured in cm. Second, semiconductor light sources are pumped electrically while glass devices are typically pumped optically. Electrical pumping is highly desirable for optoelectronic integration of photonic devices on electronic chips. However, as discussed below, integrated glass waveguide lasers have important advantages of their own [43.82]. The lifetime of the metastable lasing level in rareearth doped glasses is usually on the order of ms, much longer than the ns lifetimes typical of semiconductor gain media. This long lifetime implies that the gain does not change rapidly with variations in input power (pump
Part D 43.3
43.3 Laser Glasses for Integrated Light Sources Active functionality includes means to generate and detect light (especially stimulated emission and absorption) and means to control (switch, modulate, etc.) light signals. Numerous material properties are employed in active photonics, including thermo-optic, acoustooptic, magneto-optic, electro-optic, and nonlinear Kerr effects. Many glasses have attractive thermo-optic or acousto-optic properties, and a few examples were cited in Sect. 43.2. Also in Sect. 43.2, some glasses with promising nonlinear optical properties were discussed. Elsewhere in this volume, K. Tanaka has provided an excellent review of nonlinearities in photonic glasses. A recent, thorough review of magneto-optic glasses is also available [43.6]. Arguably, the most critical element for photonic integration is an integrated light source. Rare-earth doped glasses are well-established laser media, used especially for the realization of bulk and fiber lasers. Considerable effort has been directed towards development of integrated amplifiers and lasers based on such glasses. In the following, we attempt to highlight some ways in which light sources based on glasses are uniquely enabling, relative to those based on crystalline materials. The performance advantages discussed below combined with the properties discussed in earlier sections (fabrication options) make glasses particularly attractive.
1053
1054
Part D
Materials for Optoelectronics and Photonics
Part D 43.3
or signal). This is an essential feature of the commercially important erbium-doped fiber amplifier (EDFA); the long lifetime (≈ 10 ms) of the 4 I13/2 level of erbium in silicate glass contributes to low noise operation, high pump efficiency, and low crosstalk between wavelength channels in a WDM system [43.83]. Further, since the relaxation oscillations in glass waveguide lasers occur at relatively low frequency, glass lasers can be modelocked at correspondingly much lower repetition rates compared to semiconductor lasers [43.82]. This can enable much higher peak intensities from the glass laser. Related to the discussion in Sect. 43.1, lower cavity loss (higher cavity Q) is generally possible for glass devices. Further, the long metastable lifetime of the rareearth transition allows glass lasers to have linewidths approaching the Schawlow–Townes limit [43.84]: 2πhν0 (∆νc )2 N2 (43.8) ∆ν = P N2 − N1 where ν0 is the laser center frequency, P is the laser output power, N2 and N1 are the population densities of the upper and lower lasing levels, and ∆νc = (1/2πtc ) with tc the photon lifetime in the cavity. The high Q of glass laser cavities coupled with relatively high output powers enables linewidths less than 10 kHz, orders of magnitude below that of semiconductor DFB lasers [43.82]. The high cavity Q and long metastable lifetime is also advantageous for achieving ultrastable passive mode locking, with low timing jitter and pulse-to-pulse power variation. Finally, glasses offer the possibility of integration on various substrates. While semiconductor lasers are inherently integrated structures, they are not easily transportable between platforms. For example, III-V semiconductor lasers have not shown great promise (in spite of heroic efforts in some cases) to satisfy the desire for a compact, truly integrated light source on the silicon electronics platform. Glasses (perhaps doped with semiconductor nanocrystals) are increasingly viewed as the more promising route to achieving such a goal [43.64].
43.3.2 Alternative Glass Hosts The theoretical maximum gain (cm−1 ) of a rareearth doped glass waveguide amplifier can be expressed [43.81] as γp = Γσp NRE , where σp is the peak (versus wavelength) stimulated emission cross-section (cm2 ) for the transition of interest and NRE is the volume density (cm−3 ) of the rare-earth ions. Γ is a dimensionless factor (lying between 0 and 1) that accounts for the spatial overlap of the waveguide mode (at the wave-
length to be amplified) and the active ions producing the gain. It can be optimized through waveguide design, irrespective of the glass host, and will not be considered further here. The expression for γp neglects all waveguide losses (due to scattering, etc.) and assumes that all of the rare-earth ions have been promoted to the desired lasing level; i. e. a complete population inversion. It is therefore an ideal and unattainable limit, but is useful for framing the following discussion. Since compactness is a central goal of integrated waveguide lasers, alternative glass hosts can be compared on the basis of the maximum gain (γmax ) that they enable in practice (for a given transition of a given rareearth ion). Further, since low noise operation relies on a near complete population inversion [43.81], it is desirable that γmax ≈ γp . In simple terms, the glass should dissolve a large concentration of the rare earth ion (high NRE ), should result in a large stimulated emission crosssection for the desired transition, and should enable the realization of a nearly complete population inversion. The importance of other practical considerations, such as stability, processing options, and physical properties of the glass, will depend on the intended application. Some hosts provide unique advantages, such as flexible pumping options, as discussed in Sect. 43.3.3. As mentioned, laser transitions in glasses are generally provided by radiative decay between two energy levels of a trivalent rare-earth ion. Perhaps the most important example is the 4 I13/2 to 4 I15/2 transition of Er3+ , which produces luminescence in the 1500–1600 nm wavelength range. Once an ion has been promoted (by pumping) to the upper lasing level, it will eventually transition to another state by interactions with the glass, impurities in the glass, the photon fields (at the signal or pump wavelength), or with other rare-earth ions in its vicinity [43.65]. The metastable lifetime of the upper lasing level can be expressed [43.81] 1 = A + WMP + WET + WIMP τ
(43.9)
where A is the effective rate of spontaneous radiative decay to all lower lying levels, WMP is the rate of nonradiative decay due to multi-phonon energy exchanges with the glass, WET is the rate of non-radiative energy transfer due to interactions between closely spaced rareearth ions, and WIMP is the rate of energy transfer to quenching impurity centers in the glass. The first three terms on the right will be discussed below. For the last term, a classic example is the quenching of the 1550 nm luminescence band of erbium due to resonant energy transfer to OH− impurities in silica glass [43.65].
Glasses for Photonic Integration
The choice of a particular glass host will impact several important properties of a given transition: pumping efficiency, peak gain, linewidth, metastable lifetime, etc. Alternative glasses can be compared on the basis of a few key parameters, as discussed in the following sub-sections. Representative data for erbium in various glasses is given in Table 43.1.
A=
64π 4 e2 χ S 3h (2J + 1) λ3p
(43.10)
where J is the total angular momentum of the upper lasing level, λp is the peak emission wavelength, and χ is the local field correction factor. For electricdipole interactions of an ion in a dielectric medium, χ ≈ n(n 2 + 2)2 /9, with n the refractive index of the host glass. S is the quantum-mechanical line strength for the transition. Further, the peak stimulated emission crosssection can be expressed in terms of the spontaneous emission probability: λ4p σp = (43.11) A 8πcn 2 ∆λeff where ∆λeff is the effective linewidth of the transition. From (43.10) and (43.11), the host-dependent factors that influence σp are the refractive index, the line strength, the effective linewidth, and to a lesser extent the peak emission wavelength (which typically varies only slightly between different hosts). The local field correction factor is significant in hosts with large refractive
index, and can result in an enhancement of the stimulated emission cross-section and a reduction of the radiative lifetime. This is especially true for chalcogenide glass hosts, which typically have refractive index in the 2 to 3 range. Metastable Lifetime In the limit of low rare-earth dopant concentration, WET in (43.9) is zero because the ions are sufficiently well separated to negate their interaction. If the difference in energy between the upper lasing level and the adjacent state is several times the effective phonon energy, then WMP can be neglected to first order. Further neglecting impurity quenching, we can then assert that τ0 ≈ 1/A, where τ0 is the metastable lifetime in the limit of low rare-earth concentration. From Einstein’s relations, A ≈ σp , so it follows that τ0 ≈ 1/σp . In short, hosts that result in an enhancement of the peak stimulated emission cross-section (due to an enhancement of the electric-dipole interaction or because of a high local field correction factor) will also result in a reduction in metastable lifetime. In other words, both stimulated and spontaneous emission rates are enhanced. The inverse scaling of stimulated emission crosssection and metastable lifetime represents a tradeoff, as it is generally desirable for the lifetime to be as large as possible. Some of the advantages of long lifetime were discussed in Sect. 43.3.1. In addition, the pumping efficiency (gain per applied pump power) of a waveguide amplifier scales directly with τ [43.6, 81] and, therefore, the threshold for CW lasing scales inversely with τ [43.86]. Concentration Quenching Glasses differ greatly in the amount of a given rare-earth dopant that they are able to dissolve. To avoid problematic ion–ion interactions, the rare-earth ions should be
Table 43.1 Representative parameters for erbium ions embedded in various types of glass (after [43.61, 64, 65]) Glass host
Refractive index n
Peak stimulated emission cross-section σp (10−21 cm2 )
Silica
1.46
7
Amorphous Al2 O3
1.64
6
Aluminosilicate
1.5
5.7
Phosphate
1.56
Fluoride
1055
Metastable lifetime τ (ms)
Quenching concentration ρq (1020 cm−3 )
Effective luminescence bandwidth (nm)
12
−
11
−
55
10
3.9–6.0
43
8
10
3.9–8.6
27
1.53
5
9
3.8–5.3
63
Tellurite
2.1
13
3.3
−
80
Sulfide
2.4
20
2.5
3.2
−
7.8
Part D 43.3
Stimulated Emission Cross-Section Stimulated transitions of rare-earth ions in glass tend to be predominately driven by electric dipole interactions [43.65]. For a given transition of interest, the spontaneous emission probability can be expressed in cgs units as [43.6, 85]:
43.3 Laser Glasses for Integrated Light Sources
1056
Part D
Materials for Optoelectronics and Photonics
Part D 43.3
incorporated homogeneously into the glass structure. In the extreme case of high concentration, the rare-earth ions will form microscopic clusters (phase separation). Such clustering is highly detrimental, as typically all of the ions within a cluster are effectively removed from the desired stimulated emission process [43.81]. As is well known [43.64, 65], the onset of clustering occurs at quite small values (≈ 0.1 at %) in pure silica glass. The addition of Al2 O3 to SiO2 allows silica-based fibers to dissolve a significantly higher concentration. For example, a value of 10–20 for the Al ion to Er ion concentration ratio has been shown to greatly reduce clustering of Er ions in silica glass [43.86]. Interestingly, the addition of Ga (with similar ratio) to chalcogenide glasses has been shown to provide a similar reduction in rare-earth ion clustering [43.41]. These additives essentially modify the glass network, and create sites for isolated rare-earth ions to be incorporated. For similar reasons, multicomponent alumino-silicate and phosphosilicate glasses have been favored in the development of integrated waveguide amplifiers, where the rare-earth concentration must be orders of magnitude higher than in fibers [43.81]. Even in the absence of significant clustering, ion– ion interactions can occur at high concentrations. This is simply due to the reduction in inter-ion spacing, and is exacerbated by any non-uniform (non-homogeneous) distribution of the rare-earth ions in the glass host. These interactions are manifested by a reduction in the metastable lifetime, often well described by the semi-empirical expression [43.61, 65]: τ(ρ) =
τ0 p
1 + ρ/ρ Q
(43.12)
where ρ is the rare-earth ion concentration, ρ Q is the so-called quenching concentration, and p is a fitting parameter ( p ≈ 2 for interactions between pairs of ions). The parameter ρ Q is useful for comparing glasses in terms of their ability to uniformly dissolve a given ion. Phonon Energies The characteristic phonon energies of a glass depend on the weights of its constituent atoms and the strength and nature (ionic or covalent) of its bonds. Typical values are shown in Table 43.2. The rate of multi-phonon decay (WMP) between two energy levels depends exponentially on the number of phonons required to bridge the energy gap. Thus, the phonon energy has a great impact on the ultimate efficiency of a desired radiative transition. Low phonon energy can be a good or bad
thing, depending on the transition of interest and the particular pumping scheme. Mid- to far-infrared transitions of rare-earth ions can exhibit reasonably high quantum efficiency in low phonon energy hosts, such as fluoride, tellurite, and especially chalcogenide glasses. If the same ions are embedded in silicate or phosphate glasses, these transitions are completely quenched by non-radiative processes at room temperature. For this reason, rare-earth doped chalcogenide glasses are of interest for realization of long wavelength amplifiers and lasers [43.41, 64]. Also unique to low phonon energy hosts is the possibility of efficient upconversion lasers [43.87]. In simple terms, the long lifetimes of numerous energy levels allows processes such as ion–ion interactions and excited state absorption (ESA) to efficiently populate the higher energy levels. By contrast, these levels are rapidly depopulated by phonons in oxide glasses. On the other hand, population of the higher levels is highly detrimental if the desired transition is between two lower levels. For example, the efficient pumping of EDFAs using 980 nm wavelength sources relies on the rapid decay (via multiphonon processes) of ions from the 4I 4 11/2 pumping level to the I13/2 lasing level. In fluoride and chalcogenide glass hosts, ions raised above the 4 I11/2 level tend to become trapped in higher levels (so-called ‘population bottlenecking’ [43.65]). Cerium co-doping has been shown to alleviate this problem [43.66]. Another approach is addition of light elements to the glass network, to increase the phonon energy [43.79].
43.3.3 Progress Towards Integrated Light Sources in Glass Per the preceding discussion, important goals for glass-based lasers include size reduction and the need for simplified optical or (ideally) electrical pumping Table 43.2 Characteristic maximum phonon energies for a variety of glass hosts (after [43.6, 65]) Glass host
Phonon energy (cm−1 )
Borate Phosphate Silicate Germanate Tellurite Heavy-metal fluoride Chalcogenide (sulfide) Chalcogenide (selenide)
1400 1200 1100 900 700 500 450 350
1058
Part D
Materials for Optoelectronics and Photonics
Part D 43.4
of glass enables a rich array of processing and postprocessing options. Direct patterning of waveguides, gratings, and other microphotonic elements by energetic beams is widely studied. These methods of material modification are also promising for post-fabrication trimming of devices. On the other hand, metastability has implications for processing and aging of glass devices. Rare-earth doped glasses offer numerous advantages relative to other solid-state laser media, especially for realization of ultra-low noise single frequency and ultrafast lasers. Recent advances have greatly increased the maximum gain per unit length, and point to the potential for compact, on-chip glass-based light sources. Progress with respect to 2nd and 3rd order nonlinear effects in glasses is ongoing. It is expected that cm-scale switching or pulse shaping devices based on glasses will become feasible, at least for niche applications. Overall, it is clear that glasses can contribute greatly to the development of compact, low-loss, multifunction optics integrated with electronics. Defining Terms Amorphous Metaloxides are glassy alloys of a transi-
tion metal with oxygen, typical examples being TiO2 , Ta2 O5 , Nb2 O5 , and Y2 O3 . In bulk form, these materials are typically polycrystalline or crystalline ceramics. However, amorphous thin films can be deposited with relative ease, and they have been widely used as high index layers in optical filter design and as dielectric layers in the microelectronics industry. Broadband Sensitizer is typically some species codoped along with rare-earth ions into a glass host, in order to increase the pumping efficiency or radiative efficiency of the rare-earth ions. Various sensitizers have been demonstrated, including silicon nanoclusters, silver ions, and other rare earth ions (such as in the sensitization of erbium by ytterbium). Chalcogenide Glasses are amorphous alloys containing
S, Se, and/or Te. Typical examples include Se, GeS2 , GeSe2 , As2 S3 , As2 Se3 , and As2 Te3 . By intermixing these and other binary chalcogenide glasses, a wide variety of multicomponent glasses can be formed. Further, a wide range of non-stoichiometric compositions is possible. Several compositions have become standard industrial materials, including Ge33 As12 Se55 and Ge28 Sb12 Se60 . The chalcogenide glasses are characterized by narrow bandgaps and good transparency in the mid to far infrared wavelength range.
Concentration Quenching refers to the reduction in luminescence efficiency and luminescence lifetime of a laser glass when the rare-earth dopant concentration is high. Quenching is due to interactions between closely spaced rare-earth ions at high concentrations. These interactions create new pathways, other than the desired radiative decay, for the ions to relax to the ground state after they have been raised to a desired lasing level by pump energy. Devitrification refers to the transition of a glassy ma-
terial to its lower energy crystalline state. This process is usually driven by thermal energy, such as if the material is held at some characteristic temperature above its glass transition temperature. The difference between the crystallization temperature and the glass transition temperature for a particular glass is one measure of its stability. Fluoride Glasses are multicomponent glasses, typically based on fluorides of zirconium, barium, lead, gallium, lanthanum, aluminium, and sodium. They have a wide transparency range, from ultraviolet to midinfrared wavelengths. They also have low characteristic phonon energies and can dissolve large concentrations of rare-earth ions. For these reason, they are extremely popular as hosts for rare-earth doped amplifiers and lasers operating in the UV-vis and mid-infrared regions. Glass Transition Temperature is the approximate tem-
perature at which a material changes from a supercooled liquid to an amorphous solid, or vice versa. The transition is marked by an abrupt but continuous change in slope of the specific volume and enthalpy versus temperature curves. Viscosity varies rapidly near the glass transition temperature, which is also sometimes called the softening temperature. High Index Contrast refers to waveguides or devices
fabricated using two or more materials that have very different refractive index. High index contrast is the basis for the confinement of light to very small cross-sectional area waveguides or very small volume optical cavities, either using total internal reflection or photonic bandgap effects. High index contrast thus is the basis for increased density of optical integrated circuits. Integrated Optics/Photonics refers to the manufacture
of photonic elements and circuits on a planar substrate, typically using thin film deposition, lithography, and etching steps. Typically, the substrate is a glass or semi-
Glasses for Photonic Integration
conductor wafer and the photonic elements are guided wave devices. Metastability is a term that refers to the non-equilibrium
nature of glasses or amorphous solids. Amorphous solids have excess internal energy relative to the corresponding crystalline state or states of the same material. The method of manufacture, such as melt quenching, inhibits a transition to the lowest energy crystalline state. Microphotonics refers to the chip-scale manufacture of
Photoinduced Effects are changes in the properties of a glass induced by light, involving transitions between metastable states of the glass or changes in defect sites within the glass. Typically, a laser beam is used to locally modify the refractive index, density, absorption coefficient, etc. of the glass. These processes are widely used to pattern photonic structures such as Bragg gratings, waveguides, and refractive lenses into glasses. Planar Lightwave Circuit or PLC refers to the industrially established processes for manufacturing integrated
optics devices in silica-based glasses deposited on silicon wafers. Typically, the glass layers are deposited by chemical vapor deposition or flame hydrolysis. These technologies were developed mainly for applications in fiber optics, and are widely used to manufacture wavelength multiplexers. Reflow is the process of heating a glass above its glass
transition temperature, to the point that its viscosity is sufficiently reduced to enable the material to flow. In combination with surface tension effects or other external forces, reflow is often exploited in the reshaping of optical devices. Supercooling Temperature is the difference between
the glass transition temperature and the in-use temperature for a glass-based device. For a large (small) supercooling temperature, the structural relaxation rate is low (high). Structural Relaxation is essentially an aging effect associated with glasses. Because glasses are metastable materials with random network structures, they are inherently subject to short or long term changes in material properties. Often, structural relaxation is manifested by a change in specific volume (densification) at fixed temperature versus time. The rate of such changes is extremely sensitive to the difference between the glass transition temperature and the observation temperature. Structural relaxation can be induced rapidly by an annealing step, in which the glass is heated near its glass transition temperature for some period of time.
References 43.1 43.2
43.3
43.4
43.5 43.6 43.7 43.8
H. Rawson: Glass and its History of Service, Part A, IEE Proceedings 135(6), 325–345 (1988) W. J. Tropf, M. E. Thomas, T. J. Harris: OSA Handbook of Optics, Vol. II, 2nd edn. (McGraw-Hill, New York 1995) K. Hirao, T. Mitsuyu, J. Si, J. Qiu: Active Glass for Photonic Devices, Photoinduced Structures and Their Application (Springer, Berlin, Heidelberg 2001) Z. Yin, Y. Jaluria: Neck-down and thermally induced defects in high-speed optical fiber drawing, J. Heat Transfer 122(2), 351–362 (2000) W. A. Gambling: IEEE J. Sel. Top. Quant. Elec. 6, 1084 (2000) M. Yamane, Y. Asahara: Glasses for Photonics (Cambridge Univ. Press, Cambridge 2000) J. Lucas: Curr. Op. Sol. St. Mat. Sci. 4, 181 (1999) H. Ma, A. K.-Y. Jen, L. R. Dalton: Adv. Mat. 14, 1339 (2002)
1059
43.9
43.10
43.11 43.12 43.13 43.14 43.15
43.16
M. R. Poulsen, P. I. Borel, J. Fage-Pederson, J. Hubner, M. Kristensen, J. H. Povlsen, K. Rottwitt, M. Svalgaard, W. Svendsen: Opt. Eng. 42, 2821 (2003) K. Okamoto: Integrated Optical Circuits and Components, Design and Applications (Dekker, New York 1999), Chapt. 4 S. Torquato: Nature 405, 521 (2000) P. G. Debenedetti, F. H. Stillinger: Nature 410, 259 (2001) C. A. Angell, K. L. Ngai, G. B. McKenna, P. F. McMillan, S. W. Martin: J. Appl. Phys. 88, 3113 (2000) J. M. Saiter, M. Arnoult, J. Grenet: Phys. B: Cond. Matt. 355, 370 (2005) J. C. Anderson, K. D. Leaver, R. D. Rawlings, J. M. Alexander: Materials Science, 4th edn. (Chapman Hall, London 1990) B. Hendriks, S. Kuiper: IEEE Spectrum 41, 32 (2004)
Part D 43
optical and photonic waveguide circuitry, using processing techniques borrowed from the microelectronics industry. Related to this is the need for high-density integrated optics, as facilitated by high index contrast waveguides and photonic crystals. By usual definition, microphotonics refers specifically to the monolithic manufacture of optical and photonic elements on silicon (CMOS) chips.
References
1060
Part D
Materials for Optoelectronics and Photonics
43.17 43.18 43.19 43.20 43.21 43.22 43.23
Part D 43
43.24
43.25
43.26 43.27 43.28 43.29 43.30 43.31 43.32 43.33 43.34 43.35 43.36 43.37 43.38 43.39 43.40 43.41
43.42 43.43 43.44 43.45
G. P. Agrawal: Fiber-Optic Communication Systems, 2nd edn. (Wiley, New York 1997) Y. P. Li, C. H. Henry: IEE Proc.-Optoelectron 143, 263 (1996) R. R. A. Syms, W. Huang, V. M. Schneider: Elec. Lett. 32, 1233 (1996) R. R. A. Syms, A. S. Holmes: IEEE Phot. Tech. Lett. 5, 1077 (1993) T. J. Kippenberg, S. M. Spillane, B. Min, K. J. Vahala: IEEE J. Sel. Top. Quant. Elec. 10, 1219 (2004) D. W. Vernooy, V. S. Ilchenko, H. Mabuchi, E. W. Streed, H. J. Kimble: Opt. Lett. 23, 247 (1998) M. L. Gorodetsky, A. A. Savchenkov, V. S. Ilchenko: Opt. Lett. 21, 453 (1996) L. Tong, R. R. Gattass, J. B. Ashcom, S. He, J. Lou, M. Shen, I. Maxwell, E. Mazur: Nature 426, 816 (2003) P. J. Roberts, F. Couny, H. Sabert, B. J. Mangan, D. P. Williams, L. Farr, M. W. Mason, A. Tomlinson, T. A. Birks, J. C. Knight, P. St. J. Russell: Opt. Express 13, 236 (2005) C.-T. Pan, C.-H. Chien, C.-C. Hsieh: Appl. Opt. 43, 5939 (2004) M. He, X.-C. Yuan, N. Q. Ngo, J. Bu, V. Kudryashov: Opt. Lett. 28, 731 (2003) M. He, X.-C. Yuan, J. Bu: Opt. Lett. 29, 2004 (2004) Y. A. Vlasov, S. J. McNab: Opt. Express 12, 1622 (2004) F. Grillot, L. Vivien, S. Laval, D. Pascal, E. Cassan: IEEE Phot. Tech. Lett. 16, 1661 (2004) T. Barwicz, H. I. Smith: J. Vac. Sci. Tech. B 21, 2892 (2003) D. K. Armani, T. J. Kippenberg, S. M. Spillane, K. J. Vahala: Nature 421, 925 (2003) V. Van, P. P. Absil, J. V. Hryniewicz, P.-T. Ho: J. Light. Tech. 19, 1734 (2001) K. J. Vahala: Optical Microcavities, Nature 424, 839– 851 (August 2003) S. J. McNab, N. Moll, Y. A. Vlasov: Opt. Express 11, 2927 (2003) P. K. Gupta, D. Inniss, C. R. Kurkijian, Q. Zhong: J. Non-Crystalline Sol. 262, 200 (2000) D. P. Bulla, W.-T. Li, C. Charles, R. Boswell, A. Ankiewicz, J. Love: Appl. Opt. 43, 2978 (2004) X. H. Zhang, Y. Guimond, Y. Bellec: J. Noncrystalline Sol. 326&327, 519 (2003) A. K. Mairaj, X. Feng, D. P. Shepherd, D. W. Hewak: Appl. Phys. Lett. 85, 2727 (2004) A. K. Mairaj, R. J. Curry, D. W. Hewak: Appl. Phys. Lett. 86, 094102 (2005) J. S. Sanghera, L. B. Shaw, I. D. Aggarwal: RareEarth-Doped Fiber Lasers and Amplifiers, 2nd edn. (Dekker, New York 2001), Chapter 9 Z. Zhang, G. Xiao, C. P. Grover: Appl. Opt. 43, 2325 (2004) P. K. Tien: Appl. Opt. 10, 2395 (1971) R. Ulrich: J. Vac. Sci. Tech. 11, 156 (1974) P. K. Tien, A. A. Ballman: J. Vac. Sci. Tech. 12, 892 (1974)
43.46 43.47 43.48
43.49 43.50
43.51
43.52 43.53 43.54 43.55
43.56 43.57
43.58 43.59 43.60 43.61 43.62
43.63 43.64 43.65
43.66
43.67
43.68 43.69 43.70 43.71
J. M. Mir, J. A. Agostinelli: J. Vac. Sci. Tech. A 12, 1439 (1994) J. Mueller, M. Mahnke, G. Schoer, S. Wiechmann: AIP Conference Proceedings 709, 268 (2004) D. Cangialosi, M. Wubbenhorst, H. Schut, A. van Veen, S. J. Picken: Phys. Rev. B 69, 134206–1 (2004) M. B. J. Diemeer: AIP Conference Proceedings 709, 252 (2004) N. Daldosso, M. Melchiorri, F. Riboli, F. Sbrana, L. Pavesi, G. Pucker, C. Kompocholis, M. Crivellari, P. Bellutti, A. Lui: Mat. Sci. Semicond. Proc. 7, 453 (2004) A. V. Kolobov (Ed.): Photo-induced Metastability in Amorphous Semiconductors (Wiley-VCH, Weinheim 2003) K. Tanaka: C.R. Chimie 5, 805 (2002) H. Haeiwa, T. Naganawa, Y. Kokubun: IEEE Phot. Tech. Lett. 16, 135 (2004) K. Minoshima, A. M. Kowalevicz, E. P. Ippen, J. G. Fujimoto: Opt. Express 10, 645 (2002) N. Ponnampalam, R. G. DeCorby, H. T. Nguyen, P. K. Dwivedi, C. J. Haugen, J. N. McMullin, S. O. Kasap: Opt. Express 12, 6270 (2004) K. O. Hill: IEEE J. Sel. Top. Quant. Elec. 6, 1186 (2000) P. R. Herman, R. S. Marjoribanks, A. Oettl, K. Chen, I. Konovalov, S. Ness: Appl. Surf. Sci. 154-155, 577 (2000) M. Aslund, J. Canning: Opt. Lett. 25, 692 (2000) C. Florea, K. A. Winick: J. Light. Tech. 21, 246 (2003) A. Zakery, Y. Ruan, A. V. Rode, M. Samoc, B. LutherDavies: J. Opt. Soc. Am. B. 20, 1844 (2003) X. Orignac, D. Barbier, X. Min Du, R. M. Almeida, O. McCarthy, E. Yeatman: Opt. Mat. 12, 1 (1999) R. A. Bellman, G. Bourdon, G. Alibert, A. Beguin, E. Guiot, L. B. Simpson, P. Lehuede, L. Guiziou, E. LeGuen: J. Electrochem. Soc. 151, 541 (2004) G.-L. Bona, R. Germann, B. J. Offrein: IBM J. Res. & Dev. 47, 239 (2003) A. J. Kenyon: Prog. Quant. Elec. 26, 225 (2002) M. J. Miniscalco: Rare-Earth-Doped Fiber Lasers and Amplifiers, 2nd edn. (Dekker, New York 2001), Chapt. 2 Y. Gao, B. Boulard, M. Couchaud, I. Vasilief, S. Guy, C. Duverger, B. Jacquier: Opt. Mat. 27, 195–199 (2005) T. Barwicz, M. A. Popovic, P. T. Rakich, M. R. Watts, H. A. Haus, E. P. Ippen, H. I. Smith: Opt. Express 12, 1437 (2004) T. Kawashima, K. Miura, T. Sato, S. Kawakami: Appl. Phys. Lett. 77, 2613 (2000) R. Rabady, I. Avrutsky: Appl. Opt. 44, 378 (2005) H. Hirota, M. Itoh, M. Oguma, Y. Hibino: IEEE Phot. Tech. Lett. 17, 375 (2005) C.-Y. Tai, J. S. Wilkinson, N. M. B. Perney, M. Caterina Netti, F. Cattaneo, C. E. Finlayson, J. J. Baumberg: Opt. Express 12, 5110 (2004)
Glasses for Photonic Integration
43.72
43.73
43.74 43.75 43.76 43.77
43.79 43.80 43.81
43.82
43.83
43.84 43.85 43.86
43.87
43.88 43.89 43.90 43.91
43.92 43.93
43.94 43.95 43.96 43.97 43.98
A. Yariv: Optical Electronics in Modern Communications, 5th edn. (Oxford Univ. Press, New York 1997) Q. Wang, N. K. Dutta: J. Appl. Phys. 95, 4025 (2004) M. J. F. Digonnet: Rare-Earth-Doped Fiber Lasers and Amplifiers, 2nd edn. (Dekker, New York 2001), Chapt. 3 D. S. Funk, J. G. Eden: Rare-Earth-Doped Fiber Lasers and Amplifiers, 2nd edn. (Dekker, New York 2001), Chapt. 4 S. Saini, J. Michel, L. C. Kimerling: J. Light. Tech. 21, 2368 (2003) P. G. Kik, A. Polman: J. Appl. Phys. 93, 5008 (2003) P. Laporta, S. Taccheo, S. Longhi, O. Svelto, C. Svelto: Opt. Mat. 11, 269 (1999) F. J. Grawert, J. T. Gopinath, F. O. Ilday, H. M. Shen, E. P. Ippen, F. X. Kartner, S. Akiyama, J. Liu, K. Wada, L. C. Kimerling: Opt. Lett. 30, 329 (2005) U. Keller: Nature 424, 831 (2003) T. Qiu, L. Li, A. Schulzgen, V. L. Temyanko, T. Luo, S. Jiang, A. Mafey, J. V. Moloney, N. Peyghambarian: IEEE Phot. Tech. Lett. 16, 2592 (2004) Y. C. Yan, A. J. Faber, H. de Waal, P. G. Kik, A. Polman: Appl. Phys. Lett. 71, 2922 (1997) F. D. Patel, S. DiCarolis, P. Lum, S. Venkatesh, J. N. Miller: IEEE Phot. Tech. Lett. 16, 2607 (2004) A. Polman, F. C. J. M. van Veggel: J. Opt. Soc. Am. B 21, 871 (2004) H.-S. Han, S.-Y. Seo, J. H. Shin, N. Park: Appl. Phys. Lett. 81, 3720 (2002) J. Lee, J. H. Shin, N. Park: J. Light. Tech. 23, 19 (2005)
1061
Part D 43
43.78
B. Unal, C.-Y. Tai, D. P. Shepherd, J. S. Wilkinson, N. M. B. Perney, M. Caterina Netti, G. J. Parker: Nd:Ta2O5 rib waveguide lasers, Appl. Phys. Lett. 86, 021110 (2005) Y. Kokubun, Y. Hatakeyama, M. Ogata, S. Suzuki, N. Zaizen: IEEE J. Sel. Top. Quant. Elec. 11, 4 (2005) T. Sato, K. Miura, N. Ishino, Y. Ohtera, T. Tamamura, S. Kawakami: Opt. Quant. Elec. 34, 63 (2002) D. R. MacFarlane: Ceramics International 22, 535 (1996) A. Feigel, M. Veinger, B. Sfez, A. Arsh, M. Klebanov, V. Lyubin: Appl. Phys. Lett. 83, 4480 (2003) G. Lenz, S. Spalter: Nonlinear Photonic Crystals (Springer, Berlin, Heidelberg 2003), Chapt. 11 K. Ogusu, J. Yamasaki, S. Maeda, M. Kitao, M. Minakata: Opt. Lett. 29, 265 (2004) S. Hocde, S. Jiang, X. Peng, N. Peyghambarian, T. Luo, M. Morrell: Opt. Mat. 25, 149 (2004) R. Nayak, V. Gupta, A. L. Dawar, K. Sreenivas: Thin Sol. Films 445, 118 (2003) D. Barbier: Integrated Optical Circuits and Components, Design and Applications (Dekker, New York 1999), Chapt. 5 B. E. Callicoatt, J. B. Schlager, R. K. Hickernell, R. P. Mirin, N. A. Sanford: IEEE Circuits & Devices Mag. 19, 18 (September 2003) E. DeSurvire: Rare-Earth-Doped Fiber Lasers and Amplifiers, 2ed. (Dekker, New York 2001), Chapt. 10
References
1063
Optical Nonlin 44. Optical Nonlinearity in Photonic Glasses
New developments in optical fibers and pulsed lasers have prompted increasing interest in optical nonlinearity in photonic glasses [44.1–3]. Third-order polarization yields several nonlinear phenomena, such as intensitydependent absorption and intensity-dependent refractive index, which can be utilized in power stabilizers and all-optical switches. On the other hand, in high-power glass lasers, self-focusing effects arising from intensitydependent increases in refractive index pose serious problems. Then again, the second-order polarization that occurs in poled glasses can be utilized in second harmonic generation for example. The present chapter provides a brief review of optical nonlinearity in inorganic glasses. At this point we should mention that, in many respects, organic polymers exhibit similar features to those of glass [44.4, 5]. In general, glass is more stable, while polymers can provide greater nonlinearity, so these two types of material are competitors in practical applications. Glass also competes with crystals. A great advantage of glass is the ability to control its structure at three scales. First, the atomic composition of the glass can be tailored continuously. For instance, we can obtain nonlinear optical glass with any refractive index in the range of 1.4–3.2 at a wavelength of ≈ 1 µm. Second, the atomic structure can be modified reasonably easily using, e.g., light beams. Such modifications may be regarded as photoinduced phenomena, which can be
44.1 Third-Order Nonlinearity in Homogeneous Glass ......................... 1064 44.1.1 Experimental ............................ 1064 44.1.2 Theoretical Treatment ................ 1065 44.1.3 Stimulated Light Scattering and Supercontinuum Generation 1068 44.2 Second-Order Nonlinearity in Poled Glass ..................................... 1069 44.3 Particle-Embedded Systems ................. 1070 44.4 Photoinduced Phenomena ................... 1071 44.5 Summary ............................................ 1072 References .................................................. 1072
employed in order to add second-order nonlinearity to selected regions and so forth. Lastly, macroscopic shapes can be changed into arbitrary bulk forms, fibers, thin layers and microparticles [44.6, 7]. Here, fiber and film waveguides may be very important for nonlinear applications for two reasons. One is that the light power density can be increased by reducing the lateral size, i. e. the film thickness or fiber diameter, to submicron scales. The other is, that fibers can provide long lengths for light–glass interactions that are not limited by diffraction. These scale factors produce apparent enhancements in nonlinear effects in glasses, although the intrinsic nonlinearity may be smaller than that in crystals. Before proceeding further, it would be useful to introduce a nonlinear formula [44.4,5]. For simplicity, we take the polarization P and the electric field E to be scalar quantities. Then, very simply, P can be written down in the cgs units as P = χ (1) E + χ (2) E E + χ (3) E E E + . . . ,
(44.1)
where the first term χ (1) E depicts the conventional linear
response, and χ (2) and χ (3) represent the second- and the third-order nonlinear susceptibilities. χ$(1) is related %1/2to the linear refractive index n 0 via n 0 = 1 + 4πχ (1) . On the other hand, the second term provides such time dependence as sin{(ω ± 2ω)t}, so that it could produce dc and second-overtone (2ω) signals. In a similar way, the
Part D 44
A brief review of optical nonlinearity in photonic glasses is given. For third-order nonlinearity, the relationship between two-photon absorption and nonlinear refractive index is considered using a formalism developed for crystalline semiconductors. Stimulated light scattering and supercontinuum generation in optical fibers are also introduced. Prominent resonant-type nonlinearity in particle-embedded glasses is described. For second-order nonlinearity, a variety of poling methods are summarized. Finally, it is pointed out that various photoinduced changes can appear when excited by linear and nonlinear optical processes, and this is related to glass structure.
Optical Nonlinearity in Photonic Glasses
For instance, as illustrated in Fig. 44.6, a 350 m single-mode fiber excited by a 2.22 W CW laser with a wavelength of 1.483 µm can emit 2.1 W over a broad spectrum of 1.43–1.53 µm [44.39]. Note that, unlike the stimulated light scattering described above, light of a shorter wavelength is also generated in this process. Such a spectral-
44.2 Second-Order Nonlinearity in Poled Glass
1069
conversion fiber could be utilized as a broadband optical amplifier. The phenomenon appears under strong and prolonged nonlinear interactions, and accordingly, several mechanisms such as intensitydependent refractive index changes, third harmonic generation and stimulated Raman scattering could be responsible [44.39].
44.2 Second-Order Nonlinearity in Poled Glass Table 44.2 Reported poling methods, applied objects, typ-
ical procedures, and induced χ (2) values in silica. For references, see the main text. The χ (2) values listed are (2) compared with χ11 = 1 pm/V in crystalline SiO2 and (2) χ22 = 5 pm/V in LiNbO3 Method
Object
Procedure
χ (2) (pm/V)
Optical Thermal Corona
Fiber Bulk Film
10−4 1 1
e-beam
Bulk
Proton
Bulk
UV
Bulk
Nd:YAG laser, 1 h 4 kV, 300 ◦ C, 1 h 5 kV, 300 ◦ C, 15 min 40 kV, 10 mA, 10 min 500 kV, 1 mC, 100 s ArF laser, 10 kV
1 1 3
a χ (2) of ≈ 1 pm/V [44.54]. Sixth, Fujiwara et al. (1997) demonstrated UV poling in Ge-doped SiO2 subjected to electric fields of ≈ 105 V/cm. The χ (2) induced is reported to be ≈ 3 pm/V, comparable to that in LiNbO3 . Two poling mechanisms of note have been proposed [44.8]. One is that space charge produces a built-in electric field of E DC (≈ 106 V/cm), which induces an effective χ (2) of 3E DC χ (3) [44.46]. Here, E DC is governed by the migration of ions such as Na+ under applied or generated electric fields [44.55]. In agreement with this idea, χ (2) decays with a time constant of 101 –106 days at room temperature, which is connected to the alkali ion mobility [44.55]. The other idea is that oriented defects such as E’ centers are responsible. It is reasonable to assume that UV excitation produces defective dipoles, which are oriented with the static electric field. It may be reasonable to assume that the dominant mechanism depends upon the poling method. Actually, we can divide the procedures listed in Table 44.2 into two types, depending upon whether or not the glass is heated during the poling process. The heating tends to enhance macroscopic ion migration,
Part D 44.2
It has been discovered that several kinds of poling methods can add second-order nonlinearity to glasses [44.8]. At least five kinds have been demonstrated, which are listed in Table 44.2. Note that similar procedures are also employed for polymers. Most experiments utilize second harmonic signals to evaluate χ (2) and, less commonly, electro-optical effects. Practical applications remain to be studied, while, for second-harmonic generations, the optical phase matching between exciting and nonlinearly-generated light is a prerequisite [44.45]. This so-called optical poling was demonstrated by Österberg and Margulis [44.9] using optical fibers. They found that exposing Ge-doped optical fibers of length ≈ 1 m to 70 kW Nd:YAG laser light for ≈ 1 h could increase the second-harmonic signal to 0.55 kW. Stolen and Tom [44.46] utilized two light beams (x1 and x2, of Nd:YAG laser light) for induction, which reduced the exposure time to ≈ 5 min. However, the method was only practical for optical fibers, since the nonlinearity induced was relatively small, χ (2) ≈ 10−4 pm/V. Second, socalled thermal poling, which was actually electrothermal in nature, was demonstrated in bulk SiO2 samples by Myers et al. [44.47]. The nonlinearity induced, ≈ 1 pm/V, which was evaluated from the second harmonic signals of 1.06 µm laser light, is of a similar magnitude to that in quartz. Since this nonlinearity is reasonably large, this method has been widely applied to other glasses, such as PbO-SiO2 [44.48], Nb2 O5 -B2 O3 -P2 O5 CaO [44.49], and TeO2 -Bi2 O3 -ZnO [44.50]. Third, Okada et al. [44.51] demonstrated the corona-discharge poling at ≈ 200 ◦ C of 7059 films deposited onto Pyrex glass substrates. This corona-poling procedure has often been employed for organic polymers. Fourth, electronbeam poling of PbO-silica glass was shown to produce ≈ 1 pm/V [44.52]. An advantage of this method is its high resolution, which may hold promise in the fabrication of optical integrated circuits, despite the fact that a vacuum is needed. Liu et al. (2001) [44.53] applied the method to chalcogenide glasses, which produced ≈ 1 pm/V. Proton implantation into silica can also add
1070
Part D
Materials for Optoelectronics and Photonics
while it can also relax microscopic defect orientations at the same time [44.25]. Therefore, it seems that the ion migration is responsible in thermal and coronal poling, while defect orientation dominates in the other methods. In this context, poling at low temperatures may be a promising way of enhancing defect orientation.
In so-called glass ceramics, embedded crystals seem to be responsible for prominent χ (2) [44.50, 56, 57]. For instance, Takahashi et al. [44.57] demonstrated that oriented Ba2 TiSi2 O8 crystals are produced in BaOTiO2 -SiO2 glass by heat treatment at 760 ◦ C for 1 h, which gives a prominent χ (2) of ≈ 10 pm/V. χ (2) can also be generated at interfaces [44.58].
44.3 Particle-Embedded Systems
Part D 44.3
Glasses that contain nanoparticles of metals [44.65, 66] and semiconductors [44.67] have attracted considerable interest due to their unique third-order nonlinearities. Table 44.3 lists several recent results. Such glasses containing dispersed nanoparticles can be prepared by a variety of physical and chemical methods, e.g., vacuum deposition and sol-gel techniques [44.4, 65, 68]. For semiconductor systems, a lot of work has also been investigated in semiconductor-doped color glass filters [44.67], which are now commercially available. These nanoparticle systems work efficiently at close to the resonant wavelengths of some electronic excitations. This feature produces at least three characteristics. First, the imaginary, not the real, part of χ (3) may be more prominent. Accordingly, Table 44.3 compares absolute values. Second, the system exhibits a strong spectral dependence [44.4, 69]. For instance, Ausilica and CdSSe-silica are efficient at ≈ 580 nm and ≈ 800 nm [44.4]. Third, the response time τ and the linear absorption α tend to become longer and higher. Actually, a trade-off between χ (3) and τ and α seems to exist. For instance, CdSSe-dispersed glasses show χ (3) value of ≈ 10−9 esu with τ value of ≈ 20 ps, while Au-dispersed glasses give ≈ 10−11 esu and ≈ 1 ps, respectively [44.4]. Linear absorption can be as large as 104 cm−1 [44.65], so these systems can be utilized as
small devices, not as fibers. Note that, in pure silica at nonresonant infrared wavelengths, χ (3) ≈ 10−13 esu, τ ≈ 10 fs, and α ≤ 10−5 cm−1 [44.65]. As is suggested above, the particle-embedded system should surmount two problems for wide applications. One is the reduction of linear attenuation, including absorption and scattering, and the other is the shift of resonant wavelengths to the optical communication region, 1.3–1.5 µm. Is such a shift possible? What are the mechanisms that give rise to these prominent nonlinearities in particle-embedded systems? When the particle is a semiconductor such as CuCl and CdSSe, excitons or confined electron-hole pairs are responsible [44.67]. Specifically, the excitons in semiconductor particles behave as two-level systems, and at the resonance frequency, |χ (3) | is written as |χ (3) | ≈ Imχ (3) ∝ |µ|4 NT1 T22 ,
(44.9)
where µ is the dipole moment of the exciton, N is the particle number, T1 (≈ 100 ps) is the lifetime of the exciton, and T2 (≈ fs) is the dephasing time. A quantitative estimation predicts that closely packed CuCl particles of radius 40 nm could provide a |χ (3) | enhancement of a factor of ≈ 103 when compared with the bulk value [44.70]. When metal particles such as spherical Au particles with diameters of 10–50 nm are used, we can envisage
Table 44.3 Several recently reported particle systems, along with their preparation methods, |χ (3) | values, and response
times τ at the measured wavelength λ, as well as references. PLD and VE depict pulsed laser deposition and vacuum evaporation System
Preparation
|χ (3) | (esu)
τ
λ (nm)
Reference
Au (15 nm)/ silica Cu (2 nm)/Al2 O3 Ag (20 nm)/BaO Fe (4 nm)/BaTiO3 SnO2 (10 nm)/silica CdS (4 nm)/silica
Shell structure PLD VE PLD Sol-gel Sol-gel
10−9 10−7 10−10 10−6 10−12 10−11
2 ps 5–450 ps 0.2 ps
550 600 820 532 1064 500
[44.59] [44.60] [44.61] [44.62] [44.63] [44.64]
1072
Part D
Materials for Optoelectronics and Photonics
In addition, as schematically illustrated in Fig. 44.7, the structural changes induced by linear and nonlinear excitation are not necessarily the same. Tanaka has demonstrated using As2 S3 that the bandgap excitations produced by one-photon and two-photon absorption produce different changes [44.78]. One-photon excitation leads to photodarkening, while two-photon excitation results in an increase in the refractive index with no photodarkening. In these phenomena, temperature rises upon intense exposure can be neglected. Such changes related to excitation processes can appear in glasses because the localized atomic wavefunction plays an important role, in contrast to the extended Bloch
wavefunctions in crystals. For instance, as we can see from (44.4), one-photon excitation occurs between wavefunctions with different parities, such as from s to p orbitals, since H is an odd function. In contrast, (44.5) shows that two-photon excitation can occur between states of the same parity states, such as from p to p. In addition, the 1/(E ni − ω) in the equation leads to the possibility of resonant and localized two-photon excitation if E ni − ω ≈ 0 is satisfied for a mid-gap state. In such cases, the mid-gap state selectively absorbs the excitation energy, leading to an atomic change which shows itself as a macroscopic photoinduced phenomenon.
44.5 Summary Part D 44
At present, there is a big gap between the science and the technology of glasses. From a physical point of view, glass science lags far behind crystal science, mainly due to experimental difficulties associated with atomic structure determination and the theoretical unavailability of Bloch-type wavefunctions. On the other hand, it is now difficult to imagine a world without optical fibers. These contrasting situations are promoting a deeper understanding of nonlinear photonic glasses and are leading to an increasingly wide range of applications for such glasses. Third-order optical nonlinearity in homogeneous glasses has been studied in a fair amount of detail. Substantial experimental data have been obtained for n 2 , which have been analyzed using empirical relations such as those from Boling. In contrast, less work has been done on the nonlinear absorption β. In the present article, therefore, we have tried to present, in a coherent way, the absorption and the refractive index using semiconductor terminology. This approach can be used to connect nonlinear properties to the energy gap. However, little work has been done on the dynamics associated with this field. For instance, it is difficult to theoretically predict the response time τ in a glass at a particular excitation energy.
Two inhomogeneous systems have aroused increasing interest. One is poled or crystallized glass. Enhanced second-order nonlinearity has been reported for such systems, and this can sometimes be added selectively to a region. The other is the particleembedded system, which can give greater third-order nonlinearity, and in some cases enhanced second-order nonlinearity too. However, the mechanism associated with this system remains to be studied. One experimental problem is to find a method that can reproduce particles of a fixed size and shape in arbitrary concentrations. These two inhomogeneous systems can be combined using photonic structure concepts, which will be of interest for future applications. Finally, nonlinear optical excitations appear to play important roles in a variety of photoinduced phenomena in glasses. However, fundamental studies are still lacking in this area. The phenomenon of interest may be nonlinear in nature, or the linear excitation of gap states may trigger successive changes. Attempting to understand the nonlinear photo– electro–structural process will provide a challenging problem.
References 44.1 44.2 44.3
G. P. Agrawal: Nonlinear Fiber Optics, 3rd edn. (Academic, San Diego 2001) P. P. Mitra, J. B. Stark: Nature 441, 1027 (2001) L. F. Mollenauer: Science 302, 996 (2003)
44.4 44.5
R. L. Sutherland: Handbook of Nonlinear Optics, 2nd edn. (Marcel Dekker, New York 2003) R. W. Boyd: Nonlinear Optics, 2nd edn. (Academic, San Diego 2003)
Optical Nonlinearity in Photonic Glasses
44.6
44.7 44.8 44.9 44.10 44.11
44.12 44.13 44.14 44.15
44.19 44.20 44.21 44.22 44.23 44.24 44.25 44.26 44.27 44.28 44.29 44.30 44.31 44.32
44.33 44.34 44.35
44.36
44.37
44.38 44.39 44.40 44.41 44.42 44.43 44.44 44.45 44.46 44.47 44.48 44.49
44.50
44.51 44.52 44.53 44.54 44.55 44.56
44.57 44.58 44.59
44.60 44.61
44.62
M. Sheik-Bahae, D. C. Hutchings, D. J. Hagan, E. W. Van Stryland: IEEE Quantum Electron. 27, 1296 (1991) K. Tanaka: Optoelectronic Materials and Devices, Vol. 1, ed. by G. Lucovsky, M. Popescu (INOE, Bucharest 2004) Chap. 3 J. Bromage: J. Lightwave Technol. 22, 79 (2004) A. Zheltikov: Appl. Phys. B 77, 143 (2003) V. Mizrahi, K. W. DeLong, G. I. Stegeman, M. A. Saifi, M. J. Andrejco: Opt. Lett. 14, 1140 (1989) M. Asobe: Opt. Fiber Technol. 3, 142 (1997) G. I. Stegeman, R. H. Stolen: J. Opt. Soc. Am. B 6, 652 (1989) K. Tanaka, T. Gotoh, N. Yoshida, S. Nonomura: J. Appl. Phys. 91, 125 (2002) A. K. Abeeluck, C. Headley: Appl. Phys. Lett. 85, 4863 (2004) H.-Y. Chen, C.-L. Lin, Y.-H. Yang, S. Chao, H. Niu, C. T. Shih: Appl. Phys. Lett. 86, 81107 (2005) R. H. Stolen, H. W. K. Tom: Opt. Lett. 12, 585 (1987) R. A. Myers, N. Mukherjee, S. R. J. Brueck: Opt. Lett. 22, 1732 (1991) Y. Luo, A. Biswas, A. Frauenglass, S. R. Brueck: Appl. Phys. Lett. 84, 4935 (2004) B. Ferreira, E. Fargin, J. P. Manaud, G. Le Flem, V. Rodriguez, T. Buffeteau: J. Non-Cryst. Solids 343, 121 (2004) G. S. Murugan, T. Suzuki, Y. Ohishi, Y. Takahashi, Y. Benino, T. Fujiwara, T. Komatsu: Appl. Phys. Lett. 85, 3405 (2004) A. Okada, K. Ishii, K. Mito, K. Sasaki: Appl. Phys. Lett. 60, 2853 (1992) P. G. Kazansky, A. Kamal, P. St. Russell: Opt. Lett. 18, 683 (1993) Q. M. Liu, F. X. Gan, X. J. Zhao, K. Tanaka, A. Narazaki, K. Hirao: Opt. Lett. 26, 1347 (2001) L. J. Henry, B. V. McGrath, T. G. Alley, J. J. Kester: J. Opt. Soc. Am. B 13, 827 (1996) O. Deparis, C. Corbari, G. Kazansky, K. Sakaguchi: Appl. Phys. Lett. 84, 4857 (2004) V. Pruneri, P. G. Kazansky, D. Hewak, J. Wang, H. Takebe, D. N. Payne: Appl. Phys. Lett. 70, 155 (1997) Y. Takahashi, Y. Benino, T. Fujiwara, T. Komatsu: Appl. Phys. Lett. 81, 223 (2002) R. T. Hart, K. M. Ok, P. S. Halasyamani, J. W. Zwanziger: Appl. Phys. Lett. 85, 938 (2004) Y. Hamanaka, K. Fukuta, A. Nakamura, L. M. LizMarzan, P. Mulvaney: Appl. Phys. Lett. 84, 4938 (2004) R. Del Coso, J. Requejo-Isidro, J. Solis, J. Gonzalo, C. N. Afonso: J. Appl. Phys. 95, 2755 (2004) Q. F. Zhang, W. M. Liu, Z. Q. Xue, J. L. Wu, S. F. Wang, D. L. Wang, Q. H. Gong: Appl. Phys. Lett. 82, 958 (2003) W. Wang, G. Yang, Z. Chen, Y. Zhou, H. Lu, G. Yang: J. Appl. Phys. 92, 7242 (2002)
1073
Part D 44
44.16 44.17 44.18
M. H. Field, J. Popp, R. K. Chang: Progress in Optics Vol. 41, ed. by E. Wolf (North Holland, Amsterdam 2000) Chap. 1 S. M. Spillane, T. J. Kippenberg, K. J. Vahala: Nature 415, 621 (2002) Y. Quiquempois, P. Niay, M. Dounay, B. Poumellec: Curr. Opinion Solid State Mater. Sci. 7, 89 (2003) U. Österberg, W. Margulis: Opt. Lett. 11, 516 (1986) A. Jha, X. Liu, A. K. Kar, H. T. Bookey: Curr. Opinion Solid State Mater. Sci. 5, 475 (2001) G. Pacchioni, L. Skuja, D. L. Griscom: Defects in SiO2 and Related Dielectrics: Science and Technology (Kluwer, Dortrecht 2000) E. M. Vogel, M. J. Weber, D. M. Krol: Phys. Chem. Glasses 32, 231 (1991) K. Tanaka: J. Mater. Sci: Mater Electron. 16, 633 (2005) M. E. Lines: J. Appl. Phys. 69, 6876 (1991) A. Zakery, S. R. Elliott: J. Non-Cryst. Solids. 330, 1 (2003) K. Tanaka: J. Non-Cryst. Solids. 338-340, 534 (2004) T. Mizunami, K. Takagi: Opt. Lett. 19, 463 (1994) A. Dragonmir, J. G. Mclnerney, N. Nikogosyan: Appl. Opt. 41, 4365 (2002) K. Tanaka, N. Yamada, M. Oto: Appl. Phys. Lett. 83, 3012 (2003) K. Tanaka: Appl. Phys. Lett. 80, 177 (2002) K. Ogusu, J. Yamasaki, S. Maeda, M. Kitao, M. Minakata: Opt. Lett. 29, 265 (2004) K. Imanishi, Y. Watanabe, T. Watanabe, T. Tsuchiya: J. Non-Cryst. Solids 259, 139 (1999) R. C. Enck: Phys. Rev. Lett. 31, 220 (1973) P. S. Weitzman, U. Osterberg: J. Appl. Phys. 79, 8648 (1996) S. R. Elliott: Physics of Amorphous Materials, 2nd edn. (Longman Scientific, Essex 1990) N. Sugimoto, H. Kanbara, S. Fujiwara, K. Tanaka, K. Hirao: Opt. Lett. 21, 1637 (1996) S. Smolorz, I. Kang, F. Wise, B. G. Aiken, N. F. Borrelli: J. Non-Cryst. Solids 256 and 257, 310 (1999) O. Sugimoto, H. Nasu, J. Matsuoka, K. Kamiya: J. Non-Cryst. Solids. 161, 118 (1993) N. L. Boling, A. J. Glass, A. Owyoung: IEEE Quant. Electron. 14, 601 (1978) V. Dimitrov, S. Sakka: J. Appl. Phys. 79, 1741 (1996) J. Qi and D. F. Xue, G. L. Ning: Phys. Chem. Glasses 45, 362 (2004) S. Suehara, P. Thomas andA. Mirgorodsky, T. MerleMejean, J. C. Champarnaud-Mesjard, T. Aizawa, S. Hishita, S. Todoroki, T. Konishi, S. Inoue: J. Non-Cryst. Solids 345&346, 730 (2004) M. Sheik-Bahae, D. J. Hagan, E. W. Van Stryland: Phys. Rev. Lett. 65, 96 (1990) T. S. Moss: Optical Properties of Semiconductors (Butterworths, London 1959) p. 48 D. C. Hutchings, M. Sheik-Bahae, D. J. Hagan, E. W. Van Stryland: Opt. Quantum. Electron. 24, 1 (1992)
References
1074
Part D
Materials for Optoelectronics and Photonics
44.63 44.64 44.65
44.66 44.67 44.68
44.69
A. Clementi, N. Chiodini, A. Paleari: Appl. Phys. Lett. 84, 960 (2004) S. G. Lu, Y. J. Yu, C. L. Mak, K. H. Wong, L. Y. Zhang, X. Yao: Microelectronic Eng. 66, 171 (2003) F. Gonella, P. Mazzoldi: Handbook of Nanostructured Materials and Nanotechnology, Vol. 4, ed. by H. S. Nalwa (Academic, San Diego 2000) Chap. 2 V. M. Shalaev: Nonlinear Optics of Random Media (Springer, Berlin, Heidelberg 2000) G. Banfi, V. Degiorgio, D. Ricard: Adv. Phys. 47, 510 (1998) M. Nogami, S. T. Selvan, H. Song: Photonic glasses: Nonlinear optical and spectral hole burning properties. In: Handbook of Advanced Electronic and Photonic Materials and Devices, Vol. 5, ed. by H. S. Nalwa (Academic, San Diego 2001) Chap. 5 J. He, W. Ji, G. H. Ma, S. H. Tang, H. I. Elim, W. X. Sun, Z. H. Zhang, W. S. Chin: J. Appl. Phys. 95, 6381 (2004)
44.70 44.71 44.72 44.73 44.74 44.75
44.76 44.77 44.78 44.79
Y. Li, M. Takata, A. Nakamura: Phys. Rev. B 57, 9193 (1998) D. Stroud, P. M. Hui: Phys. Rev. B 37, 8719 (1988) A. Puzder, A. J. Williamson, F. Gygi, G. Galli: Phys. Rev. Lett. 92, 217 401 (2004) M. Ajgaonkar, Y. Zhang, H. Grebel, C. W. White: Appl. Phys. Lett. 75, 1532 (1999) A. Podlipensky, J. Lange, G. Seifert, H. Graener, I. Cravetchi: Opt. Lett. 28, 716 (2003) R. C. Jin, Y. C. Cao, E. C. Hao, G. S. Metraux, G. C. Schatz, C. A. Mirkin: Nature 425, 487 (2003) Y. Watanabe, Y. Kikuchi, K. Imanishi, T. Tsuchiya: Mater. Sci. Eng. B 54, 11 (1998) J. S. Aitchison, J. D. Prohaska, E. M. Vogel: Met. Mater. Proc. 8, 277 (1996) K. Tanaka: Philos. Mag. Lett. 84, 601 (2004) K. Kajihara, Y. Ikuta, M. Hirano, H. Hosono: Appl. Phys. Lett. 81, 3164 (2002)
Part D 44
1075
Nonlinear Opt 45. Nonlinear Optoelectronic Materials
45.1 Background ........................................ 1075 45.1.1 Signal Processing in Optical Networks ................... 1075 45.1.2 Optical Signal Processing Using Nonlinear Optics ............... 1076
45.1.3 The Approach Taken During this Survey of Nonlinear Optoelectronic Materials ............ 1076 45.2 Illumination-Dependent Refractive Index and Nonlinear Figures of Merit (FOM) .... 1077 45.2.1 Ultrafast Response..................... 1077 45.2.2 Ultrafast Nonlinear Material Figures of Merit......................... 1078 45.2.3 Resonant Response ................... 1079 45.2.4 Resonant Nonlinear Material Figures of Merit......................... 1079 45.3 Bulk and Multi-Quantum-Well (MQW) Inorganic Crystalline Semiconductors .... 1080 45.3.1 Resonant Nonlinearities ............. 1080 45.3.2 Nonresonant Nonlinearities in Inorganic Crystalline Semiconductors ........................ 1083 45.4 Organic Materials ................................ 1084 45.4.1 Resonant Nonlinear Response of Organic Materials................... 1085 45.4.2 Nonresonant Nonlinear Response of Organic Materials................... 1086 45.5 Nanocrystals ....................................... 1087 45.6 Other Nonlinear Materials .................... 1088 45.7 Conclusions ......................................... 1089 References .................................................. 1089
45.1 Background Optical fiber provides a suitable medium in which it is possible to reach tremendous transmission rates over long distances [45.1]. The maximum informationcarrying capacity has been estimated to be around 100 Tbps [45.2]. Very high data rates can be achieved using a combination of wavelength- and time-division multiplexing techniques (WDM and TDM). WDM involves sending many signals in parallel at closely spaced wavelengths along the same fiber, while TDM allows close spacing in time of bits in a single channel.
While there exist means to produce, transfer, and detect information at a very high bandwidth, there is a need for more agility in photonic networks. The agility of present-day optical networks is limited by the electronic nature of a very important function: the processing of information-bearing signals. Signal processing is responsible for switching and routing traffic, establishing links, restoring broken links, and monitoring and managing the network.
Part D 45
In a nonlinear optical material, intense light alters the real and imaginary components of the refractive index. The nonlinear response of the real part of refractive index modifies the phase of propagating light, while the imaginary part describes the change in absorption. These illumination-dependent properties of nonlinear materials provide the basis for all-optical switching—the ability to manipulate optical signals without the need for optical–electronic–optical conversion. In this chapter we review the physical processes underlying the illumination-dependent refractive index. We review the real and imaginary nonlinear response of representative groups of materials: crystalline semiconductors, organic materials, and nanostructures, and we examine the practical applicability of these groups of materials to alloptical optical switching. We identify the spectral regions which offer the most favorable nonlinear response as characterized using engineering figures of merit.
1076
Part D
Materials for Optoelectronics and Photonics
45.1.1 Signal Processing in Optical Networks
Part D 45.1
At present, the important and functionally complex signal-processing operations of switching and routing are carried out electronically. Electronic signal processing imposes two significant limitations on the functionality of optical networks: cost and opacity. Signal switching and routing requires conversion of the optical information into electrical signals, processing in the electronic domain, and converting back to the optical domain before retransmission. Such an operation requires detection, retiming, reshaping, and regeneration at each switching and routing point. This necessitates complex and expensive electronic and electro-optical hardware at each routing and switching node. The use of electronic signal processing places strict requirements on the format of data streams transferred and processed, thus making the signal processing opaque. Repetition rates of optical signals, power levels, and packet lengths have to be standardized before they can be processed electronically. The ability to perform signal processing operations entirely within the optical domain would eliminate the requirement for optical–electrical–optical conversions, while providing agility and speed inherent to optical elements. Optical signal processing, in contrast with electronics, may provide ultrafast sub-picosecond switching times [45.3].
45.1.2 Optical Signal Processing Using Nonlinear Optics Nonlinear optics can potentially support ultrafast selfprocessing of signals. A variety of nonlinear optical signal-processing functions can be realized with similar fundamental building blocks [45.4–6]. Nonlinear optical elements and devices can be either integrated in photonic circuits [45.7] or used in a free-standing configuration [45.8]. Nonlinear optics can enable signal processing without the requirement for external electrical, mechanical, or thermal control [45.9]. The response time of properly designed nonlinear optical devices is limited fundamentally only by the nonlinear response time of the constituent materials [45.3, 10–12]. Photons do not interact with each other in vacuo. In order to perform nonlinear optical signal-processing operations the properties of a medium through which the light travels must be modified by the light itself. Optical signals then propagate differently as a result of their influence on the medium. Nonlinear optical signalprocessing elements utilize the illumination-dependent
real and imaginary parts of the index of refraction [45.9]. Depending on the material and spectral position, the real part of the refractive index and absorption of a given nonlinear material can either increase or decrease with increasing illumination. A wide range of broadband and wavelength-selective nonlinear optical signal-processing devices has been proposed and demonstrated. The most commonly studied nonlinear optical switching elements are nonlinear Fabry–Perot interferometers, nonlinear Mach–Zehnder modulators, nonlinear directional couplers, optical limiters, and nonlinear periodic structures. A nonlinear Fabry–Perot interferometer consists of two mirrors separated by a nonlinear material. As the refractive index of the nonlinear material changes with an increased level of illumination, the effective path length of the resonator is altered. A nonlinear Fabry–Perot interferometer can be tuned out of, or into, its transmission resonance. When illuminated with the continuous-wave light, a nonlinear Fabry–Perot interferometer can exhibit optical bistability. Optical bistability is a phenomenon in which the instantaneous transmittance of the device depends both on the level of incident illumination and on the prior transmittance of the device. Such an element enables all-optical memory. In a nonlinear Mach–Zehnder modulator and a nonlinear directional coupler, a part of the waveguide is made out of a nonlinear material. Changing the intensity of the incident light changes the effective path length experienced by the light. This, in turn, through phase interference, results in an illumination-dependent transmittance in a Mach–Zehnder modulator, and an illumination-dependent coupling in a nonlinear directional coupler. A number of techniques use nonlinear properties of materials to obtain power-limiting, and associated with it, on–off switching. Such devices are based on total internal reflection [45.13], self-focusing [45.14], self-defocusing, two-photon absorption [45.15], or photorefractive beam fanning [45.16]. Nonlinear periodic structures combine the phenomena of nonlinear index change and distributed Bragg reflection. The intensity-dependent transmission and reflection properties of nonlinear periodic structures can be harnessed to yield various signal-processing functions. It has been demonstrated that nonlinear periodic structures can support optical switching and limiting [45.4–6, 17–20], optical bistability [45.8, 21–25], solitonic propagation of pulses [45.26, 27], and pulse compression [45.28].
Nonlinear Optoelectronic Materials
45.2 Illumination-Dependent Refractive Index and Nonlinear Figures of Merit (FOM)
45.1.3 The Approach Taken During this Survey of Nonlinear Optoelectronic Materials There exist excellent texts that describe nonlinear optical processes and review the published properties of nonlinear materials [45.29–35]. This chapter will discuss the applicability of different material groups to non-
1077
linear optical switching. Following the introduction of the concept of nonlinear refractive index and figures of merit in Sect. 45.2, the nonlinear properties of inorganic crystalline semiconductors (Sect. 45.3), organic materials (Sect. 45.4), nanocrystals (Sect. 45.5), and selected other materials (Sect. 45.6) will be reviewed and summarized. A critical review is given with a focus on figures of merit and processability.
45.2 Illumination-Dependent Refractive Index and Nonlinear Figures of Merit (FOM) E(r, ω) [45.34]: P(r, ω) = &0 χ (1) (ω)E(ω) ⎡ (2) + &0 ⎣ D(2) χijk (−ω3 ; ω1 , ω2 ) j,k
× E j (ω1 )Ek (ω2 ) (3) χijkl (−ω4 ; ω1 , ω2 , ω3 ) + D(3) jkl
× E j (ω1 )Ek (ω2 )El (ω3 ) ⎤ + higher-order terms⎦ ,
45.2.1 Ultrafast Response Ultrafast nonlinear response is characterized by the instantaneous response, weak nonlinear index changes, and weak nonlinear absorption. The formalism that describes the ultrafast changes in the real and imaginary parts of the refractive index can be derived from the theory of nonlinear polarization. The polarization P(r, ω) of a material in the presence of an electric field E(r, ω) at a frequency ω and position r is defined as P(r, ω) = &0 χ(r, ω)E(r, ω) ,
(45.1)
where &0 is the permittivity of free space and χ(r, ω) is the dielectric susceptibility tensor. χ(r, ω) is related to the index of refraction n(ω) by χ(r, ω) = n 2 (r, ω) − 1 .
(45.2)
In a homogeneous nonlinear material χ(r, ω) = χ(ω) but χ(ω) is not constant with electric field and the influence of E(r, ω) on P(r, ω) is not linear. In this case it is customary to expand P(r, ω) in a power series of
(45.3)
where χ (1) is the linear susceptibility, while χ (2) and χ (3) are the coefficients of the second- and third-order nonlinear susceptibility. The coefficients D(2) and D(3) are defined as: ⎧ ⎨1, for indistinguishable fields (45.4) D(2) = ⎩2, for distinguishable fields and
⎧ ⎪ ⎪ ⎨1, for all fields indistinguishable (3) D = 2, for two fields indistinguishable (45.5) ⎪ ⎪ ⎩ 3, for all fields distinguishable
In all known materials the higher-order components of the effective nonlinear susceptibility tensor χ(ω) yield smaller contributions to the effective polarization than the preceding terms of the same parity. On the other hand, in the presence of strong electric field the terms designated as the higher-order terms in (45.3) [i. e. terms proportional to the powers of E(r, ω) higher than four],
Part D 45.2
In a nonlinear optical medium intense light alters the real and imaginary components of the refractive index. The nonlinear response of the real part of the refractive index modifies the phase of propagating light, while the imaginary part describes the change in absorption. This subsection will present the formalism used to describe how light affects the ultrafast and resonant changes in the nonlinear refractive index. The ultrafast nonlinear index changes take place in the spectral region where the material is nonabsorbing, while the resonant nonlinear index changes take place in the absorbing spectral region.
1078
Part D
Materials for Optoelectronics and Photonics
can be larger than the first three terms. However, the assumption of moderate intensities and the aim to illustrate the concept of nonlinear refractive index justifies retaining only the first three terms of (45.3) in the derivation that follows. Nonlinear optical switching relies on nonlinear effects in which intense light changes the refractive index. Under such conditions there are no direct-current (DC) or low-frequency electro-optic effects present and the second term in (45.3) can be neglected. In addition all values of ω are degenerate. P(r, ω) reduces to P(r, ω) = &0 [χ (ω) + χ (ω)E(ω)E(ω)]E(ω) 2χ (3) (ω)I = &0 χ (1) (ω) + E(ω) , (45.6) &0 n 0 c (1)
Part D 45.2
2χ (3) (ω)I . n 2 = 1 + χ (1) + &0 n 0 c
(45.8)
In order to relate directly this nonlinear part of polarization to the intensity-dependent part of the refractive index – a macroscopic measurable quantity – the effective index of refraction is expressed as (45.9)
Taking the square of (45.9) and neglecting the terms proportional to I 2 under the assumption of weak relative nonlinearity n 22 I 2 n 0 n 2 I and n 22 I 2 n 20 gives n 2 = n 20 + 2n 0 n 2 I .
(45.10)
Equating (45.8) and (45.10) gives an expression for n 2 n2 =
χ (3) , &0 n 20 c
and n 2 Im =
(3)
where I is the local intensity &0 (45.7) I = n 0 c|E(ω)|2 , 2 and c is the speed of light in vacuum. The first term in (45.6) represents the linear contribution to the polarization and the second term represents the nonlinear, intensity-dependent part. This intensitydependent part gives rise to the nonlinear index of refraction fundamental to this work. To obtain the direct expression for the nonlinear refractive index the effective susceptibility from (45.6) is substituted into (45.2) to yield
n = n0 + n2 I .
and n 2 Im responsible for the nonlinear absorption or gain. There are many conventions used to express the real and imaginary parts of the nonlinear refractive index. The approach used by researchers must always be determined prior to comparison with absolute numbers. However, in general it is safe to write K n 2 Re = 2 Re χ (3) (45.12) n0
(45.11)
where all the factors are in SI units. In general, n 2 can have real (Re) and imaginary (Im) parts with n 2 Re responsible for the nonlinear refraction
K Im χ (3) , 2 n0
(45.13)
where the constant K depends on the convention and units used [45.34]. In the rest of this chapter n 2 will be used to express the real part of the ultrafast nonlinear index of refraction, i. e. n 2 will be as used in (45.12). In order to account for the imaginary component of the ultrafast nonlinear response in a commonly used way the following relationship is defined α = α0 + β I .
(45.14)
Equation (45.14) expresses the total absorption (α) in terms of its linear (α0 ) and nonlinear (β I) contributions. β is the measurable, macroscopic quantity that will be used throughout this chapter to quantify the effects of the ultrafast imaginary nonlinear response.
45.2.2 Ultrafast Nonlinear Material Figures of Merit A nonlinear material useful in a nonlinear optical signal-processing device must simultaneously satisfy the following conditions:
• •
The excitation time of the nonlinear effect must be less than the pulse width. The sum of the excitation and the relaxation times must be shorter than the pulse spacing.
In addition, an ultrafast nonlinear material must satisfy the following requirements:
•
The effect of linear absorption must be weak compared to the effect of nonlinear refraction. Stegeman quantifies this condition in terms of the unitless figure of merit W [45.12] |∆n| W= (45.15) >1, α0 λ where ∆n is the induced change in the real part of the refractive index, α0 is the linear absorption (expressed in units of inverse length) and λ
Nonlinear Optoelectronic Materials
•
45.2 Illumination-Dependent Refractive Index and Nonlinear Figures of Merit (FOM)
is the wavelength of light (with units of length). To facilitate consistent comparison between different nonlinear materials, ∆n in (45.15) was assumed to be evaluated as the intensity approaches the saturation intensity, at which the rate of change of the refractive index drops noticeably below a linear dependence on intensity [45.12]. In general (45.15) can be used to quantify the nonlinear quality of a given material at any intensity, not only at the saturation. The effect of two-photon absorption must be weak compared to the effect of nonlinear refraction. This condition is quantified using the figure of merit T [45.12] T=
β2 λ 1, αeff λ
(45.17)
45.2.3 Resonant Response The resonant response of a nonlinear material is the dominant nonlinear effect in the linearly absorbing spectral region. A different formalism than that presented in Sects. 45.2.1 and 45.2.2 is used to describe the resonant changes in the real and imaginary parts of the refractive index. Illumination with light which is resonant with the material results in the direct absorption of the incoming photons, generating excited states and giving rise to a decrease in the effective absorption. If the relaxation time of the excited states is longer than the length of the pulse, the resonant effect is proportional to the
fluence, rather than to the intensity of the incident ultrafast pulse. This saturation of the absorption is described by the following expression for the effective absorption αeff [45.35] αeff =
α0 1+
+ αu .
P Psat
(45.18)
t where αu is the unsaturable absorption, P = 0 I(t ) dt is the incident fluence and Psat is the saturation fluence at which the effective absorption decreases to half of its initial value α0 = α0 + αu . P accounts for the cumulative (up to the duration of the pulse) character of the resonant nonlinear response. The saturation of absorption is accompanied by a change in the real part of the refractive index [45.35] ∆n =
n 2 P 1+
P Psat
.
(45.19)
n 2 describes the strength of the real part of the resonant nonlinear refractive index. In this chapter, nonresonant and resonant phenomena are considered. The parameters n 2 and β from Sect. 45.2.1 are used to quantify the ultrafast response and ∆n and ∆α from Sect. 45.2.1 are used to describe the resonant response.
45.2.4 Resonant Nonlinear Material Figures of Merit Figures of merit for the nonresonant response have been defined in Sect. 45.2.2. This section will introduce resonant figures of merit that account for the nonlinear phase shift that accumulates over the duration of a pulse. For illustrative purposes, first-order approximations t to (45.18) and (45.19) of the form ∆n(t) = 0 n 2 I(t ) dt and αeff (t) = α0 are considered under the assumption P Psat . A resonant nonlinear material is assumed to be illuminated with a square pulse of the form: ⎧ ⎨ I , if 0 < t < τ 0 p I(t) = (45.20) ⎩0, if t < τ . p
In analogy to (45.17) a time-averaged nonlinear figure of merit is defined for the resonant response F =
|∆n| . αλ
(45.21)
Part D 45.2
where αeff is the effective absorption experienced by the sample at a given intensity. F can be used to quantify the quality of materials for signal processing with respect to nonlinear processes of any order rather than with respect to only third-order processes as in (45.16). Condition (45.17) ensures that the nonlinear phase shift ∆φNL = 2π∆n L/λ, where L is the length of the material, reaches 2π before the intensity decays to 1/ e of its input value as a result of the effective absorption. Phase shifts between 0.5π and 3.5π are required for most optical switching devices [45.12].
1079
1088
Part D
Materials for Optoelectronics and Photonics
Part D 45.6
nanocrystals analyzed had mean radii of 1.7–2.7 nm, resulting in excitonic peaks at wavelengths in the range 1.0–1.5 µm. The saturation intensity of the for the samples analyzed was found to be 2.3 MW/cm2 and the relaxation time was measured to be 23 ± 2 ps. Lu et al. measured the resonant nonlinear refractive properties of strongly confined 3.3-nm-diameter PbS nanocrystals in polymeric coatings over the spectral range 580–630 nm. This spectral range corresponds to the wavelengths around the first excitonic peak. The degenerate four-wave-mixing technique was used to measure the nonlinear susceptibility of nanocrystals near the photon energy of the first electronic transition at 595 nm. The values were found to fall in the range χ (3) = 1 × 10−6 –1 × 10−5 esu. Combined with the 50 kW/cm2 intensity used in the experiment these values of χ (3) suggest maximum nonlinear index changes of ∆n ≈ 0.013. No data on saturation of the absorption were reported. The nonresonant nonlinear response of nanocrystals shows different dispersion characteristics than that of any other material group. Under illumination with picosecond pulses, the nonresonant third-order nonlinearity is negative for photon energies between half the band gap and the band gap [45.92]. The magnitude of
the third-order nonlinear response increases with proximity to the band gap, and disappears entirely near the half-band-gap energy. Such a response is in contrast to the ∆n sign change between absorption and two-photon absorption resonances as observed in bulk semiconductors and organic materials. However, similarly to the nonresonant response of bulk semiconductors, the sign of the nonresonant refractive nonlinearity in the semiconductor-doped glasses in some spectral regions depends on the duration of the pulses used to measure the nonlinear effect. For pulses shorter than 1 ps the contribution of third-order positive refractive effects is comparable to that of the negative free-carrier absorption nonlinearities of the fifth order. Consequently, the measured ∆n can be positive [45.63]. In 2000 and 2001 Liu et al. published several reports on measurements of the ultrafast nonlinearities of PbS nanoparticles, and PbS-coated CdS nanocomposites [45.93–95]. Surprisingly large refractive nonlinear indices of refraction up to − 5 × 10−12 cm2 /W and unmeasurable nonlinear absorption were observed in surface modified polymer–PbS composites at moderate concentrations of 1.9 × 10−3 mol/l. This large refractive nonlinearities were attributed to the surface recombination owing to the high surface-to-volume ratio of PbS nanoparticles.
45.6 Other Nonlinear Materials Metallic nanocomposites and cascaded second-order materials are two other promising groups of nonlinear materials. Metalorganic nanocomposites are made out of metallic quantum dots embedded in organic or glass hosts. Resonant nonlinear properties of copper [45.96] and silver nanoparticles [45.97, 98] embedded in a glass host were measured using degenerate four-wave-mixing experiments at visible wavelengths. The nonlinear coefficients and figures of merit of the metallic nanocrystals characterized were similar to those of semiconductor nanocrystals and reached a maximum near the plasma-frequency absorption peak. It has been argued that metallic nanoparticles can potentially exhibit stronger nonlinear effects than other
material systems [45.99]. This is associated with local field effects that enhance nonlinear response of the composite systems if the refractive index of the nonlinear constituent is lower than that of the linear host. Such a scenario can be realized in metallic nanoparticle–glass composites, since around the spectral positions of the plasma resonance the refractive index of metals can be lower than 1. Cascaded nonlinear materials are made out of materials with second-order nonlinear properties. An appropriate design of such structures design results in a net accumulated phase shift for the illumination at a fundamental optical frequency at the end of a cascaded system. Cascaded material system acts as an effective third-order nonlinear material [45.100–102].
Nonlinear Optoelectronic Materials
References
1089
45.7 Conclusions tronic transition. The nonresonant nonlinear response of nanocrystals is of a similar magnitude as in bulk and in MQW inorganic crystalline semiconductors. The figures of merit for organic materials in the absorbing region are in general poor. In the transparent region the Kerr and two-photon absorption coefficients of organic materials are of magnitudes comparable to these of inorganic crystalline semiconductors. The sign of the refractive nonlinearity varies across the spectrum depending on the proximity to various absorption resonances. Although the nonlinear properties of many materials systems have been reported, further characterization is needed to assess the applicability of various nonlinear material systems to optical signal processing. In contrast to previously reported measurements carried out at isolated wavelengths, measurements of the refractive and absorptive nonlinear response over wide spectral ranges, which would permit determination of figures of merit, need to be carried out. In particular, the refractive and absorptive nonlinear response in the most-promising absorption-edge regions of MQW semiconductors and semiconductor nanocrystals should be examined comprehensively and the applicability of these material systems to optical signal processing should be determined.
References 45.1 45.2 45.3 45.4 45.5 45.6 45.7 45.8 45.9 45.10 45.11
E. Cotter, J. K. Lucek, D. D. Marcenac: IEEE Commun. Mag. 34, 90–95 (1997) P. P. Mitra, J. B. Stark: Nature 411, 1027 (2001) P. W. Smith: The Bell Syst. Tech. J. 61, 1975–1983 (1982) L. Brzozowski, E. H. Sargent: J. Opt. Soc. Am. B 17, 1360–1365 (2000) L. Brzozowski, E. H. Sargent: IEEE J. Quantum Electron. 36, 550–555 (2000) L. Brzozowski, E. H. Sargent: IEEE J. Quantum Electron. 36, 1237–1242 (2000) P. W. Smith, I. P. Kaminov, P. J. Maloney, L. W. Stulz: Appl. Phys. Lett. 34, 62–65 (1979) P. W. Smith, E. H. Turner: Appl. Phys. Lett. 30, 280– 281 (1977) B. E. A. Saleh, M. C. Teich: Fundamentals of Photonics (Wiley, New York 1991) P. W. E. Smith, L. Qian: IEEE Circuits Dev. Mag. 15, 28–33 (1999) P. W. E. Smith: All-optical devices: materials requirements. In: Nonlinear Optical Properties of
45.12
45.13
45.14
45.15
Advanced Materials, Vol. 1852 (SPIE, Los Angeles, CA 1993) pp. 2–9 G. I. Stegeman: All-optical devices: materials requirements. In: Nonlinear Optical Properties of Advanced Materials, Vol. 1852 (SPIE, Los Angeles, CA 1993) pp. 75–89 I. C. Khoo, M. Wood, B. D. Guenther: Nonlinear liquid crystal optical fiber array for all-optical switching/limiting, In: LEOS 96 9th Annual Meeting, Vol. 2, pp. 211–212, IEEE, (Bellingham, 1996) G. L. Wood, W. W. II. I. Clark, M. J. Miller, G. J. Salamo, E. J. Sharp: Evaluation of passive optical limiters and switches. In: Materials for Optical Switches, Isolators, and Limiters, Vol. 1105 (SPIE, Orlando, FL 1989) pp. 154–181 R. Bozio, M. Meneghetti, R. Signorini, M. Maggini, G. Scorrano, M. Prato, G. Brusatin, M. Guglielmi: Optical limiting of fullerene derivatives embedded in sol–gel materials, In: Photoactive Organic Materials. Science and Applications, Proc. NATO Adv.
Part D 45
Following the preceding review, this section will summarize the major conclusions, as well as the missing pieces, of the published literature on nonlinear optical materials. Bulk and MQW semiconductors have been demonstrated to exhibit low-threshold saturation of absorption near the band edge. The spectral position of the band edge can be tuned over the entire visible and nearinfrared spectrum. It has been predicted from the nonlinear Kramers–Kronig relation, and has been measured directly in isolated cases, that the band-edge saturation of absorption results in large changes of the real part of refractive index. In the regions of transparency, semiconductors exhibit weak nonlinear refractive effects of both signs. The nonresonant effects can be accompanied by two-photon absorption. Depending on the spectral position, the nonresonant nonlinear response of semiconductors can be characterized by good figures of merit. Semiconductor nanocrystals also permit spectral tunability of their linear and nonlinear optical properties over the entire visible and near-infrared regions. Semiconductor nanocrystals have been demonstrated to exhibit strong saturation of absorption near the excitonic peak associated with the first allowed elec-
1090
Part D
Materials for Optoelectronics and Photonics
45.16
45.17 45.18 45.19 45.20
45.21
45.22
45.23 45.24 45.25 45.26
Part D 45
45.27 45.28 45.29 45.30
45.31 45.32 45.33
45.34 45.35 45.36 45.37
45.38
Res. Workshop, Avignon, France, June 25-30, 1995 (Kluwer, Dordrecht 1996) 159–174 J. A. Hermann, P. B. Chapple, J. Staromlynska, P. Wilson: Design criteria for optical power limiters. In: Nonlinear Optical Materials for Switching and Limiting, Vol. 2229, ed. by M. J. Soileau (SPIE, Orlando, FL 1994) pp. 167–178 N. G. R. Broderick, D. Taverner, D. J. Richardson: Opt. Express 3, 447–453 (1998) N. D. Sankey, D. F. Prelewitz, T. G. Brown: Appl. Phys. Lett. 60, 1427–1429 (1992.) L. Brzozowski, E. H. Sargent: IEEE J. Lightwave Technol. 19, 114–119 (2000) L. Brzozowski, V. Sukhovatkin, E. H. Sargent, A. SpringThorpe, M. Extavour: IEEE J. Quantum Electron. 39, 924–930 (2003) H. M. Gibbs, S. L. McCall, T. N. C. Venkatesan, A. C. Gossard, A. Passner, W. Wiegmann: Appl. Phys. Lett. 35, 451–453 (1979) H. M. Gibbs, S. S. Tang, J. L. Jewell, D. A. Winberger, K. Tai, A. C. Gossard, S. L. McCall, A. Passner: Appl. Phys. Lett. 41, 221–222 (1982) H. G. Winful, J. H. Marburger, E. Garmire: Appl. Phys. Lett. 35, 379–381 (1979) D. Pelinovsky, L. Brzozowski, E. H. Sargent: Phys. Rev. E 60, R4536–R4539 (2000) D. Pelinovsky, J. Sears, L. Brzozowski, E. H. Sargent: J. Opt. Soc. Am. B 19, 45–53 (2002) C. M. de Sterke, J. E. Sipe: Progress Opt. 33, 203–260 (1994) W. Chen, D. L. Mills: Phys. Rev. Lett. 58, 160–163 (1987) W. N. Ye, L. Brzozowski, E. H. Sargent, D. Pelinovsky: J. Opt. Soc. Am. B 20, 695–705 (2003) R. W. Boyd: Nonlinear Optics (Academic, New York 1992) M. G. Kuzyk, C. W. Dirk: Characterization Techniques and Tabulations for Organic Nonlinear Optical Materials (Dekker, New York, N.Y. 1998) D. L. Mills: Nonlinear Optics: Basic Concepts (Springer, Berlin, Heidelberg 1998) P. Gunter: Nonlinear Optical Effects and Materials (Springer, Berlin, Heidelberg 2000) P. N. Prasad, D. J. Williams: Introduction to Nonlinear Optical Effects in Molecules and Polymers (Wiley, New York 1991) R. L. Sutherland: Handbook of Nonlinear Optics (Dekker, New York 1996) E. Garmire: IEEE J. Selected Topics Quantum Electron. 6, 1094–1110 (2000) E. W. Van Stryland, M. A. Woodall, H. Vanherzeele, M. J. Soileau: Opt. Lett. 10, 490–492 (1985) M. Sheik-Bahae, D. C. Hutchings, D. J. Hagan, E. W. Van Stryland: IEEE J. Quantum Electron. 27, 1296–1309 (1991) S. H. Park, J. F. Morhange, A. D. Jeffery, R. A. Morgan, A. Chevez-Pirson, H. M. Gibbs, S. W. Koch, N. Peyghambarian, M. Derstine, A. C. Gossard,
45.39
45.40 45.41
45.42
45.43
45.44 45.45 45.46 45.47 45.48
45.49 45.50
45.51 45.52
45.53
45.54 45.55 45.56 45.57
45.58
45.59 45.60
J. H. English, W. Weigmann: Appl. Phys. Lett. 52, 1201–1203 (1988) L. Qian, S. D. Benjamin, P. W. E. Smith, H. Pinkney, B. J. Robinson, D. A. Thompson: Opt. Lett. 22, 108– 110 (1997) H. S. Loka, S. D. Benjamin, P. W. E. Smith: IEEE J. Quantum Electron. 34, 1426–1437 (1998) H. Pinkney, D. A. Thompson, B. J. Robinson, L. Qian, S. D. Benjamin, P. W. E. Smith: J. Cryst. Growth 209, 237–241 (2000) S. Gupta, M. Y. Frankel, J. A. Valdmanis, J. F. Whitaker, G. A. Mourou, F. W. Smith, A. R. Calawa: Appl. Phys. Lett. 59, 3276–3278 (1991) E. S. Harmon, M. R. Melloch, J. W. Woodall, D. D. Nolte, N. Olsuka, C. L. Chang: Appl. Phys. Lett. 63, 2248–2250 (1993) S. D. Benjamin, A. Othonos, P. W. E. Smith: Electron. Lett. 30, 1704–1706 (1994) P. W. E. Smith, S. D. Benjamin, H. S. Loka: Appl. Phys. Lett. 71, 1156–1158 (1997) M. Kawase, E. Garmire, H. C. Lee, P. D. Dapkus: IEEE J. Quantum Electron. 30, 981–988 (1994) L. Brzozowski, E. H. Sargent, A. SpringThorpe, M. Extavour: Appl. Phys. Lett. 82, 4429–4431 (2003) D. A. B. Miller, D. S. Chemla, D. J. Eilenbergeer, P. W. Smith, A. C. Gossard, W. T. Tsang: Appl. Phys. Lett. 41, 679–681 (1982) S. Schmitt-Rink, D. S. Chemla, D. A. B. Miller: Phys. Rev. B 32, 6601–6609 (1985) Y. H. Lee, A. Chavez-Pirson, S. W. Koch, H. M. Gibbs, S. H. Park, J. Morchange, A. Jeffery, N. Peyghambrian, J. Banyai, A. C. Gossard, W. Wiegmann: Phys. Rev. Lett. 57, 2446–2449 (1986) F. Stern: Phys. Rev. 133, A1653–A1664 (1964) A. M. Fox, A. C. Maciel, J. F. Ryan, M. D. Scott: Nonlinear excitonic optical absorption in GaInAs/InP quantum wells A. M. Fox, A. C. Maciel, M. G. Shorthose, J. F. Ryan, M. D. Scott, J. I. Davies, J. R. Riffat: Nonlinear excitonic optical absorption in GaInAs/InP quantum wells L. Brzozowski, E. H. Sargent, A. SpringThorpe, M. Extavour: Appl. Phys. Lett., 4429–4431 (2003) L. Brzozowski, E. H. Sargent, A. SpringThorpe, M. Extavour: Virtual J. Ultrafast Sci. 2(7) (2003) P. W. Joudawlkis, D. T. McInturff, S. E. Ralph: Appl. Phys. Lett. 69, 4062–4064 (1996) R. V. Penty, H. K. Tsang, I. H. White, R. S. Grant, W. Sibert, J. E. A. Whiteaway: Electron. Lett. 27, 1447–1449 (1991) I. E. Day, P. A. Snow, R. V. Penty, I. H. White, R. S. Grant, G. T. Kennedy, W. Sibbett, D. A. O. Davies, M. A. Fisher, M. J. Adams: Appl. Phys. Lett. 65, 2657–2659 (1994) M. A. Fisher, H. Wickes, G. T. Kennedy, R. S. Grant, W. Sibbett: Electron. Lett. 29, 1185–1186 (1993) D. A. O. Davies, M. A. Fisher, D. J. Elton, S. D. Perrin, M. J. Adams, G. T. Kennedy, R. S. Grant,
Nonlinear Optoelectronic Materials
45.61 45.62 45.63 45.64 45.65 45.66 45.67 45.68
45.69
45.70 45.71
45.72
45.74
45.75 45.76 45.77
45.78
45.79
45.80
S. Wang, W. Huang, R. Liang, Q. Gong, H. Li, H. Chen, D. Qiang: Phys. Rev. B 63, 153408(1–4) (2001) 45.82 B. L. Yu, H. P. Xia, C. S. Zhu, F. X. Gan: Appl. Phys. Lett. 81, 2701–2703 (2002) 45.83 Q. Chen, L. Kuang, E. H. Sargent, Z. Y. Wang: Appl. Phys. Lett. 83, 2115–2117 (2003) 45.84 F. W. Wise: Accounts Chem. Res. 33, 773–780 (2000) 45.85 L. Banyai, S. W. Koch: Phys. Rev. Lett. 57, 2722–2724 (1986) 45.86 S. Schmitt-Rink, D. A. B. Miller, D. S. Chemla: Phys. Rev. B 35, 8113–8125 (1987) 45.87 M. A. Hines, G. D. Scholes: Synthesis of colloidal PbS nanocrystals with size-tunable NIR emissions, submitted 45.88 G. Wang, K. Guo: Physica B 315, 234–239 (2001) 45.89 P. T. Guerreiro, S. Ten, N. F. Borrelli, J. Butty, G. E. Jabbour, N. Peyghambarian: Appl. Phys. Lett. 71, 1595–1597 (1997) 45.90 K. Wundke, S. Pötting, J. Auxier, A. Schülzgen, N. Pegyghambarian, N. F. Borrelli: Appl. Phys. Lett. 76, 10–12 (2000) 45.91 A. M. Malyarevich, V. G. Savitski, P. V. Prokoshin, N. N. Posonov, K. V. Yumashev, E. Raaben, A. A. Zhilin: J. Chem. Phys. 78, 1543–1551 (1983) 45.92 D. Cotter, M. C. Burt, R. J. Manning: Phys. Rev. Lett. 68, 1200–1203 (1992) 45.93 H. P. Li, B. Liu, C. H. Kam, Y. L. Lam, W. X. Que, L. M. Gan, C. H. Chew, G. Q. Xu: Opt. Mater. 14, 321–327 (2000) 45.94 B. Liu, H. Li, C. H. Chew, W. Que, Y. L. Lam, C. H. Kam, L. M. Gan, G. Q. Xu: Mater. Lett. 51, 461–469 (2001) 45.95 B. Liu, C. H. Chew, L. M. Gan, G. Q. Xu, H. Li, Y. L. Lam, C. H. Kam, W. X. Que: Mater. Lett. 51, 461–469 (2001) 45.96 L. Yang, K. Becker, F. M. Smith, R. H. Magruder III, R. F. Haglund Jr., L. Yang, R. Dorsinville, R. R. Alfano, R. A. Zuhr: Size dependence of the third-order susceptibility of copper nanocrystals investigated by four-wave mixing 45.97 K. Uchida, S. Kaneko, S. Omi, C. Hata, H. Tanji, Y. Asahara, A. J. Ikushima, T. Tokizaki, A. Nakamura: J. Opt. Soc. Am. 11, 1236–1243 (1994) 45.98 H. Inouye, K. Tanaka, I. Tanahashi, T. Hattori, H. Nakatsuka: Jap. J. Appl. Phys. 39, 5132–5133 (2000) 45.99 D. Ricard, P. Roussignol, C. Flytanis: Opt. Lett. 10, 511–513 (1985) 45.100 D. V. Petrov: Opt. Commun. 13, 102–106 (1996) 45.101 C. Bosshard: Adv. Mater. 5, 385–397 (1996) 45.102 G. I. Stegeman, D. J. Hagan, L. Torner: Opt. Quantum Electron. 28, 1691–1740 (1996)
1091
45.81
Part D 45
45.73
P. D. Roberts, W. Sibbett: Electron. Lett. 29, 1710– 1711 (1993) C. Aversa, J. E. Sipe, M. Sheik-Bahae, E. W. V. Stryland: Phys. Rev. B 24, 18073–18082 (1994) M. J. Shaw, M. Jaros: Phys. Rev. B 47, 1620–1623 (1993) K. S. Bindra, A. K. Kar: Appl. Phys. Lett. 79, 3761– 3763 (2001) M. Sheik-Bahae, D. J. Hagan, E. W. Van Stryland: Phys. Rev. Lett. 65, 96–99 (1990) N. J. Long: Angew. Chem., Int. Ed. 34, 21–38 (1995) I. Liakatas, C. Cai, M. Bösch, C. B. M. Jäger, P. Günter: Appl. Phys. Lett. 76, 1368–1370 (2000) R. Rangel-Rojo, S. Yamada, H. Matsuda, D. Yankelevicg: Appl. Phys. Lett. 72, 1021–1023 (1998) R. Rangel-Rojo, S. Yamada, H. Matsuda, H. Kasai, H. Nakanishi, A. K. Kar, B. S. Wherrett: J. Opt. Soc. Am. B 203, 2937–2945 (1998) R. Rangel-Rojo, S. Yamada, H. Matsuda, H. Kasai, Y. Komai, S. Okada, H. Oikava, H. Nakanishi: Jap. J. Appl. Phys. 38, 69–73 (1999) R. Rangel-Rojo, H. Matsuda, H. Kasai, H. Nakanishi: J. Opt. Soc. Am. 17, 1376–1382 (2000) E. Van Keuren, T. Wakebe, R. Andreaus, H. Möhwald, W. Schrof, V. Belov, H. Matsuda, R. RangleRojo: J. Opt. Soc. Am. B 203, 2937–2945 (1998) R. Rangel-Rojo, L. Stranges, A. K. Kar, M. A. MendezRojas, W. H. Watson: Opt. Commun. 203, 385–391 (2002) L. Demenicis, A. S. L. Gomes, D. V. Petrov, C. B. de Araújo, C. P. de Molo, C. G. dos Santos, R. SoutoMajor: J. Opt. Soc. Am. B 14, 609–614 (1997) C. Sauteret, J. P. Hermann, R. Frey, F. Pradère, J. Ducling, R. H. Baughman, R. R. Chance: Phys. Rev. Lett. 36, 956–959 (1976) G. P. Agrawal, C. Cojan, C. Flytzanis: Phys. Rev. B 17, 776–789 (1978) S. R. Marder, B. Kippelen, A. Y. Jan, N. Peyghambarian: Nature 388, 845–951 (1997) B. L. Lawrence, M. Cha, J. U. Kang, W. Torruellas, G. Stegeman, G. Baker, J. Meth, S. Etemad: Electron. Lett. 30, 447–448 (1994) B. L. Lawrence, W. Torruellas, M. C. G. Stegeman, J. Meth, S. Etemad, G. Baker: Electron. Lett. 30, 447–448 (1994) F. Yoshino, S. Polyakov, L. Friedrich, M. Liu, H. Shim, G. I. Stegeman: J. Nonlinear Opt. Phys. Mater. 9, 95–104 (2000) F. Yoshino, S. Polyakov, M. Liu, G. Stegeman: Phys. Rev. Lett. 91, 063901–1–063901–4 (2003)
References
1093
Part E
Novel Mate Part E Novel Materials and Selected Applications
46 Solar Cells and Photovoltaics Stuart Irvine, Gwynedd, UK 47 Silicon on Mechanically Flexible Substrates for Large-Area Electronics Peyman Servati, Waterloo, Canada Arokia Nathan, Waterloo, Canada 48 Photoconductors for X-Ray Image Detectors M. Zahangir Kabir, Montreal, Canada Safa Kasap, Saskatoon, Canada John Rowlands, Toronto, Canada 49 Phase-Change Optical Recording Alexander Kolobov, Ibaraki, Japan Junji Tominaga, Tsukuba, Japan
50 Carbon Nanotubes and Bucky Materials Mark Baxendale, London, UK 51 Magnetic Information-Storage Materials Larry Comstock, San Jose, USA 52 High-Temperature Superconductors Rainer Wesche, Lausanne, Switzerland 53 Molecular Electronics Michael Petty, Durham, UK 54 Organic Materials for Chemical Sensing Asim Kumar Ray, London, UK 55 Packaging Materials Darrel Frear, Tempe, USA
1095
Solar Cells an 46. Solar Cells and Photovoltaics
Photovoltaic solar cells are gaining wide acceptance for producing clean, renewable electricity. This has been based on more than 40 years of research that has benefited from the revolution in silicon electronics and compound semiconductors in optoelectronics. This chapter gives an introduction into the basic science of photovoltaic solar cells and the challenge of extracting the maximum amount of electrical energy from the available solar energy. In addition to the constraints of the basic physics of these devices, there are considerable challenges in materials synthesis. The latter has become more prominent with the need to reduce the cost of solar module manufacture as it enters mainstream energy production. The chapter is divided into sections dealing with the fundamentals of solar cells and then considering five very different materials systems, from crystalline silicon through to polycrystalline thin films. These materials have been chosen because they are all in production, although some are only in the early stages of production. Many more materials are being considered in research and some of the
46.2 Crystalline Silicon ................................ 1098 46.3 Amorphous Silicon ............................... 1100 46.4 GaAs Solar Cells ................................... 1101 46.5 CdTe Thin-Film Solar Cells..................... 1102 46.6 CuInGaSe2 (CIGS) Thin-Film Solar Cells .... 1103 46.7 Conclusions ......................................... 1104 References .................................................. 1105 more exciting, polymer and dye-sensitised cells are mentioned in the conclusions. However, there is insufficient space to give these very active areas of research the justice they deserve. I hope the reader will feel sufficiently inspired by this topic to read further and explore one of the most exciting areas of semiconductor science. The need for high-volume production at low cost has taken the researcher along paths not normally considered in semiconductor devices and it is this that provides an exciting challenge.
ever, is tiny compared with the total amount of electrical energy consumed each year, around 0.1% of primary energy demand. However, solar energy is very attractive as it is completely non-polluting and can help to reduce the amount of fossil fuels that we burn to generate electricity. World CO2 emissions have grown by 8% since 1990. Any contribution from non-fossil-fuel alternatives such as solar energy will help to reduce this annual burden of CO2 emissions that is now a widely accepted cause of global warming. So how much solar energy is available for conversion to electricity? The total solar energy falling on the Earth’s surface each year is huge and 10 000 times the current consumption of energy. We only need to capture a tiny fraction of this to make a major contribution to our electricity supply but this will mean incorporating solar electric panels into most buildings; to achieve this it will need to be much cheaper to compete with current fossil-fuel electricity generation.
Part E 46
Photovoltaic (PV) devices are a method of converting radiant solar energy into electrical energy. Most of our energy sources, including fossil fuels, hydroelectric and wind power actually come from solar radiation but are indirect conversions into electricity. Another class of solar energy conversion is the heating of water in solar thermal panels. Although the conversion efficiency can be high, they do not generate the thermal energy necessarily where and when it is needed, so storage is required. Direct generation of electric energy is attractive because it is a versatile energy form, rapidly converted into heat, mechanical or light energy. Photovoltaic energy is the main source of energy in the rapidly expanding satellite market with high-efficiency photovoltaic modules producing more than 1 kW of power. Terrestrial applications are also rapidly growing with an estimated total installed capacity worldwide over 1 GW in 2004, increasing annually by 30–40%. This, how-
46.1 Figures of Merit for Solar Cells .............. 1096
1100
Part E
Novel Materials and Selected Applications
following:
• • • •
Improved material quality, leading to improved minority-carrier diffusion length. Improved Voc and fill factor through emitter and base doping and contact optimisation. Improved Jsc through diffusion length improvement using phosphorus gettering, hydrogen passivation and buried contacts. Surface passivation and contact grid optimisation.
All these aspects involve materials issues, either directly associated with the quality of the silicon or with contacting and passivation. It is also important to avoid degradation of the cell and the final encapsulation must avoid exposure of the cell to water. Multicrystalline sil-
icon can be passivated with silicon nitride deposited by plasma-assisted CVD from SiH4 and NH3 to reduce surface recombination [46.4]. Silicon solar modules are becoming cheaper to produce, nontoxic and stability in a non-radiation environment is good. Multicrystalline silicon is not so suitable for space applications because of the combination of thick absorber layers, requiring greater weight per unit area and the sensitivity of the cell to degrade in a high-radiation environment. However, single-crystal silicon competes well with GaAs cells in this market. Single-crystal modules for terrestrial applications produce the highest output powers currently available with a Sharp 1.3 m2 module giving a peak output power of 185 W.
46.3 Amorphous Silicon
Part E 46.3
Amorphous silicon offers the potential for a cheap production technology for terrestrial photovoltaic solar cells. The amorphous state displays different physical properties to the crystalline with a modified band structure. One consequence of this is that the absorption coefficient in the green part of the visible spectrum is a factor of 10 higher at 2 × 104 cm−1 , which makes it more suitable for thin-film applications. The amorphous structure leaves dangling bonds which pin the Fermi level and would normally prevent doping of the material. This is overcome by the inclusion of hydrogen, which passivates these dangling bonds, so the material is referred to as a-Si:H. The Si can also be alloyed with Ge, C, and N in a glow-discharge evaporator. These alloys are particularly useful for multijunction devices used for increasing the quantum efficiency. In the laboratory single-junction cells have efficiency around 8% with multijunction cells going up to 20%. In production an a-Si module has efficiency around 7%, considerably less than the crystalline materials. The most common method for producing a-Si:H for photovoltaics is by plasma-enhanced CVD from SiH4 mixtures. The films are deposited onto a textured conducting oxide such as indium–tin oxide (ITO) which provides the electrical contact and increases the average light path in the absorber layer to increase absorption. The device structure is a p-i-n with absorption taking place in the middle (insulator) layer, which is only 0.5 µm thick. The p and n layers can be deposited by adding B2 H6 or PH3 respectively to the plasma. One of the major disadvantages of a-Si:H is the instability and long-term deterioration under light il-
lumination. This is caused by the rearrangement of dangling bonds, often associated with rearrangement of hydrogen atoms close to weak or dangling bonds. The energy comes from nonradiative bimolecular reactions and hence depends on the illumination intensity (for further details see the review by Bloss et al. [46.5]). In practice, this causes a downward drift in efficiency with time which can be as much as 2% in 100 h. The current cost is cheaper than crystalline silicon (3 US$/Wp) but with the potential to considerably reduce cost to 0.7 US$/Wp [46.6]. One of the main technical challenges is to maintain stabilised efficiency above 10%. The relatively high cost of PV solar modules compared with more conventional sources of energy can only become attractive if it can operate efficiently over a long period of time. This means that the modules must remain efficient over a period of 20 years. Shorter operating life times would have to be mitigated by much lower production cost. In conclusion, a-Si:H is a low-cost technology for terrestrial applications and is finding its way into low-power applications such as small-scale stand-alone systems. With improved efficiency and stability s-Si has the potential to capture a significant proportion of the terrestrial market but the lower production costs are not sufficient to offset this at present. A typical a-Si module, with an area of 0.8 m2 , will have a peak output power of 40 W. The temperatures used in processing these modules is lower than the high temperatures needed to melt silicon for the crystalline silicon modules which means that the energy payback times could be just a few months.
1104
Part E
Novel Materials and Selected Applications
Part E 46.7
best of the thin-film PV technologies [46.15]. In common with other thin-film PV technologies, these films can be deposited onto cheap substrates, at relatively low temperature, and the potential for processing in large volumes. The absorber layer is based on the Chalcopyrite-phase CuInSe2 (CIS). This has a band gap of 1.04 eV, which is lower than the optimum band gap for optimum efficiency. However, cells made from CIS have achieved > 10% efficiency. Alloying with Ga to form CuIn1−x Gax Se2 (CIGS) increases the band gap to 1.7 eV for x = 1. This gives a range of alloy composition across the important, optimum, range for efficient conversion. An increase in band gap will increase the voltage of the cell (Voc ) but decrease the number of absorbed photons, thus decreasing Jsc . In practice the alloy is not uniform and thus a greater proportion of the photon flux is absorbed by the single-junction device than would occur for a single wide-band-gap absorber layer [46.16]. The other benefit of grading of the alloy composition is that the resultant band-gap grading creates a built-in electric field that can drift the electrons towards the junction. Unlike a-Si and CdTe, the preferred arrangement is not to illuminate through the substrate but illuminate from the top surface as with the crystalline GaAs cells. The typical layer structure is shown in Fig. 46.9. The substrate is soda-lime glass with a sputtered coating of molybdenum which acts as the back contact. The next layer is the CuIn1−x Gax Se2 alloy, which is the p-type absorber layer. The junction is formed with a thin layer of CdS (as with CdTe). The front contact is formed by aluminium-doped ZnO, which is highly conducting but transparent, allowing solar radiation to pass without significant absorption. One disadvantage of the substrate approach is that the top surface is protected with another glass sheet when it is fabricated into a solar module. This step is not necessary in the superstrate approach. However, the substrate configuration has shown much higher maximum efficiencies than the superstrate approach and offers the opportunity to deposit the CIGS layers onto flexible metal foils. The structure for CIGS on metal foil substrates is similar to those on the Mo/glass substrates except for a barrier layer of SiO2 between the metal foil and the Mo back contact. This is needed to reduce the diffusion of impurities into the active semiconductor
layer. However, one impurity, sodium, has to be put back in to give the desired doping properties for the CIGS absorber layer [46.17]. This impurity occurs naturally when cheap soda-lime substrates are used, as the sodium will diffuse from the glass substrate into the CIGS layer during film deposition and annealing. Using metal foil substrates makes the PV cells flexible and enables their manufacture in a continuous reel-to-reel process. There are various deposition methods that can be used for the deposition of CIGS and this can lead to lowcost production routes. The early results were obtained by co-evaporation from separate elemental sources. More recently a range of techniques have been used from e-beam evaporation of the metal sources and subsequent selenisation and annealing to electrodeposition. The characteristic of these approaches is a separation of the deposition process and alloy formation, allowing cheap and potentially high-throughput techniques to be used. This also enables control of the alloy composition and stoichiometry that will affect the doping [46.18]. The subsequently deposited junction layer, CdS, can be deposited by either chemical bath deposition, sputtering or CVD. The transparent contact layer, ZnO, is typically deposited by sputtering. All these techniques can be operated on a large industrial scale and lend themselves to cheap production methodology. CIGS solar cells have moved rapidly into production and a number of manufacturers are offering modules with efficiencies in excess of 10%. For example, Shell Solar produce CIGS panel with a peak output power of 40 W for a module area of 0.42 m2 . The potential price reduction is similar to other thin-film technologies and with a potential for breaking the critical 1 $/W barrier, along with a-Si and CdTe. The current production cost is three to four times this value. As with CdTe solar cells, the abundance of the elements In and Se appears low, but for a thin-film photovoltaic, where each module only needs a few grams of material, the total amount needed is not huge. However, the current price of In is high as it is not readily produced as a by-product of other mining processes. The cell stability for terrestrial applications appears to be good and has potential for space applications but more needs to be understood about the effects of high-energy γ fluxes on long-term stability.
46.7 Conclusions This chapter has introduced some basic concepts about photovoltaic solar cells and examples of some of the
more common materials being used for solar-cell production. The area of research is huge with a number
Solar Cells and Photovoltaics
of specialist journals dedicated to solar energy and large international conferences held annually. The drive to find cheaper solutions to the conversion of solar energy has taken research down some interesting and unusual avenues. One of the more successful of the alternative approaches is the dye-sensitised cell, known as the Gratzel cell after its inventor Michael Gratzel [46.19]. This uses organic dyes to absorb the solar radiation and transfer electrons to a porous TiO2 surface, which is effectively the junction. Other approaches have looked at conducting polymers and polymer blends to form photovoltaic junctions. These approaches could enable very cheap photovoltaic solar cells to become a reality but over a much longer timescale than for the materials considered in this chapter. All the materials considered here are in production and look set to make a major contribution to the production of solar energy over the next 10 years. The largest and most mature production facilities are based on crystalline or multicrystalline silicon. These modules are also the most efficient for single-junction cells. The most efficient modules are made from GaAs on Ge and are the most complex and most expensive. Most of this production is for the space market but increasingly is showing potential for use with concentrators for terrestrial appli-
References
1105
cations. This is acceptable for stand-alone solar power generation but the largest challenge for large-scale terrestrial power generation is to integrate photovoltaic modules into buildings. The equivalent of a gigawatt power station would require, at least, of the order of 107 m2 of solar modules. In reality it would have to be much larger to account for the average power production being much less than the peak production. A modest installation would have approximately 100 m2 of solar panels so we would need more than 105 buildings. So, the goal has to be that every house and building has a PV fac¸ade or roof. Large-scale implementation will require the modules to be architecturally acceptable, in appearance and size, and probably serve multiple functions such as keeping the rain out and heat in etc. This need is opening the opportunity for a range of materials and designs and ultimately flexible panels. On top of this the cost per watt of electricity produced needs to come down, probably by a factor of five based on current installed costs. The thin-film technologies are inherently cheaper but much of this cost benefit is not realised at present because the efficiencies and production volumes are low. The current growth in PV solar energy after decades of research has become very rapid and this expansion is set to continue well into the future.
References 46.1 46.2
46.4 46.5 46.6 46.7
46.8 46.9 46.10
46.11 46.12
46.13
46.14 46.15
don: Proc. 17th Eur. Photovolt. Sol. Energy Conf., II, 995 (2001) X. Wu: Sol. Energy 77, 803 (2004) A. K. Turner, J. M. Woodcock, M. E. Ozsan, D. W. Cunningham, D. R. Johnson, R. J. Marshall, N. B. Mason, S. Oktik, M. H. Patterson, S. J. Ransome, S. Roberts, M. Sadeghi, J. M. Sherborne, D. Sivapathasundaram: Sol. Energy Mater. Sol. Cells 35, 263 (1994) D. Cunningham, K. Davies, I. Grimmond, J. Healy, E. Mopas, N. O’Connor, M. Rubeich, M. Sadeghi, D. Skinner, T. Trumbly: 16th Eur. Photovolt. Sol. Energy Conf., 1–5 May, Proceeding of the sixteenth European Photovoltaic Solar Energy Conference, Glasgow, UK 2000, ed. by H. Scheer, B. McNelis, H. A. Ossenbrink, P. Helm (James & James (Science Publishers) LTD., Glasgow 2000) 281 X. Mathew, J. P. Enriquez, A. Romeo, A. N. Tiwari: Sol. Energy 77, 831 (2004) K. Ramanathan, M. A. Contreras, C. L. Perkins, S. Asher, F. S. Hasoon, J. Keane, D. Young, M. Romero, W. Metzger, R. Noufi, J. Ward, A. Duda: Prog. Photovolt.: Res. Appl. 11, 225 (2003)
Part E 46
46.3
C. H. Henry: J. Appl. Phys. B 51, 4494 (1980) J. Zhao, A. Wang, M. A. Green: Appl. Phys. Lett. 73, 1991 (1998) L. Pirozzi, G. Arabito, F. Artuso, V. Barbarossa, U. Besi-Vetrella, S. Loreti, P. Mangiapane, E. Salza: Sol. Energy Mater. Sol. Cells 65, 287 (2001) A. G. Aberle: Sol. Energy Mater. Sol. Cells 65, 239 (2001) N. Bernhard, G. H. Bauer, W. H. Bloss: Prog. Photovolt. Res. Appl. 3, 3 (1995) A. Jager-Waldau: Sol. Energy 77, 667 (2004) N. H. Karam, R. R. King, M. Haddad, J. H. Ermer, H. Yoon, H. L. Cotal, R. Sudharsanan, J. W. Eldredge, K. Edmondson, D. E. Joslin, D. D. Krut, M. Takahashi, W. Nishikawa, M. Gillanders, J. Granata, P. Hebert, B. T. Cavicchi, D. R. Lillington: Sol. Energy Mater. Sol. Cells 66, 453 (2001) L. M. Fraas, J. E. Avery: Optoelectron. Dev. Technol. 5, 297 (1990) J. F. Geisz, D. J. Friedman, J. M. Olson, S. R. Kurtz, B. M. Keyes: J. Cryst. Growth 195, 401 (1998) X. Wu, J. C. Keane, R. G. Dhere, C. Dehert, D. S. Albin, A. Dude, T. A. Gessert, S. Asher, D. H. Levi, P. Shel-
1106
Part E
Novel Materials and Selected Applications
46.16 46.17
I. M. Kotschau, G. Bilger, H. W. Schock: Mater. Res. Soc. Symp. Proc. 763, 263 (2003) D. Hermann, F. Kessler, K. Hertz, M. Powalla, A. Schulz, J. Schneider, U. Schumacher: Mater. Res. Soc. Symp. Proc. 763, 287 (2003)
46.18
46.19
S. Hishikawa, T. Satoh, S. Hayashi, Y. Hashimoto, S. Shimakawa, T. Megami, T. Wada: Sol. Energy Mater. Sol. Cells 67, 217 (2001) M. Gratzel: MRS Bull. 30, 23 (2005)
Part E 46
1107
47. Silicon on Mechanically Flexible Substrates for Large-Area Electronics
Silicon on Me 47.1
a-Si:H TFTs on Flexible Substrates ......... 1108
Low-temperature thin-film semiconductors and dielectrics are critical requirements for large-area electronics, including displays and imagers. Despite the presence of structural disorder, these materials show promising electronic transport properties that are vital for devices such as thin-film transistors (TFTs). This chapter presents an overview of material and transport properties pertinent to large-area electronics on mechanically flexible substrates. We begin with a summary of process challenges for lowtemperature fabrication of a-Si:H TFTs on plastic substrates, followed by a description of transport properties of amorphous semiconducting films, along with their influence on TFT characteristics. The TFTs must maintain electrical integrity under mechanical stress, induced by bending of the
47.3 Electronic Transport Under Mechanical Stress....................... 1113 47.3.1 Thin-Film Strain Gauges............. 1114 47.3.2 Strained Amorphous-Silicon Transistors................................ 1116
There is growing interest in low-temperature thinfilm semiconductors and dielectrics for a variety of applications such as organic light-emitting diode (OLED) displays and lighting modules [47.1, 2], solar cells [47.3], and digital imagers [47.4, 5]. Interest in these materials is driven by the promise of lowcost roll-to-roll manufacturing [47.6]. They enable high-performance electronic devices including thin-film transistors (TFTs), OLEDs, and p-i-n photodetectors on large-area mechanically flexible plastic substrates. OLEDs are becoming increasingly attractive as emission devices due to their fast response, high conversion efficiency, wide viewing angle, and compatibility with plastic substrates [47.7]. In high-information-content displays, the OLED must be integrated with a TFT circuit that provides stable drive currents [47.8]. Here, low-temperature a-Si:H TFTs or (solutionprocessed/vacuum-deposited) organic TFTs can be used to realize these circuits. In addition to advances in material deposition and integration technologies, significant research efforts are being undertaken to improve the lifetime of the TFT and the OLED in view of instabilities induced by bias stress and exposure to ambient con-
ditions. The instability of TFTs stems from structural and interfacial disorder in the semiconductor and insulator layers, and interfaces. This leads to a shift in the threshold voltage ∆VT under prolonged bias stress in a-Si:H [47.9] and organic [47.10] TFTs. To deal with the shift in device parameters, pixel circuits and driving schemes that can provide compensation have been proposed and are well under investigation [47.11]. The lifetime of the OLED, on the other hand, can be enhanced by efficient thin-film encapsulation layers with water-vapor permeation rates < 10−6 g/m2 /day at 25 ◦ C and less than 40% relative humidity [47.12], which are imperative for active matrix organic light-emitting diode (AMOLED) displays on plastic substrates. This chapter presents an overview of material and transport properties pertinent to mechanically flexible amorphous-silicon electronics. We begin with an overview of low-temperature fabrication of highperformance a-Si:H transistors and associated processing challenges. The transport properties of amorphous semiconducting films are then presented, along with their influence on TFT characteristics. We also examine the impact of external mechanical stress,
47.2 Field-Effect Transport in Amorphous Films ............................. 1108 47.2.1 Localized and Extended States .... 1109 47.2.2 Density of States (DOS) ............... 1110 47.2.3 Effective Carrier Mobility ............ 1110
References .................................................. 1118 flexible substrates. Bending-induced changes are not limited to alteration of device dimensions and involve modulation of electronic transport of the active semiconducting layer.
Part E 47
1108
Part E
Novel Materials and Selected Applications
induced by bending of the flexible substrate, on the characteristics of thin-film devices, including strain gauges and TFTs. The shifts are not only limited to
changes in device dimensions but also to a modulation of electronic transport of the active semiconducting layer.
47.1 a-Si:H TFTs on Flexible Substrates Compared to conventional (rigid) glass substrates, mechanically flexible substrates are attractive because of their reduced fragility and weight [47.13]. Polyethylene terephthalate (PET) [47.14] and polyimide [47.15] are examples of such substrates. Most plastic substrates have a low glass-transition temperature Tg (< 250 ◦ C). Consequently, the device processing temperatures must be reduced without compromising the electronic properties of the deposited materials. Despite the adverse effects of reducing the processing temperature, high-performance low-temperature (< 150 ◦ C) processes have been developed for fabrication of a-Si:H TFTs on flexible substrates [47.14–16], and even lower temperature processes (75 ◦ C) are under investigation [47.17]. One of the key challenges in low-temperature fabrication is to achieve a high-quality a-SiOx [47.17] or a-SiNx :H [47.16] gate and/or passivation dielectric with low defect density, leakage, and interface state density. Nitrogen rich (x = 1.6 to 1.7) a-SiNx :H films with high resistivity (ρ > 1015 Ω-cm) and breakdown field (> 5 MV/cm) have been deposited using conventional 13.56 MHz plasma-enhanced chemical vapor deposition (PECVD) at 120 ◦ C [47.16]. The fabrication challenges are not limited to the electrical quality of the deposited materials. Most plastic substrates have a large coefficient of thermal expansion (CTE) (> 50 ppm/◦ C), which is much higher than that of the TFT layers (≈ 4 ppm/◦ C) [47.18]. As the deposited films go through a temperature drop ∆T upon cooling from the process temperature [47.2], thermal
stress σth = Yf ∆C∆T develops in the film [47.19]. Here, ∆C is the difference between CTEs of the substrate and the film, and Yf denotes the biaxial elastic modulus of the deposited film. The thermal stress bends the composite structure, giving rise to handling problems. Thus, the substrate needs to be held flat in a frame or glued to a rigid substrate [47.2]. In addition, since most layers are patterned during processing, the thermal stress exhibits a local pattern-dependent distribution, which leads to problems in alignment of consecutive masks. Lowering the process temperature and choosing a substrate with a smaller CTE, e.g. polyethylene naphthalate (PEN) with a CTE of 13 ppm/◦ C [47.18], will help alleviate the thermal stress, and associated alignment issues. It is important to note that thin rigid (steel, aluminum, or glass) substrates are also mechanically flexible and are being considered for device fabrication [47.20]. Although these substrates can withstand higher temperatures, handling issues still remain and depend on the desired degree of mechanical flexibility. Recent work on TFT fabrication on flexible substrates is starting to demonstrate successful handling of material processing issues. For instance, TFTs fabricated on plastic substrate at 150 ◦ C have been reported to have parameter values very similar to the high-temperature counterparts in terms of low reverse and high on current (with switching ratio of > 108 ), high field-effect mobility > 0.8 cm2 /Vs, threshold voltage of ≈ 1–2 V, and low subthreshold slope < 0.3 V/dec [47.16].
Part E 47.2
47.2 Field-Effect Transport in Amorphous Films The electronic properties of a semiconducting film are primarily determined by its structural disorder and grain size, which strongly depend on deposition conditions and postprocessing treatment [47.21]. The structure of disordered semiconductors such as a-Si:H lacks the long-range periodicity of crystalline silicon. However, the atoms of the materials bond to their neighbors according to the 8-N rule [47.22]
for both amorphous and crystalline silicon, leading to short-range structural order. The extent to which this short-range order is conserved is dependent on processing conditions and leads to different degrees of disorder. This range can be as small as a few nanometers in amorphous semiconductors or as large as a few tens of microns in polycrystalline material. Nanocrystalline (nc) and microcrystalline (µc) materials reside
Silicon on Mechanically Flexible Substrates for Large-Area Electronics
47.3 Electronic Transport Under Mechanical Stress
1113
Table 47.1 Extracted transport parameters (µeff , Tt , and E a0 ) for a selection of disordered semiconductors at
N0 = 1017 cm−3 Semiconductor
µeff at 300 K (cm2 /Vs)
Tt (K)
Ea0 (eV)
Reference
a-Si:H PQT-12 Pentacene PTV
0.98 3.9 × 10−2 9.0 × 10−4 1.1 × 10−5
350 326 385 380
0.23 0.24 0.31 0.42
Our measurements [47.35] [47.36] [47.36]
where Nb and N0 Nt u E a0 ≡ kB Tt ln = −E F0 . N0
µeff0 ≡ µband
(47.20)
Equation (47.19) predicts Arrhenius behavior for the effective mobility with an activation energy of E a0 = −E F0 (Fig. 47.2). The activation energy of µeff is not bias-dependent and corresponds to the energy needed for carriers to thermalize from E F0 to the mobility edge. We now examine the relation between the two activation energies E a and E a0 . To do so, we look at the temperature dependence of µFE and µeff , viz., ∂µeff ∂ f (φ) ∂µFE = + µFE ∂T µeff ∂T f (φ)∂T E a0 2Tt VGT =− + ln , kB T 2 T 2 V0
(47.21)
which yields E a = E a0 − 2kB Tt ln |VGT /V0 | .
(47.22)
Equation (47.22) describes the bias dependence observed for E a in the inset of Fig. 47.3.
where γ is the effective overlap parameter for electronic states in the band tail. Baranovskii et al. [47.46] have generalized this concept of transport band beyond the exponential DOS assumption and to a broader range of disordered materials with Gaussian or similar rapidly changing distributions. The generalized band concept can also accommodate the percolationbased hopping transport described by Matters [47.36] for amorphous organic semiconductors, which pre-
dicts a hopping band that is just kB Tt ln BC /3π 3 higher than that predicted by Monroe [47.26]. Here, BC ≈ 2.8 is the critical number for percolation in three-dimensional amorphous systems. Table 47.1 summarizes the values for µeff at room temperature Tt , and E a0 at N0 = 1017 cm−3 , determined from the results presented for different disordered materials reported in literature.
47.3 Electronic Transport Under Mechanical Stress Mechanical stress deforms the structure of the thin film leading to modulation in carrier mobility and density of states, and consequently, modulation of resistance.
The change in resistance of a solid with elastic strain or stress is commonly referred to as the elastoresistance or piezoresistance effect, respectively [47.47]. The
Part E 47.3
Amorphous Organic Semiconductors The concept of effective mobility and transport band may be generalized to accommodate different amorphous organic semiconductors despite differences in the underlying transport mechanism. This generalization follows from the relation n band = θn Tt /T (47.12) for organic semiconductors for a wide range of temperatures and carrier concentrations [47.41]. For this relation
to hold, the distribution of trapped and band carriers must be exponential. Although evidence of a Gaussian trap distribution has been reported for organic materials [47.44], the Gaussian distribution is effectively seen as an equivalent exponential distribution due to the small variation in the Fermi energy because of the large tail state distribution. In addition, Shapiro and Adler [47.45] have demonstrated that a transport band is present in which hopping conduction dominates irrespective of the position of Fermi energy. Similar to the mobility edge, the trapped carriers are thermalized to the hopping band [47.26]. Relative to E F0 , the hopping band is located at ! " γ 3 2T 3 (47.23) E 0hopping = kB Tt ln , N0 3Tt
1118
Part E
Novel Materials and Selected Applications
strain, we can write S& (IDS,lin ) as αVthr S& (IDS,lin ) = SG + S& (µeff ) − S& (Vthr ) VGT VGT α 1 − − + S& (α) α ln , V0 2 α − 1 (47.31)
where V0 = (2&kB Tt N0 )1/2 /Ci , and
Ei =
SG = S& (W ) − S& (L) + (α − 1)S& (Ci ) Here, SG includes the effect of change in device dimensions. The other terms on the right-hand side of (47.31), in order from the left, describe the dependence of S& (IDS,lin ) on S& (µeff ), S& (Vthr ), and S& (α), respectively, which represent strain-induced modulation of the different TFT parameters (µeff , Vthr , and α). As seen in (47.31), the modulation in mobility S& (µeff ) is directly reflected in the change of current. In contrast, the strain-induced change in threshold voltage ∆Vthr /Vthr & is scaled, and by a factor of αVthr /VGT . This is particularly visible at low biases and its effect decreases with increasing gate bias VGT . Thus, at high biases, the impact of threshold-voltage modulation is minimal, which yields S&H (ID ) = SG + S& (µeff ) .
(47.32)
In contrast, the low-bias component can be written as S&L (ID ) = −S& (Vthr )
αVthr . VGT
modulation of α. This term contains a bias-dependent scaling factor of α ln |VGT /V0 |, which does not correlate with the observed bias dependence of S& (ID ) seen in Fig. 47.13. This implies that modulation in Vthr and µeff are the dominant contributors to the observed changes in current. The activation energy for the temperature dependence of S&L (ID ) and S&H (ID ) is defined as
(47.33)
It is important to note that the last term on the right-hand side of (47.31) represents the impact of strain-induced
∂S&i (ID ) with i = H or L . ∂(1/kB T )
(47.34)
The values for E H and E L are found to be 140 meV and 0.58 eV, respectively. The much lower activation energy (140 meV) at high biases indicates that the S&H (ID ) stems from the shallow states in the conduction-band tail. Again, this corroborates our previous findings that the sensitivity of the current at high biases is associated with the mobility modulation that is principally determined by the tail states. Spear and Heintze [47.51] have found an activation energy of 0.52 eV for intrinsic and doped a-Si:H layers. This corroborates with our low-voltage sensitivity data. The high activation energy for S&L (ID ) identifies the role of deep states in the gap, which is in agreement with our previous finding that S& (ID ) ∝ S& (Vthr ) at low biases. Here, the strain is believed to modify the energy of the deep states [47.51]. From (47.32) and (47.33), the values of S& (µeff ) and S& (Vthr ) for different orientations can be determined (Table 47.2). The values can be incorporated in a compact model for the different TFT orientations, which can be used for computer-aided design (CAD) of mechanically flexible TFT circuits [47.61].
References
Part E 47
47.1 47.2
47.3 47.4
47.5 47.6 47.7
S. R. Forrest: Nature 428, 911–918 (2004) S. Wagner, H. Gleskova, J. C. Sturm, Z. Suo: In: Technology and Applications of Amorphous Silicon, ed. by R. A. Street (Springer, Berlin 2000) pp. 222– 251 S. E. Shaheen, R. Radspinner, N. Peyghambarian, G. E. Jabbour: Appl. Phys. Lett. 79, 2996 (2001) R. A. Street, M. Mulato, R. Lau, J. Ho, J. Graham, Z. Popovic, J.Hor: Appl. Phys. Lett. 78, 4193–4195 (2001) P. Servati, Y. Vygranenko, A. Nathan, S. Morrison, A. Madan: J. Appl. Phys. 96, 7575–7582 (2004) L. Collins: IEE Rev. 49(2), 42–45 (2003) A. B. Chwang, M. A. Rothman, S. Y. Mao, R. H. Hewitt, M. S. Weaver, J. A. Silvernail, K. Rajan,
47.8
47.9
47.10 47.11
M. Hack, J. J. Brown, X. Chu, L. Moro, T. Krajewsky, N. Rutherford: Appl. Phys. Lett. 83(3), 413–415 (2003) A. Nathan, A. Kumar, K. Sakariya, P. Servati, S. Sambandan, D. Striakhilev: IEEE J. Solid-State Circ. 39(9), 1477–1486 (2004) M. J. Powell, C. van Berkel, A. R. Franklin, S. C. Deane, W. I. Milne: Phys. Rev. B 45(8), 4160– 4170 (1992) D. Knipp, R. A. Street, A. Volkel, J. Ho: J. Appl. Phys. 93, 347 (2003) S. Alexander, P. Servati, G. R. Chaji, S. Ashtiani, R. Huang, D. Striakhilev, K. Sakariya, A. Kumar, A. Nathan, C. Church, J. Wzorek, P. Arsenault: J. Soc. Info. Display 13(7), 587–595 (2005)
Silicon on Mechanically Flexible Substrates for Large-Area Electronics
47.12 47.13 47.14 47.15 47.16 47.17 47.18 47.19 47.20 47.21 47.22
47.23 47.24
47.25 47.26 47.27 47.28 47.29 47.30 47.31 47.32 47.33 47.34
47.36 47.37 47.38 47.39 47.40 47.41
47.42 47.43 47.44 47.45 47.46 47.47 47.48 47.49 47.50 47.51 47.52 47.53 47.54 47.55 47.56 47.57 47.58 47.59 47.60 47.61
M. J. C. M. Vissenberg, M. Matters: Phys. Rev. B 57(20), 12964–12967 (1998) J. G. Shaw, M. Hack: J. Appl. Phys. 64(9), 4562– 4566 (1988) C. Tanase, E. J. Meijer, P. W. M. Blom, D. M. de Leeuw: Phys. Rev. Lett. 91(21), 216–601 (2003) T. Tiedje, A. Rose: Solid State Comm. 37, 49–52 (1980) B. S. Ong, Y. Wu, P. Liu, S. Gardner: J. Am. Chem. Soc. 126, 3378–3379 (2004) A. J. Campbell, M. S. Weaver, D. G. Lidzey, D. D. C. Bradley: J. Appl. Phys. 84(12), 6737–6746 (1998) D. Natali, M. Sampietro: J. Appl. Phys. 92(9), 5310– 5318 (2002) P. Servati, D. Striakhilev, A. Nathan: IEEE Trans. Electr. Devices 50(11), 2227–2235 (2003) C. Tanase, E. J. Meijer, P. W. M. Blom, D. M. de Leeuw: Organic Elect. 4, 33 (2003) F. R. Shapiro, D. Adler: J. Non-Cryst. Solids 74(2–3), 189–194 (1985) S. D. Baranovskii, T. Faber, F. Hensel, P. Thomas: J. Phys.: Condens. Matter 9, 2699 (1997) A. Nathan, H. Baltes: Microtransducer CAD (Springer, Wien 1999) C. Herring, E. Vogt: Phys. Rev. 101(3), 944–961 (1956) V. A. Gridchin, V. M. Lubimsky, M. P. Sarina: Sens. Actuators A 49(1-2), 67–72 (1995) A. D´ ev´ enyi, A. Belu, G. Korony: J. Non-Cryst. Solids 4, 380–390 (1970) W. E. Spear, M. Heintze: Philos. Mag. B 54(5), 343– 358 (1986) B. Welber, M. H. Brodsky: Phys. Rev. B 16(8), 3660– 3664 (1977) B. A. Weinstein: Phys. Rev. B 23(2), 787–793 (1981) R. Zallen, W. Paul: Phys. Rev. 155(3), 703–711 (1967) D. Lazarus: Phys. Rev. B 24(4), 2282–2284 (1981) G. Arlt: J. Appl. Phys. 49(7), 4273–4274 (1978) O. Dössel: Sens. Actuators 6(3), 169–179 (1984) K. Rajanna, S. Mohan: Phys. Status Solidi A 105(2), K181–K184 (1988) W. Germer: Sens. Actuators 7(2), 135–142 (1985) H. Gleskova, S. Wagner, W. Soboyejo, Z. Suo: J. Appl. Phys. 92(10), 6224–6229 (2002) P. Servati, A. Nathan: Appl. Phys. Lett. 86(7), 033504 (2005)
1119
Part E 47
47.35
G. L. Graff, R. E. Williford, P. E. Burrows: J. Appl. Phys. 96(4), 1840–1849 (2004) J. A. Rogers, Z. Bao, A. Dodabalapur, A. Makhija: IEEE Electr. Devices Lett. 21(3), 100–103 (2000) C.-S. Yang, L. L. Smith, C. B. Arthur, G. N. Parsons: J. Vac. Sci. Technol. B 18(2), 683–689 (2000) ´ˇc: Appl. H. Gleskova, S. Wagner, V. Gaˇspar´ik, P. Kova Surf. Sci. 175-176, 12–16 (2001) D. Stryahilev, A. Sazonov, A. Nathan: J. Vac. Sci. Technol. A 20(3), 1087–1090 (2002) M. Meitine, A. Sazonov: Mat. Res. Soc. Symp. Proc. 769, H6.6.1 (2003) W. A. MacDonald: J. Mater. Chem. 14, 4–10 (2004) K. L. Chopra: Thin Film Phenomena (McGraw–Hill, Toronto 1969) E. Y. Ma, S. Wagner: Appl. Phys. Lett. 74, 2661–2662 (1999) A. Madan, P. G. Le Comber, W. E. Spear: J. NonCryst. Solids 20, 239–257 (1976) N. F. Mott, E. A. Davis: Electronic Processes in Non-Crystalline Materials (Oxford University Press, Oxford 1971) M. H. Cohen, H. Fritzsche, S. R. Ovshinsky: Phys. Rev. Lett. 22(20), 1066–1068 (1969) C. Popescu, T. Stoica: In: Thin Film Resistive Sensors, ed. by P. Ciureanu, S. Middelhoek (IOPP, New York 1992) pp. 37–112 P. W. Anderson: Phys. Rev. 109(5), 1492–1505 (1958) D. Monroe: Phys. Rev. Lett. 54(2), 146–149 (1985) R. A. Street: Hydrogenated Amorphous Silicon (Cambridge University Press, New York 1992) W. E. Spear, P. G. Le Comber: J. Non-Cryst. Solids 8-10, 727–738 (1972) M. J. Powell: Philos. Mag. B 43(1), 93–103 (1981) C.-Y. Huang, S. Guha, S. J. Hudgens: Phys. Rev. B 27(12), 7460–7465 (1983) J. D. Cohen, D. V. Lang, J. P. Harbison: Phys. Rev. Lett. 45(3), 197–200 (1980) M. Hirose, T. Suzuki, G. H. Döhler: Appl. Phys. Lett. 34(3), 234–236 (1979) P. Viktorovitch, G. Moddel: J. Appl. Phys. 51(9), 4847–4854 (1980) M. Shur, M. Hack: J. Appl. Phys. 55(10), 3831–3842 (1984) A. Salleo, T. W. Chen, A. R. Völkel, Y. Wu, P. Liu, B. S. Ong, R. A. Street: Phys. Rev. B 70, 115–311 (2004)
References
Photoconductors for X-Ray Image Detectors
To construct a direct-conversion X-ray AMFPI, a large-band-gap (> 2 eV) high-atomic-number semiconductor or X-ray photoconductor (e.g., stabilized amorphous selenium, a-Se) layer is coated onto the active matrix array. An electrode ( A) is subsequently deposited onto the photoconductor layer to facilitate the application of a biasing potential and, hence, an electric field F in the photoconductor layer as shown in Fig. 48.3. The biasing potential applied to the radiation receiving electrode A (top electrode) may be positive or negative, the selection of which depends on many factors discussed later in this chapter. The applied bias varies from a few hundred to several thousand Volts. The capacitance Cpc of the photoconductor layer over the pixel is in series with, and much smaller than, the pixel capacitance Cij attached to the pixel electrode, so that most of the applied potential is dropped across the photoconductor and not across the pixel capacitance. The electron–hole pairs (EHPs) generated in the photoconductor by the absorption of X-ray photons travel along the field lines and are collected by the electrodes. If the applied bias voltage is positive, then electrons collect at the positive bias electrode and holes accumulate on the storage capacitor Cij . Each pixel electrode carries an amount of charge Q ij proportional to the
48.1 X-Ray Photoconductors
1123
amount of incident X-ray radiation in the photoconductor layer over that pixel, which can be read during self-scanning. The selection of the photoconductor material for use in direct-conversion X-ray image detectors is currently an important research field in electronic materials. There are various competing semiconductors, such as amorphous (a-)Se, HgI2 , CdZnTe, PbI2 , PbO and TlBr. Detectors based on a-Se have already been developed and some have been commercialized for mammography, general radiography and fluoroscopy [48.8] with active areas as large as 43 cm × 43 cm. This chapter critically discusses charge-transport and imaging-detector properties (e.g., dark current and image lag) of these photoconductors and compares them with an ideal photoconductor for X-ray imaging detectors. The present chapter also examines various imaging characteristics of photoconductor-based X-ray AMFPIs, including sensitivity (S), detective quantum efficiency (DQE), resolution in terms of the modulation transfer function (MTF), image lag and ghosting. We examine how these characteristics depend not only on the photoconductor’s charge-transport properties but also on the detector structure, i. e., the size of the pixel and the thickness of the photoconductor.
48.1 X-Ray Photoconductors 48.1.1 Ideal Photoconductor Properties The performance of direct-conversion X-ray detectors depends critically on the selection and design of the photoconductor. It is therefore instructive to identify what constitutes a nearly ideal X-ray photoconductor to guide a search for improved performance or a better material. Ideally, the photoconductive layer should possess the following material properties:
Part E 48.1
1. Most of the incident X-ray radiation should be absorbed within a practical photoconductor thickness to avoid unnecessary patient exposure. This means that, over the energy range of interest, the absorption depth δ of the X-rays must be substantially less than the device layer thickness L. In other words, the quantum efficiency (η) should be high. 2. The photoconductor should have high intrinsic X-ray sensitivity, i. e., it must be able to generate as many collectable (free) electron–hole pairs (EHPs) as possible per unit of incident radiation. This means
the amount of radiation energy required, denoted by W± , to create a single free electron–hole pair must be as low as possible. Typically, W± increases with the band gap E g of the photoconductor [48.9] and thus a low E g is desired for maximum X-ray sensitivity. 3. There should be little bulk recombination of electrons and holes as they drift to the collection electrodes; EHPs are generated in the bulk of the photoconductor. Bulk recombination is proportional to the product of the concentration of holes and electrons, and typically it is negligible for clinical exposure rates (i. e. provided the instantaneous X-ray exposure is not too high). 4. There should be negligible deep trapping of EHPs, which means that, for both electrons and holes, the schubweg defined as µτ F L where µ is the drift mobility, τ is the deep-trapping time (lifetime), F is the electric field and L is the photoconductor layer thickness. The schubweg is the mean distance a carrier drifts before it is trapped and unavailable for
1124
Part E
Novel Materials and Selected Applications
Part E 48.1
conduction. The temporal responses of the X-ray image detector, such as lag and ghosting, depend on the rate of carrier trapping. 5. The diffusion of carriers should be negligible compared with their drift. This property ensures less time for lateral carrier diffusion and leads to better spatial resolution. 6. The dark current should be as small as possible, because it is a source of noise. This means the contacts to the photoconductor should be non-injecting and the rate of thermal generation of carriers from various defects or states in the band gap should be negligibly small (i. e. dark conductivity is practically zero). Small dark conductivity generally requires a wide-band-gap semiconductor, which conflicts with condition (2) above. The dark current should preferably not exceed 10–1000 pA/cm2 , depending on the clinical application [48.10]. 7. The longest carrier-transit time, which depends on the smallest drift mobility, must be shorter than the image readout time and inter-frame time in fluoroscopy. 8. The properties of the photoconductor should not change with time because of repeated exposure to X-rays, i. e. X-ray fatigue and X-ray damage should be negligible. 9. The photoconductor should be easily coated onto the AMA panel (typically 30 × 30 cm and larger), for example, by conventional vacuum techniques without raising the temperature of the AMA to damaging levels (e.g., ≈ 300 ◦ C for a-Si panels). This eliminates the possibility of using single-crystal materials that would require extended exposure to much higher temperature if they were to be grown directly onto the panel. 10. The photoconductor should have uniform characteristics over its entire area. 11. The temporal artifacts such as image lag and ghosting should be as small as possible. Lag is the carryover of image charge generated by previous X-ray exposures into subsequent image frames. The residual signal fractions following a pulsed X-ray irradiation are referred to as “image lag”. Ghosting is the change of X-ray sensitivity of the X-ray image detector as a result of previous exposure to radiation. In the presence of ghosting, a shadow impression of a previously acquired image is visible in subsequent uniform exposures. The large-area-coating requirement in (9) rules out the use of crystalline semiconductors, whose only practi-
cal production process is to grow large boules, which are subsequently sliced. Thus, only amorphous or polycrystalline (poly) photoconductors are currently practical for use in large-area X-ray imaging detectors. Amorphous selenium (a-Se) is one of the most highly developed photoconductors for large-area detectors due to its commercial use in photocopiers and laser printers as an electrophotographic photoreceptor [48.11]. In fact, the direct-conversion flat-panel imaging technology has been made possible by the use of two key elemental amorphous semiconductors: a-Si:H (used for TFTs) and a-Se (used for photoconductor layers). Although their properties are different, both can be readily prepared in large areas, which is essential for an X-ray imaging detector. Stabilized a-Se (a-Se alloyed with 0.2–0.5% As and doped with 10–40 ppm Cl) is currently the preferred photoconductor for clinical X-ray image sensors, because it can be quickly and easily deposited as a uniform film over large areas and it has an acceptable X-ray absorption coefficient, good chargetransport properties for both holes and electrons, and lower dark current than many competing polycrystalline layers [48.9, 10]. Flat-panel X-ray image detectors with an a-Se photoconductor have been shown to provide excellent images. There has been active research to find potential X-ray photoconductors to replace a-Se in flat-panel image detectors because of the substantially higher W± and operating electric field of a-Se compared to other potential X-ray photoconductors [48.10, 12]. For example, the typical value of the electric field used in a-Se devices is 10 V/µm where the value of W± is about 45 eV; the value of W± is 5–6 eV for polycrystalline mercuric iodide (poly-HgI2 ) and polycrystalline CdZnTe. The main drawback of polycrystalline materials is the adverse effects of grain boundaries in limiting charge transport and the nonuniform response of the sensor due to large grain sizes. Grain boundaries in the polycrystalline material are expected to create trapping levels within the band gap and introduce potential barriers between neighboring grains [48.13]. Another disadvantage of these polycrystalline detectors is the higher dark current compared to a-Se detectors. However, there have been efforts to improve the material properties and reduce the dark currents of polycrystalline HgI2 and poly-CdZnTe-based image detectors [48.14,15]. Recent experiments on large-area HgI2 , PbI2 , CdZnTe (< 10% Zn), and PbO polycrystalline X-ray photoconductive layers deposited on active matrix arrays have shown encouraging results [48.16–18]. A more detailed description of these three potential photoconductors for
Photoconductors for X-Ray Image Detectors
however been possible to reduce the dark current to a negligible level in multilayer a-Se structures, e.g., (< 0.1 nA/cm2 at Fas high as 20 V/µm [48.26]) by use of blocking contacts. A pin (p-type–intrinsic–n-type) aSe structure is shown in Fig. 48.5, where the thickness of both the p and n blocking contact layers is a few microns. These p- and n-layers are appropriately doped to serve as unipolar conducting layers that easily trap electrons and holes, respectively, but allow the transport of oppositely charged carriers. The rate of emission of these deeply trapped carriers is so small that there is no significant current injection into the bulk a-Se layer. With these n- and p-layers, the F at the metal electrodes is sufficiently small to minimize charge injection from the contacts, which substantially reduces the dark current. The image lag in a-Se detectors is under 2% after 33 ms and less than 1% after 0.5 s in the fluoroscopic mode of operation [48.27]. Therefore, image lag in a-Se detectors is considered to be negligible. The pixelto-pixel sensitivity variation is also negligible in a-Se detectors. The presampling MTF of these detectors is almost equal to the theoretical MTF (sinc function) determined by the pixel aperture [48.28].
100–500 µm and the grain size is 20–60 µm. Several hundred angstroms of palladium (Pd) or Au are deposited (by direct evaporation) on top of the HgI2 layer followed by a polymer encapsulation layer to form a bias electrode. The dark current of HgI2 imagers increases superlinearly with the applied bias voltage. For PVD HgI2 detectors the dark current depends strongly on the operating temperature. It increases by a factor of about two for each 6 ◦ C of temperature rise. It is reported that the dark current varies from ≈ 2 pA/mm2 at 10 ◦ C to ≈ 180 pA/mm2 at 35 ◦ C at F = 0.95 V/µm, which is not desirable for medical imagers (the maximum dark current for medical imaging should be < 10 pA/mm2 ). Therefore, PVD HgI2 imagers should be operated at relatively low bias (preferably less than ≈ 0.5 V/µm) and relatively low temperature (< 25 ◦ C). The dark current in the SP sample is an order of magnitude smaller than in the PVD sample and more stable against temperature variation. It is possible to keep the dark current below 10 pA/mm2 at temperatures up to 35 ◦ C and F = 1 V/µm. A major disadvantage of SP detectors is that they show 2–4 times less sensitivity compared to PVD detectors. Electrons have much longer range than holes in HgI2 and thus the receiving electrode is negatively biased to obtain a higher sensitivity. The µe τe for electrons in the SP HgI2 is in the range 10−6 –10−5 cm2 /V [48.16, 30], and µe τe in the PVD sample is about an order of magnitude greater. Recently it was reported that µe τe in PVD HgI2 is in the range -10−5 –10−4 cm2 /V, which is almost equal to that of single-crystal HgI2 [48.14,31]. The reason is that the PVD HgI2 layer grows in a columnar structure perpendicular to the substrate. Thus a charge carrier may drift along a column without having to pass through grain boundaries, where it would encounter excess trapping and/or recombination. Samples with larger grain sizes may have fewer grain-boundary defects. Hence there is a trend of increasing µe τe with grain size in the PVD samples. However, this trend is not observed in the SP sample, which indicates another mechanism is responsible for its low µe τe . Larger grain sizes may cause nonuniform sensor response. The grain sizes must be much smaller than the pixel size to get a uniform response. µh τh in poly-HgI2 is of the order ≈ 10−7 cm2 /V [48.30]. Two important drawbacks of polycrystalline sensors are the image lag and the pixel-to-pixel sensitivity variation or nonuniform response. The lowest imagelag characteristics reported are ≈ 7% first-frame lag, ≈ 0.8% after 1 s and ≈ 0.1% at 3 s in fluoroscopic
1127
Part E 48.1
Mercuric Iodide (HgI2 ) Poly-HgI2 has been used as a photoconductor layer in X-ray image detectors. It has been prepared by either physical vapor deposition (PVD) or screen printing (SP) from a slurry of HgI2 crystals using a wet particle-in-binder process [48.16]. There appears to be no technological barrier to preparing large-area layers, and direct-conversion X-ray AMFPI of 20 × 25 cm2 (1536 × 1920 pixels) and 5 × 5 cm2 (512 × 512 pixels) size have been demonstrated using PVD [48.16] and SP poly-HgI2 layers. The prototype HgI2 sensors can potentially be used for mammographic, fluoroscopic or radiographic imaging. There has been active research to improve the material properties of poly-HgI2 -based image detectors including improving the nonuniformity by reducing the grain size. The band-gap energy E g = 2.1 eV, the ionization energy W± ≈ 5 eV and the density of poly-HgI2 is 6.3 g/cm3 . The resistivity of this material is ≈ 4 × 1013 Ω cm [48.29]. The HgI2 layer is deposited onto either conductive [indium-tin-oxide- (ITO) or gold-coated] glass plates or a-Si TFT arrays. HgI2 tends to react chemically with most metals; hence a thin blocking layer (typically, ≈ 1 µm layer of insulating polymer) is used between the HgI2 layer and the pixel electrodes to prevent the reaction and also to reduce the dark current. The HgI2 layer thickness varies in the range
48.1 X-Ray Photoconductors
Photoconductors for X-Ray Image Detectors
Lead Iodide (PbI2 ) PbI2 polycrystalline photoconductive layers have been prepared using PVD at a substrate temperature of 200–230 ◦ C. A deposition of several hundred angstroms of palladium (Pd) is used to form a top electrode. Grains are described as hexagonal platelets with the longest dimensions being 10 µm or less. The platelets grow perpendicular to the substrate, producing films that are less dense (3–5 g/cm3 ) than bulk crystalline material (6.2 g/cm3 ). There appears to be no technological barrier to preparing large-area layers, and direct-conversion AMFPI of 20 × 25 cm2 size (1536 × 1920 pixels) have been demonstrated [48.37]. Coating thickness varies in the range 60–250 µm and prototype PbI2 imagers have been used for radiographic imaging [48.37]. The band-gap energy E g = 2.3 eV, and the ionization energy W± ≈ 5 eV. The resistivity of this material is in the range 1011 –1012 Ω cm. Lead iodide detectors have a very long image-lag decay time. The image lag depends on the exposure history. The image-lag characteristics reported are ≈ 75% first-frame lag, ≈ 15% after 3 s in fluoroscopic mode (15 frames/s), whereas in single-pulse radiographic mode the first-frame lag is less than 50% and drops below 1% within 1 s [48.37]. The long image-lag characteristics of PbI2 in fluoroscopic mode imply that it is unsuitable for fluoroscopic applications. The dark current of PbI2 imagers increases sublinearly with the applied bias voltage. The dark current is in the range 10–50 pA/mm2 at F = 0.5 V/µm, much higher than PVD HgI2 detectors, making it
48.1 X-Ray Photoconductors
1129
unsuitable for long-exposure-time applications. The presampling MTF is ≈ 0.35 (127 µm pixel size) at f N (theoretical MTF, sinc function, is ≈ 0.64 at f N = 3.93 lp/mm), where the MTF of CsI imagers is less than 0.2 [48.37]. The resolution of PbI2 imagers is acceptable but slightly worse than that of HgI2 imagers. Also, the X-ray sensitivity of PbI2 imagers is lower than that of HgI2 imagers. The pixel-to-pixel sensitivity variation in PbI2 imagers is substantially lower. The µτ product of holes and electrons in PVD PbI2 are 1.8 × 10−6 cm2 /V and 7 × 10−8 cm2 /V, respectively [48.37]. µh in poly-PbI2 is in the range 0.02–0.15 cm2 /Vs whereas µh in single-crystal PbI2 is 2 cm2 /V s [48.38]. This indicates that µh in poly-PbI2 is controlled by shallow traps, probably introduced at the grain boundaries. Lead Oxide (PbO) The large-area deposition requirement is compatible with the use of polycrystalline PbO (poly-PbO) film as a photoconductor layer in AMFPI. Directconversion flat-panel X-ray imagers of 18 × 20 cm2 (1080 × 960 pixels) from a poly-PbO with film thicknesses of ≈ 300 µm have been demonstrated [48.18]. One advantage of PbO over other X-ray photoconductors is the absence of heavy-element K-edges for the entire diagnostic energy range up to 88 keV, which suppresses additional noise and blurring due to the K-fluorescence. The ionization energy W± ≈ 8 eV and the density of poly-PbO is 9.6 g/cm3 . The band-gap energy E g of PbO
Table 48.1 Material properties Eg (eV)
W± (eV)
Density (g/cm3 )
Resistivity ( cm)
Electrons µe (cm2 /Vs), µe τe (cm2 /V)
Holes µh (cm2 /Vs) µh τh (cm2 /V)
Stabilized a-Se, vacuum deposition HgI2 , polycrystalline, PVD
2.1–2.2
4.3
1014 –1015
2.1
≈ 45 at 10 V/µm 5
6.3
≈ 4 × 1013
HgI2 , polycrystalline, SP Cd0.95 Zn0.05 Te, polycrystalline, vacuum deposition PbI2 , polycrystalline, PVD
2.1 1.7
5 5
6.3 5.8
≈ 4 × 1013 ≈ 1011
µe = 0.003–0.006 µe τe = 0.3 × 10−6 –10−5 µe = 88 µe τe ≈ 10−5 – 10−4 µe τe ≈ 10−6 – 10−5 µe τe ≈ 2 × 10−4
µh = 0.12 µh τh = 10−6 – 6 × 10−5 µh = 3–4 µh τh ≈ 10−6 µh τh ≈ 10−7 µh τh ≈ 3 × 10−6
2.3
5
3–5
1011 –1012
µe τe = 7 × 10−8
PbO, polycrystalline, vacuum deposition TlBr, polycrystalline
1.9
8–20
9.6
7–10 × 1012
µe τe ≈ 5 × 10−7
µh = 0.02–0.15 µh τh ≈ 2 × 10−6 ?
2.7
6.5
7.5
≈ 5 × 109 at 20 ◦ C
?
µh τh ≈ 1.5 × 10−6
Part E 48.1
Photoconductor, state and preparation
1130
Part E
Novel Materials and Selected Applications
Table 48.2 Imaging properties Photoconductor, state and preparation
Typical operating F (V/µm)
Dark current (pA/mm2 )
Lag (fluoroscopic mode of operation)
Uniformity/sensitivity variation (standard deviation/average value)
Stabilized a-Se, single layer Stabilized a-Se, multilayer (PIN or NIP) HgI2 , polycrystalline, PVD
≈ 10
< 2% after 33 ms
Negligible
< 2% after 33 ms
Negligible
≈ 7% after 66 ms
≈ 10%
HgI2 , polycrystalline, SP
≈ 1.0
≈ 7% after 66 ms
≈ 10%
Cd0.95 Zn0.05 Te. polycrystalline PbI2 , polycrystalline, PVD
≈ 0.25
≈ 70% after 33 ms
≈ 20%
≈ 75% after 66 ms
?
PbO, polycrystalline TlBr, polycrystalline
≈ 1.0 ≈ 1.0
< 10 up to F = 20 V/µm < 1 up to F = 20 V/µm ≈ 6 at F = 0.5 V/µm ≈ 8 at F = 1.0 V/µm ≈ 25 at F = 0.25 V/µm 10–50 at F = 0.5 V/µm 40 at 3 V/µm field ?
3 –8% after 1 s ?
? ?
≈ 10 ≈ 0.5
≈ 0.5
Part E 48.1
is 1.9 eV and the resistivity of this material is in the range (7–10) × 1012 Ω cm [48.18]. Lead oxide photoconductive polycrystalline layers have been prepared by thermal evaporation in a vacuum chamber at a substrate temperature of ≈ 100 ◦ C. An evaporated layer of Al, Au or Pd was used to form a top electrode of thickness 100–200 nm [48.39]. The PbO layer consists of very thin platelets of a few microns thickness and has a density of ≈ 50% of the singlecrystal density. PbO slowly degrades if it is exposed to air under normal ambient temperature but a few hours exposure is acceptable. However, in the long term PbO reacts with water and CO2 causing an increase in dark current and a decrease in X-ray sensitivity. Therefore, a polymer or a semiconductor (e.g., doped a-Se) passivation layer is used to prevent exposure to the atmosphere. This is usually placed between the photoconductor layer and the top metal electrode. The passivation layer avoids degradation of the PbO layer and reduces the dark current [48.39]. The dark current in PbO sensors is ≈ 40 pA/mm2 at F = 3 V/µm [48.18]. µe τe in polyPbO is ≈ 5 × 10−7 cm2 /V [48.18]. The lag signal in fluoroscopic mode is in the range 3–8% after 1 s. The presampling MTF of PbO detector is ≈ 0.5 (184 µm pixel size) at f N (theoretical MTF, sinc function, is ≈ 0.64 at f N = 2.72 lp/mm) [48.18]. Thallium Bromide (TlBr) Polycrystalline thallium bromide (poly-TlBr) has not yet been used in an AMFPI but has been used in a largearea (9-inch-diameter) direct-conversion detector called
an X-ray-sensitive electron-beam image tube (XEBIT). The operational principle of the XEBIT is similar to the standard light-sensitive vidicon that was utilized extensively in the commercial television industry. The XEBIT can replace an X-ray image intensifier coupled to a video camera using relay lenses with a single direct-conversion device [48.40]. The typical TlBr layer thickness is 300 µm. The ionization energy W± ≈ 6.5 eV and the relative dielectric constant of TlBr is 33. The band-gap energy E g of TlBr is 2.7 eV and its resistivity is ≈ 5 × 109 Ω cm under ambient conditions. At room temperature the dominant contribution to the dark current is ionic conductivity [48.40]. The ionic conductivity has an exponential dependence on temperature; the conductivity decreases by an order of magnitude for every 19 ◦ C temperature decrease. Therefore, the dark current can be greatly decreased by Peltier cooling. µh τh in TlBr is ≈ 1.5 × 10−6 cm2 /V [48.40].
48.1.3 Summary and the Future The material and imaging properties of potential photoconductors for X-ray image detectors are summarized in Tables 48.1 and 48.2. Stabilized a-Se is currently the best choice of photoconductor for clinical X-ray image detectors. The next closest competitor is the poly-HgI2 imagers, which show excellent sensitivity, good resolution, and acceptable dark current, homogeneity and lag characteristics. However, the long-term stability of HgI2 imagers has not been as thoroughly studied as
Photoconductors for X-Ray Image Detectors
stabilized a-Se sensors. Both the dark current and the image-lag characteristics of CZT, PbI2 and PbO detectors are worse than those of HgI2 sensors. However, the X-ray detectors made with CZT photoconductive layers should be mechanically and chemically more stable than HgI2 -based detectors. The main drawback of a-Se detectors is its low conversion gain, which particularly affects the imaging sensor performance at low exposure. This can be overcome by utilizing the avalanche mul-
48.2 Metrics of Detector Performance
1131
tiplication technique in the a-Se layer [48.41] and/or using on-pixel amplification [48.2]. However, further research is necessary to demonstrate basic operation as well as to examine the long-term stability of sensors utilizing these techniques. The main drawbacks of polycrystalline sensors are the image lag and the nonuniform response. Making smaller, finer and more uniform grain size in polycrystalline sensors may overcome these drawbacks.
48.2 Metrics of Detector Performance X-ray sensitivity, resolution in terms of modulation transfer function (MTF), detective quantum efficiency (DQE), image lag and ghosting are often considered as the metrics of imaging performance. For most practical applications, the spatial-frequency-dependent ( f -dependent) detective quantum efficiency, DQE( f ), is the appropriate metric of overall system performance and is unity at all f for an ideal detector. The detector performance depends critically on the photoconductor material properties such as the mobility, carrier trapping (both shallow and deep), EHP creation energy, X-ray attenuation and absorption coefficients. The material properties such as carrier mobility, EHP creation energy, X-ray attenuation and absorption coefficients in a well-defined photoconductor are almost constant, but the carrier lifetimes may vary from sample to sample. Shallow and deep trapping are particularly responsible for image lag and ghosting, respectively. The effects of charge-transport properties (µτ) and the attenuation coefficient of photoconductor materials on the detector performance depends on L and F through the following normalized parameters,
where α is the linear attenuation coefficient of the photoconductor, µe(h) is the mobility and τe(h) is the deep-trapping time (lifetime) of electrons (holes).
48.2.1 X-Ray Sensitivity The X-ray sensitivity (S) of a photoconductive detector is defined as the collected charge per unit area per unit exposure of radiation and is considered an important performance measure for a superior image. High S permits the use of low detector radiation-exposure levels which also increases the dynamic range of the AMPFI. The selection of the X-ray photoconductor is highly influenced by the value of S. The value of S can be considered to arise in terms of three controlling factors: firstly, the amount of radiation actually absorbed from the incident radiation that is useful for the generation of electron–hole pairs (EHPs), which is characterized by the quantum efficiency η of the detector and depends on the value of α of the photoconductor and L through η = 1 − e−αL , where the value of α is X-ray photon-energy-dependent; secondly, the generation of EHPs by X-ray interactions, which is char-
Part E 48.2
∆ = normalized attenuation depth (attenuation depth/thickness) = 1/(αL) , xe = normalized electron schubweg (electron schubweg per unit thickness) = µe τe F/L , and , xh = normalized hole schubweg (hole schubweg per unit thickness) = µh τh F/L .
Equivalently, xe and xh are the normalized carrier lifetimes (carrier lifetimes per unit transit time) for electrons and holes, respectively. The ranges of these normalized parameters for the three most promising photoconductive (a-Se, poly-HgI2 and poly-CZT) X-ray image detectors are given in Table 48.3. The combined effects of charge-transport properties (mobility and carrier lifetime), operating conditions (F and E), photoconductor thickness, and the attenuation coefficient of the photoconductor material on the imaging characteristics (X-ray sensitivity, DQE, MTF and ghosting) are examined in the following sections. It must be emphasized that the photoconductor thickness L and the operating field F are as important to the overall performance of the detector as the material properties of the photoconductor itself, a point that will become apparent in the results presented in this chapter.
1132
Part E
Novel Materials and Selected Applications
Table 48.3 The values of ∆, xe and xh for a-Se, poly-HgI2 and poly-CZT detectors Photoconductor
µe τe (cm2 /V) µh τh (cm2 /V)
F (V/µm)
E (keV)
L (mm)
xe
xh
∆
Stabilized a-Se
µe τe = 0.3 × 10−6 –10−5 µh τh = 10−6 –6 × 10−5 µe τe ≈ 10−6 – 10−5 µh τh ≈ 10−7 µe τe ≈ 2 × 10−4 µh τh ≈ 3 × 10−6
≈ 10
20 60 20 60 20 60
0.2 1.0 0.15 0.3 0.3 0.3
1.5–50 0.3–10 0.7–7 0.35–3.5 ≈ 17 ≈ 17
5–300 1–60 ≈ 0.1 ≈ 0.05 ≈ 0.25 ≈ 0.25
0.24 0.98 0.21 0.85 0.26 0.89
Poly-HgI2 Poly-Cd0.95 Zn0.05 Te
0.5–1 ≈ 0.25
acterized by the value of W± of the photoconductor and the average absorbed energy (E ab ) per attenuated X-ray photon of energy E, where W± depends on the material properties of the photoconductor, and E ab depends on the incident X-ray photon energy [48.42] and the material properties; and thirdly, how much of the X-ray generated charge is actually collected in the external circuit. This is characterized by the charge-carrier drift mobilities (µ) and lifetimes (τ), the applied F and L. The S of an X-ray image detector can be normalized with respect to the maximum sensitivity (S0 ) that would arise if all the incident radiation were absorbed and all the liberated carriers were collected. Neglecting secondary photon interactions, the expression for S0 is [48.22] S0 =
5.45 × 1013 e (αair /ρair )W±
αen , α
(48.3)
Part E 48.2
where e is the elementary charge, αen is the energy absorption coefficient of the photoconductor, while αair and ρair are the energy absorption coefficient of air and its density. If W± is expressed in eV, αair /ρair is in cm2 /g and exposure in (48.3) is in Roentgens, then S0 is in units of C/cm2 R. Thus S0 is a constant that depends on E and the material properties of the photoconductor, since W± is a material property which can usually be taken as constant for a given material. For those materials (e.g., a-Se) that have a significant F- and/or E-dependent W± , then S0 depends on F and/or E. The quantity s = S/S0 takes into account the X-ray absorption and charge-transport effects and is called the charge-collection and absorption-limited normalized sensitivity. It should be emphasized that s is a quantity that is determined by the X-ray absorption profile, photoconductor thickness and the charge-collection efficiency. The s of an X-ray image detector considering small signal operation, a constant µ and a single deeptrapping time (lifetime) τ for each type of carrier (holes and electrons) and neglecting carrier diffusion is given
by [48.43], S/S0
1 1 1 −1 1 − e− ∆ + e xh − e− ∆ ∆/xh − 1 1 1 1 −∆ − x1e −∆ + xe 1 − e − 1− e ∆/xe + 1 (48.4) = sh (xh , ∆) + se (xe , ∆) = s(xh , xe , ∆)
= xh
where subscripts h and e refer to holes and electrons respectively. The two square brackets on the right-hand side of the normalized sensitivity s expression (48.4) represent the relative contributions of hole and electron transport to the overall sensitivity for a given ∆. It is assumed in (48.4) that the radiation receiving side of the detector is biased positively. If the bias polarity is reversed, then xe and xh must be interchanged. The expression in (48.4) applies for incident radiation that is monoenergetic and has to be appropriately integrated over the radiation spectrum of a practical polyenergic X-ray source by considering the X-ray photon-energydependent terms W± , α and αen . Equation 48.4 applies to an isolated photoconductor sandwiched between two large-area parallel-plate electrodes (small pixel effects are excluded) [48.44] and operating under a constant E (small signal case). An excellent fit of (48.4) to experimental data on poly-HgI2 is given in [48.30]. The value of s is always less than unity since S for a photoconductor of finite thickness in which carrier collection is not perfect is always less than S0 . Note that s(xh , xe , ∆) = sh + se = 1 when all the incident radiation is absorbed and all the charges are collected, i. e., xh , xe 1 and ∆ 1. The sensitivity is then simply S0 and is controlled by W± . The sensitivity is mainly controlled by the charges that have the same polarity as the bias on the radiationreceiving electrode: holes for positive bias and electrons for negative bias [48.30, 43]. The extent of the disparity between sh and se depends on ∆. The disparity
1134
Part E
Novel Materials and Selected Applications
Part E 48.2
have examined the effects of charge-carrier trapping on the DQE(0) of an a-Se detector by considering depth-dependent conversion gain and depth-dependent charge-collection efficiency in the cascaded-linearsystem model [48.46, 47]. In this section, the DQE(0) model of Kabir and Kasap [48.45] is applied to potential photoconductive detectors such as a-Se, poly-HgI2 and poly-Cd0.95 Zn0.05 Te detectors for fluoroscopic applications to study and compare their DQE(0) performance. Figure 48.7 shows DQE(0) as a function of X-ray exposure for a-Se, HgI2 , and CZT detectors for a 60keV X-ray beam. The X-ray exposure (X) is varied from 0.1 µR to 10 µR, which is the range of X-ray exposure for fluoroscopic applications. We assume that the pixel area, A = 200 µm × 200 µm, and the effective fill factor is 1.0 for all types of photoconductors. The average E is 60 keV and the additive electronic noise (Ne ) is assumed to be 2000 electrons per pixel. The following transport and operating parameters are used in Fig. 48.7: for a-Se detectors, L = 1000 µm, F = 10 V/µm, W± ≈ 43 eV, µe τe = 10−6 cm2 /V and µh τh = 10−5 cm2 /V; for HgI2 detectors, L = 260 µm, F = 0.5 V/µm, W± = 5 eV, µe τe = 6 × 10−6 cm2 /V and µh τh = 10−7 cm2 /V; and for CZT detectors, L = 270 µm, F = 0.25 V/µm, W± = 5 eV, µe τe = 2 × 10−4 cm2 /V and µh τh = 3 × 10−6 cm2 /V. The radiation-receiving electrode is biased positively for a-Se detectors and negatively for HgI2 and CZT detectors. ∆ ≈ 0.98 is for all photoconductors. η = 1 − exp(−1/∆) ≈ 0.64 is the maximum achievable DQE(0) if all the liberated charges are collected. The DQE for the CZT detector is relatively unchanged over the whole exposure range due to a large conversion gain (low W± ) and high charge-collection efficiency (good transport properties). The DQE for aSe detectors is small at low exposures because of its relatively low conversion gain and is controlled by the added electronic noise. As the X-ray exposure increases, each pixel receives more photons. The relative contribution of electronic noise to the total noise becomes less important and the DQE increases. The DQE for HgI2 detectors is relatively small even at higher exposures because of its low charge-collection efficiency, which gives rise to considerable gain-fluctuation noise. Therefore, both high conversion gain and high chargecollection efficiency are required to improve the DQE performance of an X-ray image detector [48.48]. The conversion gain depends on W± , which is a material property of the photoconductor. The charge-collection efficiency can be improved by increasing F and improving the µτ products of the carriers. However, increasing
F also increases the dark current dramatically in both HgI2 and CdZnTe detectors. Thus there is a practical limitation on F. An F as high as 20 V/µm is achievable in a-Se detectors while keeping the dark current within an acceptable level for X-ray imaging [48.26]. The charge-collection efficiency of a-Se detectors is relatively high because of the high F needed to obtain a reasonable W± .
48.2.3 Modulation Transfer Function (MTF) Resolution or resolving power is the ability to record separate images of small objects that are placed very closely together. The overall resolution of a system can be expressed as a convolution of the component resolutions. However, the spatial resolution of an imaging device or a system can also be described in terms of the MTF, which is the relative response of the system as a function of spatial frequency. The MTF of an imaging system can be described as a cascade of several stages where the overall MTF is simply the product of the MTFs of all the individual stages. The MTF( f ) is a much more convenient descriptor of spatial response since the resolving power from multiplication is much more easily comprehended than convolution. The overall MTF (or presampling MTF) of an image detector can be expressed as, MTF( f ) = MTFm ( f ) × MTFa ( f ) ,
(48.6)
where MTFm ( f ) is the modulation transfer function of the detector material and MTFa ( f ) is the modulation transfer function associated with the aperture function of the pixel electrodes. MTFa ( f ) arises due to averaging of the signal over a pixel area. If the aperture is square with dimension a, then, MTFa ( f ) will be of the form sinc(a f ). The aperture MTF describes how spatial frequencies are passed through the detector elements. The spatial resolution in direct-conversion AMFPI is high and closer to the aperture function, as compared to phosphor-based AMFPI. Based on current understanding, charge-carrier trapping and reabsorption of K-fluorescent X-ray photons are the two dominant mechanisms responsible for the loss of resolution [48.48] in direct-conversion AMFPI. Some of the K-fluorescent X-ray photons may be reabsorbed at different points within the detector volume from the primary X-ray-photon interaction point. This creates a lateral spreading of signal and a loss of resolution. The loss of resolution due to fluorescence reabsorption is maximum (although not very substantial [48.48]) just above the K-edge of the photoconductor. This effect can
1136
Part E
Novel Materials and Selected Applications
MTF of the detector. As is apparent from Fig. 48.9, there is very good agreement between the model and the experimental data. The best-fit µτ products of electrons and holes are µe τe = 2.4 × 10−4 cm2 /V and µh τh = 3.2 × 10−6 cm2 /V, which are very close to the
µτ values reported previously [48.32, 35]. Although the charge-carrier-trapping-limited MTF model has been applied to the CZT sensors, the model can also be applied to other photoconductive (e.g., a-Se and HgI2 ) panel X-ray image detectors.
48.3 Conclusion The principles of operation of a direct-conversion AMFPI for medical applications have been briefly discussed. The charge-transport and imaging properties of some of the potential photoconductors have been critically discussed and compared with the properties of an ideal photoconductor for X-ray image detectors. The various imaging characteristics of photoconductorbased AMFPIs such as the sensitivity (S), detective quantum efficiency (DQE), and resolution in terms of the modulation transfer function (MTF) have also been examined. These characteristics depend critically not only on the photoconductor’s charge-transport properties but also on the detector structure, i. e., the size of the pixel and the thickness of the photoconductor. It has been shown that the detector structure in terms
of the photoconductor thickness and the pixel size is just as important to the overall performance of the detector as the material properties of the photoconductor itself. Recent experiments on a-Se detectors indicate that the X-ray-sensitivity of this detector deceases in subsequent exposures. The study of ghosting mechanisms in a-Se-based AMFPI is currently considered as an active research area since the origins of ghosting have not been fully resolved [48.52]. We expect that the ghosting phenomenon may also be present in other photoconductive (e.g., HgI2 , CZT, PbO and PbI2 ) detectors, although it has not yet been measured. Long-term stability, and X-ray-induced effects and phenomena are also current research topics.
References 48.1
48.2 48.3
48.4 48.5
48.6
Part E 48
48.7 48.8
J. A. Rowlands, J. Yorkston: Handbook of Medical Imaging, Vol. 1, ed. by J. Beutel, H. L. Kundel, R. L. Van Metter (SPIE, Washington 2000) Chap. 4 and references therein for the various flat-panel X-ray image sensors K. S. Karim, A. Nathan, J. A. Rowlands, S. O. Kasap: IEE Proc.-CDS 150, 267 (2003) V. N. Cooper III, T. Oshiro, C. H. Cagnon, L. W. Bassett, T. M. McLeod-Stockmann, N. V. Bezrukiy: Med. Phys. 30, 2614 (2003) D. C. Hunt, O. Tousignant, J. A. Rowlands: Med. Phys. 31, 1166 (2004) L. E. Antonuk, J. M. Boudry, Y. El-Mohri, W. Huang, J. H. Siewerdsen, J. Yorkston, R. A. Street: Proc. SPIE 2432, 216 (1995) J. Chabbal, C. Chaussat, T. Ducourant, L. Fritsch, J. Michaïlos, V. Spinnler, G. Vieux, M. Arques, G. Halm, M. Hoheisel, H. Horbaschek, R. Schulz, M. Spahn: Proc. SPIE 2708, 499 (1996) J. A. Rowlands, S. O. Kasap: Phys. Today 50, 24 (1997) O. Tousignant, M. Choquette, Y. Demers, L. Laperrière, J. Leboeuf, M. Honda, M. Nishiki, A. Takahashi, A. Tsukamoto: Proc. SPIE 4682, 503 (2002)
48.9
48.10 48.11
48.12 48.13 48.14 48.15 48.16
48.17 48.18
S. O. Kasap, J. A. Rowlands: Optoelectronics and Photonics: Principles and Practices (Prentice–Hall, Upper Saddle River, New Jersey 2001) S. O. Kasap, J. A. Rowlands: IEE Proc.-CDS 149, 85 (2002) S. O. Kasap: Handbook of Imaging Materials, ed. by A. S. Diamond (Marcel Dekker, New York 1991) Chap. 9 S. O. Kasap, J. A. Rowlands: Proc. IEEE 90, 591 (2002) F. Greuter, G. Blatter: Semicond. Sci. Technol. 5, 111 (1990) A. Zuck, M. Schieber, O. Khakhan, Z. Burshtein: IEEE Trans. Nuclear Sci. 50, 991 (2003) S. Tokuda, H. Kishihara, S. Adachi, T. Sato: Proc. SPIE 5030, 861 (2003) R. A. Street, S. E. Ready, K. Van Schuylenbergh, J. Ho, J. B. Boyec, P. Nylen, K. Shah, L. Melekhov, H. Hermon: J. Appl. Phys. 91, 3345 (2002) S. Tokuda, H. Kishihara, S. Adachi, T. Sato: J. Mater. Sci. Mater. Electron. 15, 1 (2004) M. Simon, R. A. Ford, A. R. Franklin, S. P. Grabowski, B. Mensor, G. Much, A. Nascetti, M. Overdick, M. J. Powell, D. U. Wiechert: Proc. SPIE 5368, 188 (2004)
Photoconductors for X-Ray Image Detectors
48.19 48.20 48.21 48.22 48.23 48.24 48.25 48.26 48.27
48.28 48.29
48.30 48.31 48.32 48.33 48.34 48.35
48.36
S. O. Kasap, K. V. Koughia, B. Fogal, G. Belev, R. E. Johanson: Semiconductor 14, 816 (2003) I. M. Blevis, D. C. Hunt, J. A. Rowlands: J. Appl. Phys. 85, 7958 (1999) M. F. Stone, W. Zhao, B. V. Jacak, P. O’Conner, B. Yu, P. Rehak: Med. Phys. 29, 319 (2002) S. O. Kasap: J. Phys. D: Appl. Phys. 33, 2853 (2000) W. Que, J. A. Rowlands: Phys. Rev. B 51, 10 500 (1995) E. Fourkal, M. Lachaine, B. G. Fallone: Phys. Rev. B 63, 195 204 (2001) D. Mah, J. A. Rowlands, J. A. Rawlinson: Med. Phys. 25, 444 (1998) B. Polischuk, Z. Shukri, A. Legros, H. Rougeot: Proc. SPIE 3336, 494 (1998) M. Choquette, Y. Demers, Z. Shukri, O. Tousignant, K. Aoki, M. Honda, A. Takahashi, A. Tsukamoto: Proc. SPIE 4320, 501 (2001) D. C. Hunt, O. Tousignant, Y. Demers, L. Laperrière, J. A. Rowlands: Proc. SPIE 5030, 226 (2003) M. Schieber, A. Zuck, M. Braiman, J. Nissenbaum, R. Turchetta, W. Dulinski, D. Husson, J. L. Riester: IEEE Trans. Nucl. Sci. NS-44, 2571 (1997) M. Z. Kabir, S. O. Kasap: Appl. Phys. Lett. 80, 1664 (2002) R. C. Whited, L. Van den Berg: IEEE Trans. Nucl. Sci. NS-24, 165 (1977) Y. Eisen, A. Shor: Mater. Res. Soc. Symp. Proc. 487, 129 (1997) T. L. Chu, S. S. Chu, C. Ferekides, C. Q. Wu, J. Britt, C. Wang: J. Appl. Phys. 70, 7608 (1991) A. Ruzin, Y. Nemirovsky: J. Appl. Phys. 82, 4166 (1997) J. G. Mainprize, N. L. Ford, S. Yin, E. E. Gordon, W. J. Hamilton, T. O. Tümer, M. J. Yaffe: Med. Phys. 29, 2767 (2002) M. Z. Kabir, S. O. Kasap: J. Phys. D: Appl. Phys. 36, 2352 (2003)
48.37
48.38
48.39 48.40 48.41 48.42
48.43 48.44 48.45 48.46 48.47 48.48 48.49 48.50
48.51 48.52
References
1137
G. Zentai, L. Partain, R. Pavlyuchkova, C. Proano, G. Virshup, L. Melekhov, A. Zuck, B. N. Breen, O. Dagan, A. Vilensky, M. Schieber, H. Gilboa, P. Bennet, K. Shah, Y. Dmitriev, J. Thomas: Proc. SPIE 5030, 77 (2003) R. A. Street, S. E. Ready, F. Lemmi, K. S. Shah, P. Bennett, Y. Dmitriyev: J. Appl. Phys. 86, 2660 (1999) A. Brauers, U. Schiebel: US Patent (1998) No. 5 729 021 D. R. Ouimette, S. Nudelman, R. Aikens: Proc. SPIE 3336, 470 (1998) D. C. Hunt, S. S. Kirby, J. A. Rowlands: Med. Phys. 29, 2464 (2002) J. M. Boone: Handbook of Medical Imaging, Vol. 1, ed. by J. Beutel, H. L. Kundel, R. L. Van Metter (SPIE, Washington 2000) Chap. 1 and references therein M. Z. Kabir, S. O. Kasap: J. Vac. Sci. Tech. A 20, 1082 (2002) M. Z. Kabir, S. O. Kasap: J. Vac. Sci. Tech. A 22, 975 (2004) M. Z. Kabir, S. O. Kasap: J. Phys. D: Appl. Phys. 35, 2735 (2002) M. Rabbani, R. Shaw, R. L. Van Metter: J. Opt. Soc. Am. A 4, 895 (1987) J. G. Mainprize, D. C. Hunt, M. J. Yaffe: Med. Phys. 29, 976 (2002) M. Z. Kabir, S. O. Kasap: IEE Proc.-CDS 150, 258 (2003) W. Que, J. A. Rowlands: Med. Phys. 22, 365 (1995) S. Tokuda, H. Kishihara, S. Adachi, T. Sato, Y. Izumi, O. Teranuma, Y. Yamane, S. Yamada: Proc. SPIE 4682, 30 (2002) G. Pang, W. Zhao, J. A. Rowlands: Med. Phys. 25, 1636 (1998) M. Z. Kabir, M. Yunus, S. O. Kasap, O. Tousignant, H. Mani, P. Gauthier: Proc. SPIE 5745, 223 (2005)
Part E 48
1142
Part E
Novel Materials and Selected Applications
Part E 49.1
was the reason for the high-speed switching and stable performance. The above result gives rise to one more question. Why is B0 so large (7% of the bond length)? In other words, is the structure really rock salt? Below we summarize the authors’ recent efforts to investigate the local structure of GST using XAFS. Measurements were performed at BL12C at the Photon Factory (Tsukuba, Japan) and BL01B1 at SPring8 (Hyogo-ken, Japan). The Fourier-transformed (FT) spectra for the Ge and Te edges of GST are shown in Fig. 49.4. It should be noted that the r-space data shown in Fig. 49.4 are not realspace radial distribution function data but the magnitude of the Fourier transforms (FTs) of the k-space EXAFS data. The peak positions in the figure are shifted from the actual interatomic distances toward lower r because of the photoelectron phase shift δ(k) in the phase factor of the EXAFS oscillations. The spectra measured at the Sb edge did not show any significant variation between the two states and are not shown here. Details of the data analysis can be found elsewhere [49.8]. The main results for crystalline GST are summarized below. We found two types of bond lengths, namely shorter bonds and longer bonds for both Te–Ge and Te–Sb (for Te–Ge: 2.83 ± 0.01 Å, and 3.2 ± 0.3 Å and for Te–Sb: 2.91 ± 0.01 Å and 3.2 ± 0.3 Å). It should be noted here that the observation of splitting of the bond lengths into two groups is very similar to the case of GeTe. The uncertainties for the longer bonds are rather large. For this reason no definitive conclusions could be drawn about the longer bonds and in what follows we shall exclusively concentrate on the shorter bonds. It should be noticed here that another commercially used material, AIST, also possesses subsets of shorter and longer bonds [49.9]. No Sb–Ge bonds were detected, in agreement with the fact that Sb and Ge do not intermix in the solid phase but we clearly observed a second-nearest-neighbor Te– Te peak at 4.26 Å. It should also be mentioned that the mean-square relative displacements (MSRD) of the Te–Ge bond length obtained in EXAFS are considerably (lower (0.02 Å2 ) than) the isotropic atomic displacements of single atoms obtained from XRD (0.04 Å2 ). This result demonstrates that Ge and Sb atoms do not deviate from the ideal rock-salt positions in a random way but in a strongly correlated manner with respect to the neighboring Te atoms, i. e. the crystalline structure is in fact a distorted rock-salt-like structure similar to the case of the ferroelectric GeTe. The off-center location of the Ge atoms
Fig. 49.5 Structural modification of GST upon transformation from the crystalline (left) to amorphous (right) state (after [49.8])
means that there is a net dipole moment and suggests that GST is a ferroelectric material [49.10]. The Amorphous State We now turn to the amorphous state. It was found that both Te–Ge and Te–Sb bonds get shorter (2.61 Å and 2.85 Å, respectively) and stronger upon amorphization, as evidenced by Fig. 49.4. At the same time, the Te second-neighbor peak becomes considerably weaker but does not disappear completely. The MSRD value decreases from 0.02 Å2 in the crystalline state to 0.008 Å2 in the amorphous state. Such a behavior is highly unusual for typical threedimensional covalently bonded solids as, due to the anharmonicity of interatomic potentials, disordering typically results in an increase of the bond lengths and the bond-length disorder. The obtained results reminds one of the case of molecular solids where the presence of intermolecular and intramolecular bonds determines the crystallization–amorphization behavior. In the current case, a bond-strength hierarchy also exists and the following model of structural rearrangement can be envisaged. Upon melting, the longer Te–Ge (and Te–Sb bonds) are broken and, as a result, the shorter bonds become even shorter and stronger, i. e. the amorphous phase is locally more ordered than the crystalline phase. Our Raman scattering experiments provided further grounds for this model, namely, the Raman measurements for both GeTe and GST [49.11] showed that the spectra for the crystalline films are dominated by a peak located at lower wavenumbers, i. e. “mode softening” takes place upon crystallization. This situation can be compared with the case of Se or Te when the interchain interaction is weakened, giving rise to a Raman peak located at higher wave number [49.12]. It should be mentioned that an increased local bond or-
1146
Part E
Novel Materials and Selected Applications
Part E 49
49.12
49.13
49.14
M. H. Brodsky: Light Scattering in Solids, ed. by M. Cardona (Springer, Berlin Heidelberg New York 1983) p. 205 D. E. Sayers: Structural Studies of Disordered Systems using EXAFS. In: Proc. 7th Int. Conf. Amorph. and Liquid Semicond., ed. by W. E. Spear (University of Edinburgh, Edinburgh 1977) p. 61 A. V. Kolobov, P. Fons, J. Tominaga: Appl. Phys. Lett. 82, 382 (2003)
49.15 49.16 49.17 49.18
Y. Maeda, M. Wakagi: Jpn. J. Appl. Phys. 30, 101 (1991) J. Tominaga, T. Nakano, N. Atoda: Appl. Phys. Lett. 73, 2078 (1998) T. Kikukawa, T. Nakano, T. Shima, J. Tominaga: Appl. Phys. Lett. 81, 4697 (2002) J. Tominaga, D. P. Tsai (Eds.): Optical Nanotechnology: The Manipulation of Surface and Local Plasmons, ed. by J. Tominaga, D. P. Tsai (Springer, Berlin Heidelberg New York 2003) p. 212
1147
Carbon Nanot 50. Carbon Nanotubes and Bucky Materials
Nanotubes ............................... 1147 General.................................... 1147 Geometry ................................. 1149 Synthesis and Chemistry ............ 1149 Electronic Structure and Transport ........................... 1150 50.1.5 Nanoelectronic Devices .............. 1151 50.1.6 Other Electronic Applications ...... 1152
50.2 Bucky Materials ................................... 1153
The discovery of C60 (Fig. 50.1) in 1986, and subsequently higher fullerenes, followed by that of carbon nanotubes in 1991 accelerated the interest in carbonbased molecules and compounds as electronically or optically active materials that had begun in the early
1970s. The emergence of the interdisciplinary field of nanotechnology has beneficially attracted attention and methodologies from other fields of science and engineering that have considerably enhanced the physical electronics research effort [50.1, 2].
References .................................................. 1153 the field with emphasis on the Coulomb blockade and ballistic transport phenomena. The major electronic applications are then outlined, giving the state-of-the-art figures of merit for performance and comments on prospects for realisation.
50.1 Carbon Nanotubes 50.1.1 General The electronic and structural description of carbon nanotubes and other sp2 -bonded carbon nanostructures with curved surfaces (bucky materials, the term ‘bucky’ is derived from buckminsterfullerene: the name given to C60 soon after discovery) will inevitably contain references to that of the familiar two-dimensional (2-D) planar counterpart, graphite. The strength of the in-plane covalent bond of the hexagonal graphitic network produces a short C−C distance of 0.142 nm and high mechanical stiffness. Carbon nanotube is a generic term that includes both single- and multi-walled structures. Single-wall carbon
Fig. 50.1 The C60 molecule, diameter 0.7 nm
Part E 50
50.1 Carbon 50.1.1 50.1.2 50.1.3 50.1.4
The chapter details the underlying phenomena that underpin electronic applications that have followed from the discoveries of C60 and carbon nanotubes. The reduced dimensionality of these self-organised structures, high electron mobility, weak electromigration, and the plethora of quantum electronic effects exhibited by these structures suggest they are serious candidates for molecular electronics. The detail of the surface chemistry and conditions of synthesis assume greater importance than for conventional electronic materials since all atoms are on the exterior of these structures, as is outlined with references to the wider literature. Essential electronic structure information is given with reference to the transport measurements that have contributed greatly to the evolution of
1148
Part E
Novel Materials and Selected Applications
Part E 50.1
Fig. 50.2 Single-wall carbon nanotube, length 1–10 µm, diameter typically 1.4 nm to > 10 nm
nanotubes (SWNTs) are seamless cylindrical graphitic macromolecules of nanoscale diameter and micrometer length, capped by hemispherical ends. The closure of the cylinder is a result of pentagonal inclusions in the hexagonal carbon network of the nanotube walls, Fig. 50.2. For SWNTs, every carbon atom is a surface atom. The smallest SWNT diameter reported to date, 4 Å, corresponds to the predicted lower limit for stable SWNT formation from consideration of the stress energy built into the cylindrical structure [50.3]. SWNTs tend to form closely packed bundles, or ropes, of several tens of individual single-wall nanotubes. Multi-wall nanotubes (MWNTs) comprise several to tens of concentric cylinders of these graphitic shells with a layer spacing of 3.4 Å (the inter-planer spacing of graphite). SWNT diameters are typically ≈ 1 nm and a MWNT diameter can be in the range 2–100 nm with typical values of 10–20 nm. Nanotube lengths are typically 1–10 µm but can be ≈ 1 mm, giving rise to astonishing aspect ratios (length/diameter) of > 1000. MWNTs of similar dimensions can also be formed from a single graphite sheet rolled into a scroll structure [50.4]. Double-wall carbon nanotubes are a recent addition to the set of carbon nanostructures. A consequence of the high C−C bond strength is that the in-plane Young’s modulus of a carbon nanotube is ∼ = 1 TPa, making the carbon nanotube one of the stiffest known materials, while the tensile strength is ∼ = 150 Gpa (nanotubes have 600 times the strength/weight ratio of steel). Nanotubes deform elastically by buckling, have very low defect density over hundreds to thousands of interatomic spacings, and the strain energy built into the cylindrical structure tends to promote self-repair. The stiff nanotube structure means that the optical-phonon population is minimal even at room temperature; the result can be ballistic electron transport over micrometer length scales (several orders of magnitude greater than that in conventional semiconductor nanostructures).
Another consequence of the short C−C distance is spatially extensive overlapping hybridised atomic orbitals – a π-electron system – with highly mobile delocalised electrons on the interior and exterior of the structure. One carbon atom contributes one unpaired π-electron to the nanotube. The promise of very high carrier mobility in the π-electron system is one motivation for carbon-based electronics. Another is the range of electronic attributes that are displayed by the carbon allotropes, e.g. room-temperature resistivity can range from 10−8 Ω m for SWNTs to > 1018 Ω m for crystalline C60 . The π-electron system and stiff structure produce electrical conductivity, very high current-carrying capacity with weak electromigration. The best figures of merit for nanotube systems that have been measured since discovery are: electrical conductivity, 108 Ω−1 m−1 (comparable with that of copper), carrier mobility: 104 cm2 /Vs (comparable with that of high-quality GaAs), thermal conductivity 104 W/mK (comparable with that of diamond), and sustainable current density of 1013 A/m2 (> 1000 times greater than that of a metal nanowire). Nanotube circumferential crystal momentum vectors are quantised due to the periodic boundary conditions imposed by the finite nanoscale diameter whereas those directed along the micrometer-scale axis show continuous variation; thus, one-dimensional (1-D) electronic transport is intrinsic for the SWNT. Electronic systems of reduced dimensionality – dots, wires and sheets of charge – created by top-down silicon processing have assumed importance in conventional microelectronics. Carbon nanostructures offer the possibility of self-organised molecular-level low-dimensional electronic systems, e.g.: zero-dimensional (0-D) (C60 and short SWNTs), 1-D (SWNTs), 2-D (large-diameter MWNT outer shell), and three-dimensional (3-D) (coupled-layer MWNTs). Electronic modification of carbon nanotubes by insertion of C60 and other fullerenes into the central capillary to form a mixeddimensionality hybrid structure called the peapod is a science in its infancy but promises a rich future for research and applications [50.5]. Carbon nanotubes are chemically stable in ambient conditions and structurally stable in vacuum for temperatures far greater than 1000 ◦ C. Viewed in total, the above properties potentially make carbon nanotubes excellent electronic materials. For some nanoelectronic applications the major drawback is perhaps insufficient control of the synthesis process – presently the focus of much worldwide research effort – and contacting of in-
Carbon Nanotubes and Bucky Materials
50.1 Carbon Nanotubes
allows the possibility of constructing Y- and T-junctions and other complex geometries from nanotubes, including rings and coils. Moreover, a 5–7 defect can connect a metallic to a semiconductor nanotube giving an Angstrom-scale heterojunction and hence a device density 104 times greater than present-day microelectronics [50.6].
50.1.2 Geometry
50.1.3 Synthesis and Chemistry
The unique feature of the electronic structure of carbon nanotubes is that it can range from the metallic to the semiconductor depending on the details of the microstructure. Essentially, the way the hexagonal network connects to itself to form a cylinder determines the electronic structure: the chiral vector usually used to describe the wrapping of the network is
The quality of carbon nanotubes in terms of crystallinity and impurity content depends on the conditions of synthesis by arc discharge using graphitic electrodes, laser vaporisation of graphite, or chemical vapour deposition (CVD) using hydrocarbon gas and metal nanoparticle catalysts. Each method produces different nanotube samples and sample-to-sample variation from the same source. An extensive review of synthesis methods was published by Rakov [50.7]. The key factor for crystallinity, and therefore electronic quality, is the formation temperature: > 2000 ◦ C is required for complete graphitisation. Little is know about the preferences the various growth processes have for certain nanotube symmetries over others. Reaction products can contain significant quantities of residual metal catalyst particles and non-tubular forms of carbon (typically 30 wt % of the raw material). Purification processes ranging from the simple to complex are commonly used: oxidation and acid washing [50.8], solvent treatment followed by ultrafiltration [50.9], or flocculation using aqueous surfactants [50.10]. Controlled growth of MWNTs perpendicular to a substrate has been widely explored due to its technological importance [50.11] Surface functionalisation of SWNTs is required for several applications as a means of modifying charge exchange with the ambient. Oxidation of nanotubes with the acid mixture H2 SO4 −HNO3 leads to high concentrations of carboxylic, carbonyl, and hydroxyl groups on the surface and removal of the tips to expose the interior surface. Carboxyl (−COOH) groups are then readily derivatised by a variety of reactions. Covalent functionalisation, however, necessarily disrupts the rigid structure and π-electron system with consequent degradation of mechanical and electrical properties but provides the best stability and accessibility [50.12]. Noncovalent routes to nanotube functionalisation offer ease of synthesis and minimum disruption of the tubular structure [50.13]. Exposure to ambient humidity, oxygen and other gases profoundly affects the measured electronic prop-
C = na1 + ma2 ≡ (n, m) , where a1 and a2 are the unit vectors of the hexagonal network, and n and m are integers. The resulting nanotube can then be described in the form (n, m). Electronic band-structure calculations show that an (n, m) nanotube is metallic at room temperature if 2n + m is a multiple of 3, otherwise it is a semiconductor with a band gap of E g = 0.9 d eV, where d is the nanotube diameter in nanometers, i. e. typically E g ≈ 0.5 eV. This remarkable property signals the possibility of band-gap engineering by control of the microstructure. The wrapping angle, or chiral angle, is given by the angle between a1 and C. From geometric and symmetry considerations, 0 < |m| < n, 0◦ = θ = 30◦ , cos(θ) = 2n + m/[2v(n 2 + m 2 + nm)], and nanotube diameter d = 0.078v(n 2 + m 2 + nm) nanometers. The general case (n, m) is referred to as the chiral nanotube; there are two special cases: (a) the zigzag nanotube (m = 0, θ = 0◦ ), and (b) the armchair nanotube (n = m, θ = 30◦ , all metallic) [50.2]. Some degree of control of diameter and length is possible at present but very limited control of chirality, and therefore electronic structure, is achievable now. Assuming a random distribution of nanotube diameters in the reaction products, the relative populations of metallic to semiconducting electronic structure is 1:3. Single-symmetry (n, m) synthesis or post-synthesis sorting according to electronic structure are key fields for research effort. The task is proving rather difficult but there appear to be no fundamental barriers to progress. A stable defect in the hexagonal network is the pentagon–heptagon pair (Stone–Wales or 5–7 defect). This defect will cause a sharp bend in an otherwise wellgraphitised SWNT. Controlled introduction of defects
Part E 50.1
dividual nanotubes is poorly understood. Nevertheless, the range of proposed electronic applications is vast. These considerations place carbon nanotube electronics among a number of competing technologies poised to complement or replace silicon-based complementary metal–oxide–semiconductor (CMOS) technology in the < 100 nm-feature-size domain.
1149
1150
Part E
Novel Materials and Selected Applications
Part E 50.1
erties of nanotubes through charge exchange and the quality of metallic electrical contacts made to nanotubes. The electronic properties of carbon nanotube systems are extremely sensitive to the presence of molecular oxygen due to the formation of the charge-transfer −δ complex C +δ p −O2 , i. e. oxygen-exposed nanotubes are p-type [50.14]. Nanotubes exhibit ultrahigh sensitivity at room temperature to O2 , N2 O, NH3 but not H2 [50.15]. Nanotubes must be elevated to temperatures > 700 ◦ C in ultrahigh vacuum to completely remove absorbents.
50.1.4 Electronic Structure and Transport The electronic structure of SWNTs is predicted by tight-binding calculations extended from the 2-D approach for graphite to the cylindrical 1-D nanotube system [50.2]. In the case of nanotubes circumferential crystal momentum vectors are quantised due to the periodic boundary conditions imposed by a finite nanoscale diameter. This simple approach has been proven, mainly by low-temperature scanning tunnelling spectroscopy, to be a good description of the electronic structure of carbon nanotubes [50.16]. The curvature of the nanotubes is ignored in this model but can introduce some important modifications [50.17]. However, the original model is, nevertheless, adequate for the classification of nanotubes into metallic and semiconducting types according the simple rule outlined in Sect. 50.1.1. In common with other 1-D conductors with half-filled bands the expectation was that carbon nanotubes will undergo a Peierls distortion, i. e. and a gap will open in the band structure at the Fermi energy due to atomic displacement modifying the unit cell. However, it has been shown theoretically that the effect very quickly diminishes as a function of nanotube radius [50.2]. Electrical transport measurements on individual SWNTs, SWNT ropes, and MWNTs have produced diverse results in the decade since discovery and are still the focus of intense research effort. The picture is complicated by several factors: 1. the current pathway through MWNTs is greatly complicated by interlayer coupling, 2. two-terminal resistances in the range 100 Ω– 100 MΩ were first reported [50.18], 3. the processing, contacting, and manipulation methods used undoubtedly introduced non-intrinsic resistances and potential barriers. Nevertheless, the best transport parameters that have emerged since discovery, quoted in Sect. 50.1, are highly
desirable from many device points of view. The quality of lithographically defined contacts is critical for electrical transport measurements. There are three possible outcomes of the contacting process: 1. the contacts are of good quality; then the total resistance will be the diffusive or quantum resistance for that structure (6.5 kΩ for a ballistic SWNT) [50.19]; 2. no contact is made and the nanotube is isolated by tunnel barriers; in this case the nanotube will act as a Coulomb island and blockade phenomena will be observed at low temperature [50.20], 3. the contacts are of an intermediate type that allows the nanotube to act as a cavity and Fabry–Perot interference phenomena are observed [50.21]. The exact physics of contacting to carbon nanotubes is poorly understood; the exact atomic arrangement at the interface is likely to play a role as are the ambient gases. Successful transport measurements have yielded a plethora of quantum-electronic and low-dimensional transport phenomena. Tans et al. [50.19] demonstrated true quantum wire behaviour; the remarkable feature of this work was to identification of an electron phasecoherence length on the micrometer scale at 4 K for nanotubes: several orders of magnitude greater than that in conventional semiconductor nanostructures. With an extraordinarily skilful experiment Bachtold et al. [50.22] demonstrated the Aharonov–Bohm effect by circulating circumferential current in a contacted MWNT with the axis parallel to a magnetic field. The periodicity of the magneto-conductance variation with applied field corresponded to an interferometer diameter equal to that of the outer MWNT shell. This finding gave weight to an earlier conclusion from quantum conductance measurements of Frank et al. [50.23], namely that current is only carried in the outer shell for MWNTs. This was posed as a general statement but was contradicted by the controlled layer-by-layer conductivity analysis of Collins et al. [50.24] in which the current-carrying contribution of each MWNT layer was determined by vaporisation of successive MWNT layers. This work concluded that at least eight layers contribute to the current-carrying capacity of a MWNT. The nature of MWNT inter-layer coupling is a point of discussion at present. The landmark paper of Frank et al. identified ballistic conductance in MWNT systems at room temperature by controlled lowering of a MWNT bundle into a liquid mercury contact [50.23]. This was a remarkable result since the implication is that the electron mean free path is on the micrometer scale at room temperature. A ballis-
Carbon Nanotubes and Bucky Materials
tic conductor has a conductance given by the Landauer formula: 2 N e Ti , G=2 · h i
the system will not conduct due to electron–electron repulsion. Coulomb blockade can be modulated by a gate electrode from full blocking to a conducting state limited only by the tunnel barriers. Such a system forms a single-electron transistor (SET). The capacitance of a nanotube is ≈ 10−17 F, thus SET operation conditions are fulfilled at temperatures below 10 K when the entire nanotube defines the Coulomb island. To minimise the capacitance and therefore elevate the operating temperature inter-tube islands can be defined by crossing nanotubes or intra-tube islands bending with a scanning probe microscope (kinks and bends in SWNTs can create tunnel barriers). The latter approach has been used to create a SET that operates close to room temperature [50.26]. Superconductivity in nanotube systems was first observed as the proximity effect with SWNTs or SWNT ropes connecting two superconducting electrodes [50.27]. The first report signalling intrinsic superconductivity in SWNT ropes was a recent landmark publication [50.28]. This will clearly spur further experimental and theoretical effort.
50.1.5 Nanoelectronic Devices Semiconducting nanotubes are especially important for nanoelectronic device applications. Semiconducting behaviour has been observed in individual SWNTs; in SWNT ropes and MWNTs mixed metallic and semiconducting current pathways greatly complicate the I–V characteristic. Field-effect transistors (FETs) with individual SWNT channels have been demonstrated and found to have higher transconductance than can be achieved with state-of-theart metal–oxide–semiconductor field-effect transistors (MOSFETs) [50.29]. The conducting state is attained with negative gate voltages, implying that the carbon nanotube forms a normally off p-type conduction channel (probably due to unintentional doping by exposure to oxygen). Logic gates made from nanotube FETs have been recently demonstrated [50.30]. The nature of the metal electrode–SWNT Schottky barrier is still not fully understood. The prospects for highly integrated circuits consisting of nanotube elements must be considered remote at this time for several reasons (some previously mentioned): 1. current synthesis techniques produce mixtures of metallic or semiconductor nanotubes and these tend to form ropes or bundles;
1151
Part E 50.1
where N is the number of conducting channels, T is the transmission factor (experimental result: T = 1 for most nanotube systems), and G 0 = 2e2 /h is the quantum conductance (the factor of 2 is due to spin degeneracy). Frank et al. measured a conductance of 1G 0 > per MWNT, implying that current is carried in the outer shell only and there is a missing 1G 0 since a metallic carbon nanotube has two crossing 1-D energy bands at the Fermi level, which yields a conductance of 2G 0 for a single nanotube shell. However, more recent results have shown the expected 2G 0 result [50.21]. Therefore, the controversy over the experiment by Frank et al. remains unsolved. SWNTs were expected to exhibit the predicted electron–electron interaction effects in 1-D systems, the so called Luttinger liquid (LL) state. In a strictly 1-D system the independent electron approximation breaks down and the normal 3-D Fermi gas picture is replaced by the LL. In the LL description electron–electron interactions dominate and the electrons are in a highly correlated state. The LL state requires a high degree of order since disorder destroys the correlated ground state. The main features of the LL are suppression of the density of states at the Fermi energy according to a power law and separation of spin and charge excitations. In transport measurements, the LL state is manifested as a tunnelling conductance from a normal electrode according to G(T ) ≈ T α , for eV kB T , and at large voltages G(V ) = dI/ dV ≈ V α for eV kB T , where the parameter α is determined by the strength of the interaction between the electrons. Such power laws have been observed in contacted SWNTs, although stronger evidence, such as a clear demonstration of spin– charge separation, in necessary to identify the LL state unambiguously [50.25]. Coulomb blockade phenomena have been observed in low-temperature transport measurements on carbon nanotube systems often by unintentional incorporation of tunnel junctions between the metal electrode and the nanotube. The effect occurs when a mesoscopic island with capacitance C (self-capacitance of the nanotube plus the barrier capacitance at the nanotube–electrode junction) is isolated by tunnel barriers from two electrodes. If the charging energy for a single electron on the island, e2 /C, is larger than the thermal energy kB T , then
50.1 Carbon Nanotubes
1152
Part E
Novel Materials and Selected Applications
2. the precise nature of the metal electrode–nanotube contact is poorly understood; and 3. scanning probe manipulation techniques used to fabricate prototype individual devices are not scalable.
50.1.6 Other Electronic Applications Part E 50.1
Although nanoelectronics has been the driving force for carbon nanotube research, many other electronic applications are being explored; this section outlines some of them. Interconnects Ballistic transport on the micrometer scale at room temperature and high current-carrying capacity suggests carbon nanotubes are good candidates for highbandwidth dissipation-less interconnect for nanoscale circuit elements. Since chemical processing tends to degrade nanotube electrical properties, methods of directed growth are being explored as a means of wiring integrated circuits, e.g. guiding through via holes [50.31], by electric field [50.32], or surface modification [50.33]. Field-Emission Displays The nanoscale diameter, aspect ratio of ≈ 1000, and high conductivity make carbon nanotubes ideal candidates for field emitters [50.34]. Field-emission currents from single nanotubes and aligned or randomly oriented nanotube thin films have been extensively studied. The promise is of low-threshold-field electron emission with a current density sufficient to drive a phosphor screen for display purposes. SWNTs and MWNTs have proved to be remarkably good field emitters with threshold emission fields in the range 1–10 V/µm and capable of carrying very high current density. The exact emission mechanism, the role played by surface absorbents, and the cause of emission current saturation are under debate. Nevertheless, major manufacturers have recently produced prototype field-emission displays with carbon nanotube sources; with further development, this is likely to be the first major application of carbon nanotubes to reach the marketplace [50.35]. Electron-Beam Lithography The present minimum feature size for silicon microelectronics is 130 nm, which is achieved using extreme-UV optical lithography. Alternative technologies are being explored for the future production of < 100 nm devices. Conventional electron-beam lithography can achieve a 5-nm line width but is limited by writing time because a single beam is used to write the entire pattern. Thus
arrays of electron guns operating in parallel are being considered as a route to reduction of the writing time while maintaining the high resolution of electronbeam lithography. Carbon nanotubes are currently the most promising candidates for use as the emission source [50.36]. Electro-Optic Materials Polymer–nanotube composite materials have been studied from the point of view of applications in electrooptics. Loading the layers of organic light-emitting diodes (LEDs) with low concentrations of nanotubes effectively increased the lifetime of the devices by preventing the build up of local hot spots thorough the high thermal conductivity that can be achieved in a nanotube percolation network [50.37]. Nanotube-induced local ordering of the matrix polymer suggests efficiency improvements may also be possible [50.38]. Using nanotube mats as an electrode in solar-cell applications apparently gave no improvement in device performance; however, these early measurement were performed with defective MWNTs so there may be a case for further investigation using the high-quality SWNTs available today. Nanofabricators Carbon-nanotube atomic force microscopy tips for fabricating oxide nanostructures in Si and Ti by anodisation is a rapidly expanding field aimed at Tbit/cm2 data storage [50.39]. The technique utilises ambient moisture in the oxidation process with the tip biased negative relative to the surface. Line widths of 5–10 nm suitable for antidotes and tunnel junctions can be achieved. Actuators Electromechanical actuators based on SWNT sheets have been shown to generate higher stresses than natural muscle when operating in physiological conditions and higher strains than high-modulus ferroelectrics [50.40]. The actuation mechanism is a geometrical expansion of the carbon–carbon covalent bond caused by electrochemical double-layer charging. Work densities per cycle substantially higher than any previously known technology are predicted for SWNT sheets with mechanical properties close to those of individual SWNTs. This can be achieved by inter-tube binding and alignment optimisation. Sensors The conductivity of nanotube systems is highly sensitive to gaseous ambients, which affect the sign and
Carbon Nanotubes and Bucky Materials
amount of injected charge. The dimensions of a nanotube sensing element is such that very low quantities of analyte species will produce a measurable response. Nanotube gas sensors certainly have prospects to challenge conventional gas sensors for certain uses [50.15].
References
In addition to transduction, bio-sensors require a bioreceptor (e.g. enzyme or cell) immobilisation matrix. Carbon-nanotube-based bio-sensors meet both requirements and have been found to promote homogeneous electron-transfer reactions.
pears promising, in particular as the basis of devices for quantum information processing. Other non-carbonnanotube structures are now under intense investigation; these include BN, W, MoS2 , heterogeneous nanotubes and the ternary compound Mo6 C9−x Hx (C=chalcogen, H=halogen, 3 < x < 6). The science of these structures is still in its infancy but, given the rich experience of over a decade of carbon nanotube research, there is reason to be optimistic of exciting new science and technology.
References 50.1
50.2
50.3 50.4 50.5
50.6 50.7 50.8 50.9
50.10
50.11 50.12 50.13 50.14
M. S. Dresselhaus, G. Dresselhaus, Ph. Avouris (eds): Carbon Nanotubes: Synthesis, Structure, Properties, and Applications, Topics Appl. Phys., Vol. 80 (Springer, Berlin Heidelberg New York 2000) R. Saito, G. Dresselhaus, M. S. Dresselhaus: Physical Properties of Carbon Nanotubes (Imperial College Press, London 1998) N. Wang, Z. K. Tang, G. D. Li, J. S. Chen: Nature 408, 50 (2000) L. M. Viculus, J. J. Mack, R. B. Kaner: Science 299, 1361 (2003) X. Liu, T. Pichler, M. Knupfer, M. S. Golden, J. Fink, H. Kataura, Y. Achiba, K. Hirahara, S. Iijima: Phys. Rev. B 65, 45419 (2002) L. Chico, V. H. Crespi, L. X. Benedict, S. G. Louie, M. L. Cohen: Phys. Rev. Lett. 76, 971 (1996) E. G. Rakov: Russ. Chem. Rev. 69, 25 (2000) A. C. Dillon, T. Genett, K. M. Jones, J. L. Alleman, P. A. Parilla, M. J. Heben: Adv. Mater. 11, 1354 (1999) K. Tohji, H. Takahashi, Y. Shinoda, N. Shimizu, B. Jeyadevan, I. Matuoka, Y. Sato, A. Kasuya, S. Ito, Y. Nishina: J. Phys. Chem. B 101, 1974 (1997) J.-M. Bonard, T. Stora, J.-P. Salvetat, F. Maier, T. Stoeckli, C. Duschul, L. Forro, W. A. de Heer, A. Chatelain: Adv. Mater. 9, 827 (1997) A. Huczko: Appl. Phys. A 74, 617 (2002) S. E. Baker, W. Cai, T. L. Lasseter, K. P. Weidkamp, R. J. Hamers: Nano. Lett. 2, 1413 (2002) R. J. Chen, Y. Zhang, D. Wang, H. Dai: J. Am. Chem. Soc. 123, 3838 (2001) G. U. Sumanasekera, C. K. W. Adu, S. Fang, P. C. Eklund: Phys. Rev. Lett. 85, 1096 (2000)
50.15 50.16 50.17 50.18 50.19
50.20
50.21 50.22
50.23 50.24 50.25
50.26 50.27 50.28
J. Kong, N. R. Franklin, C. Zhou, M. G. Chapline, S. Peng, K. Cho, H. Dai: Science 287, 5453 (2000) J. W. G. Wildöer, L. C. Venema, A. G. Rinzler, R. E. Smalley, C. Dekker: Nature 391, 59 (1998) M. Ouyang, J. L. Huang, C. L. Cheung, C. M. Lieber: Science 292, 702 (2001) T. W. Ebbesen, H. J. Lezec, H. Hiura, J. W. Bennett, H. F. Ghaemi, T. Thio: Nature 382, 54 (1996) S. J. Tans, M. H. Devoret, H. Dai, A. Hess, R. E. Smalley, L. G. Geerlings, C. Dekker: Nature 386, 474 (1997) M. Bockrath, D. H. Cobden, P. L. McEuen, N. G. Chopra, A. Zettl, A. Thess, R. E. Smalley: Science 275, 1922 (1997) W. Liang, M. Bockrath, D. Bozovic, J. H. Hafner, M. Tinkham, H. Park: Nature 411, 665 (2001) A. Bachtold, C. Strunk, J.-P. Salvetat, J.-M. Bonard, L. Farrro, T. Nussbaumer, C. Schonenberger: Nature 397, 673 (1999) S. Frank, P. Poncharal, Z. L. Wang, W. A. de Heer: Science 280, 1744 (1998) P. C. Collins, M. S. Arnold, P. Avouris: Science 292, 1331 (2001) M. Bockrath, D. H. Cobden, L. Jia, A. L. Rinzler, R. E. Smalley, L. Balents, P. L. McEuen: Nature 397, 598 (1999) H. W. Postma, T. Teepen, Z. Yao, M. Grifoni, C. Dekker: Science 293, 76 (2001) A. F. Morpurgo, J. Kong, C. M. Marcus, H. Dai: Science 286, 263 (1999) M. Kociak, A. Yu. Kasumov, S. Gueron, B. Reulet, L. Vaccarrini, I. I. Khodos, Yu. B. Gorbatov,
Part E 50
50.2 Bucky Materials Over the last decade the field of bucky materials has been dominated by carbon nanotubes, as the number of publications and patent submissions testify. After intense research activity since their discovery, the most noteworthy of C60 -based electronic materials are superconducting heterofullerides of composition K2 MC60 , where M=Fe, Ni, Cu, Ag, Co . . . , with Tc ≈ 15 K. However the future for encapsulation of species in the C60 , and higher fullerene, cage ap-
1153
1154
Part E
Novel Materials and Selected Applications
50.29 50.30 50.31
Part E 50
50.32
50.33
50.34
V. T. Volkov, H. Bouchiat: Phys. Rev. Lett. 86, 2416 (2001) S. J. Wind, J. Appenzaller, R. Martel, V. Derycke, Ph. Avouris: Appl. Phys. Lett. 80, 3817 (2002) A. Bachtold, P. Hadley, T. Nakanishi, C. Dekker: Science 294, 1317 (2001) F. Kreupl, A. P. Graham, G. S. Duesberg, W. Steinhögl, M. Liebau, E. Unger, W. Hönlein: Microelectron. Eng. 64, 399 (2002) Y. Zhang, A. Chang, J. Cao, Q. Wang, W. Kim, Y. Li, N. Morris, E. Yenilmez, J. Kong, H. Dai: Appl. Phys. Lett. 79, 3155 (2001) J. Liu, M. J. Cavasant, M. Cox, D. A. Walters, P. Boul, L. Wei, A. J. Rimberg, K. A. Smith, D. T. Colbert, R. E. Smalley: Chem. Phys. Lett. 303, 125 (1999) J.-M. Bonard, M. Croci, C. Klinke, R. Kurt, O. Noury, N. Weiss: Carbon 40, 1715 (2002)
50.35
50.36
50.37
50.38 50.39 50.40
N. S. Lee, D. S. Chung, I. T. Han, J. H. Kang, Y. S. Choi, H. Y. Kim, S. H. Park, Y. W. Jin, W. K. Yi, M. J. Yun: Diamond Rel. Mater. 10, 265 (2001) W. I. Milne, K. B. K. Teo, M. Chhowalla, G. A. J. Amaratunga, J. Yuan, J. Robertson, P. Legagneux, K. Pirio, K. Bouzehouane, D. Pribat, W. Bruenger, C. Trautmann: Curr. Appl. Phys. 1, 317 (2001) B. McCarthy, J. N. Coleman, R. Czerw, A. B. Dalton, M. in het Panhius, A. Maiti, A. Drury, P. Bernier, J. B. Nagy: J. Phys. Chem. B 106, 2210 (2002) H. Ago, K. Petritsch, M. S. P. Shaffer, A. H. Windle, R. H. Friend: Adv. Mater. 11, 1281 (1999) H. Dai, N. Franklin, J. Han: Appl. Phys. Lett. 73, 1508 (1998) R. H. Baughman, C. Cui, A. A. Zakhidov, Z. Iqbal, J. N. Barisci, G. M. Spinks, G. G. Wallace, A. Mazzoldi, D. De Rossi, A. G. Rinzler, O. Jaschinski, S. Roth, M. Kertesz: Science 284, 1340 (1999)
1155
51. Magnetic Information-Storage Materials
Magnetic Info
The purpose of this chapter is to summarize the status of magnetic materials used in high-capacity disk drives and magnetic-semiconductor memory devices. The technology of disk drives is selected since these devices have experienced the largest increase in data capacity over time and this has made disk drives the preeminent storage system for digital data. To illustrate this point, consider Fig. 51.1, which is a plot of the areal density (number of data tracks per inch times the number of bits per inch recorded on a track) for disk drives over time [51.1]. The increase in areal density is more than 100% per year up to about 2002, when it reduced to about 20–30%. At the time of writing the areal density being shipped in disk drives is close to 130 Gb/in2 . The total data capacity of a disk is approximately the areal density times the recording area, which depends on the size of the disk [2.5 and 3.5 inches (64 and 90 mm)] diameter
51.1
Magnetic Recording Technology ............ 1156 51.1.1 Magnetic Thin Films................... 1157 51.1.2 The Write Head ......................... 1158 51.1.3 Spin Valve Read Head ................ 1162 51.1.4 Longitudinal Recording Media .... 1169 51.1.5 Perpendicular Recording ............ 1175
51.2
Magnetic Random-Access Memory......... 1185 51.2.1 Tunneling Magnetoresistive Heads ...................................... 1188
51.3
Extraordinary Magnetoresistance (EMR) . 1189
51.4 Summary ............................................ 1189 References .................................................. 1189 that this technology will be the dominant recording technology in the future. The MRAM device uses some of the same materials as used in the GMR sensor, but the key technology is the magnetic tunneling junction in which soft-magnetic films are coupled by a thin insulating film and conduction is by quantummechanical tunneling. The status of the MRAM technology and some of the key problems are reviewed.
being the most common. Many technologies have contributed to this rapid increase in areal density, including advances in the technology of flying heads with reduced spacing to the disk surface, data codes and error detection and correction, advanced servo control systems for accurate control of magnetic recording heads on data tracks, and improvements in the mechanical structures comprising a disk drive, including advances in motors used to drive the disks. However, this paper discusses only the fundamental technology associated with digital magnetic recording, including the devices used to record and read back the recorded data and the media on which the data is recorded. The discussion is also restricted to the materials and not to any of the mechanical structures associated with the recording heads or disks. The discussion covers conventional longitudinal magnetic recording as used in present (and past) disk drives
Part E 51
The purpose of this chapter to review the current status of magnetic materials used in data storage. The emphasis is on magnetic materials used in disk drives and in the emerging technology of the magnetic random-access memory (MRAM). A wide range of magnetic materials is essential for the advance of magnetic recording both for magnetic recording heads and media, including high-magnetization soft-magnetic materials for write heads, new antiferromagnetic alloys with high blocking temperatures and low susceptibility to corrosion for pinning films in giant-magnetoresistive (GMR) sensors and new ferromagnetic alloys with large values of giant magnetoresistance. For magnetic recording media, the advances are in high-magnetization metal alloys with large values of the switching coercivity. A significant limitation to magnetic recording is found to be the superparamagnetic effect and new advances in multilayer ferromagnetic films to reduce the impact of the effect, but also to allow high-density recording have been developed. Perpendicular recording as compared to longitudinal recording is reviewed and it is shown
Magnetic Information-Storage Materials
51.1.1 Magnetic Thin Films Induced Uniaxial Anisotropy In most applications of soft-magnetic films a uniaxial anisotropy in the plane of the film is required. One way of introducing this uniaxial anisotropy is to induce it by applying a magnetic field in the plane of the film and the effect is referred to as magnetic annealing. The anisotropy can be induced during deposition of the film or induced by a subsequent annealing step, using temperature and a magnetic field to modify the anisotropy. The mechanism of the induced anisotropy is that of directional order, in which atomic pairs in an alloy tend to align with the local magnetization. In nickel–iron films the atomic pairs are the iron atoms and the induced uniaxial anisotropy energy density is typically K u ∼ = 1–3 kerg/cm3 (0.1–0.3 kJ/m3 ), where the uniaxial energy density is
E k = K u sin2 θ ,
(51.1)
where θ is the angle of the magnetization with respect to the direction of the induced anisotropy. To induce the anisotropy it is necessary to saturate the magnetization of the film with a small magnetic field, typically 50–100 Oe (4000–8000 A/m), since it is the magnetization not the magnetic field that is responsible for the
1157
magnetic annealing. If the anisotropy energy density is positive the energy is minimum is along the direction of the anisotropy, which is referred to as an easy axis. A second method of introducing uniaxial anisotropy in thin films is by stress. If the magnetostriction constant is isotropic with value λs for a polycrystalline material then the magnetoelastic energy density is given by 3 E me = λs σ sin2 θ . (51.2) 2 The angle θ is the angle of the magnetization in the film plane with respect to the direction of the stress (σ). If a sample is unmagnetized it will strain by λs as the sample is magnetized to saturation. A typical value of λs is ≈ 10−6 . If the magnetostriction constant and the stress are both positive the direction for the minimum energy and hence the easy axis is for θ = 90◦ . The magnetization, permeability, crystalline anisotropy constant and magnetostriction constant of nickel–iron alloys Nix Fe(1−x) are shown in Fig. 51.3 [51.6]. The maximum permeability is for the Permalloy composition Ni80 Fe20 . Increasing the atomic percentage of iron over that of Permalloy results in increased magnetization since the magnetic moment for iron is 2.2 µB (Bohr magnetons) per atom in the metal, while the value for nickel is 0.6 µB per atom in the metal. Hysteresis in Soft-Magnetic Films If we impose an external magnetic field He along the direction of the induced anisotropy (easy axis) of the thin film (θ = 0◦ ) the total energy density is given by
E k = K eff sin2 θ − MHe cos θ . K eff is the sum of the uniaxial crystalline anisotropy (K u ) and the stress anisotropy (3/2λs σ). The second term is the magnetostatic energy. The equilibrium angle for the magnetization is given by minimizing the total energy, dE = 2K eff sin θ cos θ + MHe sin θ = 0 . dθ The solution sin θ = 0 implies that the magnetization lies at either θ = 0 or 180◦ . However, the energy must be a minimum for stability and the second derivative changes sign at a boundary between stability and instability d2 E = 2K eff (cos2 θ − sin2 θ) + MHe,crit cos θ = 0 . dθ 2 The magnetic field which just satisfies this condition is He,crit = −Hc = −
2K eff . M
(51.3)
Part E 51.1
write and read heads are separate thin-film structures deposited on the back of a mechanical slider, which uses a hydrodynamic air bearing to fly over the surface of the disk [51.5]. Figure 51.2 is a schematic of a modern digital magnetic recording system. The recording (write) and read elements are shown together with the magnetic recording surface which in modern disk drive technology is a thin metallic film of a cobalt alloy (to be discussed). The digital data are recorded in the magnetic film as transitions between the two possible states of the magnetization (pointing to the left or right) and with the width approximately equal to the width of the write head and the width of a data track. The transition region between the oppositely directed directions of the magnetization is similar to that between magnetic domains and has a length l. We will discuss the different parts of the recording system starting with the write head and including the read head which in Fig. 51.2 is a giant-magnetoresistive sensor. First, we will discuss some aspects of thin magnetic films that are relevant to magnetic recording components. All magnetic recording components used in disk drives today are fabricated from thin films to allow mass production and for technology reasons, as we will discuss.
51.1 Magnetic Recording Technology
1174
Part E
Novel Materials and Selected Applications
layer and hence is less susceptible to the superparamagnetic effect (51.17). The effective volume is bounded by K uV1 < K uVeff < K uV1 + K uV2 and with tight coupling and alignment of the axes of the grains the upper limit is approached. The effective remanent magnetization × thickness product is close to Mr t1 − Mr t2
Part E 51.1
and this reduces the pulse width over that a single-layer recording medium (51.10). Because of the antiferromagnetic coupling, an exchange magnetic field Hex,2 acts on the lower magnetic film Jex,2 Hex,2 = M2 t2 and a typical value for the antiferromagnetic exchange constant Jex,2 is 0.06 erg/cm3 (6 mJ/m3 ) [51.44]. For the magnetization of the lower layer to assume the opposite direction to that of the top layer before the field H is reduced to zero, it is required that Hex,2 > Hc2 . The antiferromagnetic exchange constant can be increased by adding a thin layers of cobalt or cobalt alloys known as E layers to both sides of the ruthenium layer [51.43]. The increase in the antiferromagnetic exchange constant is rapid with thickness of the E layers and we show in Table 51.5 a listing of Jex for several E layers [51.43]. The largest increase in Jex is with cobalt, but this material suffers from significant degradation on overwrite and poor signal-to-noise ratio compared with cobalt alloys. To illustrate that the AFM or SFM media configuration actually results in reduced amplitude loss over time, we show in Fig. 51.23 the amplitude loss with two SFM media: 12/0.7/4 (open squares) and 12/1.4/4 (open circles), where the numbers are the thickness of the three layers in nm and the value of E B /kB T is 38 [51.40]. The signal decay rate is −4.14% per decade for the top curve (open squares) and −6.45% per decade for the middle curve (open circles) [51.40]. The coupling between the
two magnetic films is antiferromagnetic for the top curve and ferromagnetic for the middle curve and the lower curve is a single magnetic layer. The AFM or SFM media clearly reduces the decay rate. The improvement is even more significant with a larger value for E B /kB T and values of R less than 1%/decade with Mr t values lower than 0.2 memu/cm2 have been reported by IBM researchers using static magnetization measurements with a reversing magnetic field to mimic the demagnetizing field [51.42]. AFM media are being used in IBM portable disk-drive applications [51.45]. Areal densities larger than 100 Gb/in2 have been reported with longitudinal recording using AFM media [51.46]. The magnetic recording layers were alloys of CoCrPtB with an average grain size of 9.4 nm. The write head was trimmed on the air-bearing surface to the final track width using a focused ion beam and the track density was 149 ktracks/in and the bit density was 720 kbits/in [51.46]. The data shown in Table 51.3 also shows that it is possible to achieve low decay rates with single recording layers [51.17]. Analysis comparing SAF and single-layer media has shown that the singlelayer media is preferred unless the media flux drops below about 0.25 memu/cm2 with Hc values constrained below 4300 Oe. If recording heads are able to record with adequate overwrite on media with coercivity greater 4300 Oe, then the single-layer media is preferred [51.47]. Dynamic Coercivity Another manifestation of thermal effects on magnetic properties is a change in coercivity with switching time. With long periods of time the probability that a particle will switch is increased by thermal excitation and with short periods this probability is decreased – leading to a change in the coercivity of the particle. An experimental study of this effect was done on a test stand in which a stationary write head is driven by a current pulse of variable duration over a previously magnetically saturated recording medium. The current pulse records two transitions (a dibit). The width of each transition is essentially the gap of the write head and the length of
Table 51.5 Comparison of materials for E layers: Jex values and degradation in overwrite and signal to media noise
(after [51.43]) Material for E layers (all 1 nm thick)
Co
Co–Cr
Co–Cr–X
Jex (erg/cm2 ) Degradation in overwrite (dB) with respect to SFM media without E layers (negative values indicate improved overwrite) Degradation in S/Nm (dB) with respect to SFM media without E layers
0.73 10
0.59 ≈3
0.24 ≈ −6
≈ 4.5
≈ 1.6
≈ 1.5
Magnetic Information-Storage Materials
51.1 Magnetic Recording Technology
1183
Table 51.11 Magnetic materials for soft underlayers (SUL) Material
Bs (kG) (T × 10−1 )
Relative Permeability
Comments
Reference
Ni80 Fe20 (Permalloy)
10
1500–2000
[51.48]
Sendust (FeSiAl) FeAlN
10 20
8000 2000
FeCoB
20–24
200–240
Insufficient magnetic moment for SUL. Field gradient decreases at maximum field Insufficient magnetic moment for SUL Sensitive to process conditions; believed to be less useful than FeCoB Annealed to reduce stress
CoZrNb NiFe17 Mo4 [Ni–Fe/Si]n IrMn/CoZrNb
14
Lower magnetostriction than FeCoB
14
Multilayers IrMn antiferromagnetic film to reduce spike noise due to domain walls
[51.77] [51.78] [51.79] [51.80]
[51.4] [51.48] [51.76,77]
Demonstration
52.5 Gb/in2
≈ 100 Gb/in2
CoCrPt 250 2.6 Hk = 10 kOe 0.98 – FeTaC (16 kG) 400 nm
CoCrPt 330 4.94 1.82 × 106 0.92 11.9 –
–
1 6.4 – – 105 105 180 70 100
media Type Ms (emu/cm3 ) Hc (kOe) K u (erg/cm3 ) S D (nm) Underlayer Head Turns Fly height (nm) Magnetic spacing (nm) Pole material Pole width (nm) Pole thickness (nm) Write width (nm) Shield–shield spacing (nm) Read width (nm)
20 FeNi (16 kG) 250 400 ≈ 250 80 200
Component performance Sensitivity (mV/µm) PW50
3
25 70
Simulator (code rate = 32/33) 590 88.9 52.5 (user) 10−5
Software (code rate = 16/17) 650 143 84 (user) 93 (channel) 6.7 × 10−5
Channel performance Channel type Bit density (kb/in) Track density (ktr/in) Areal density (user/channel) (Gb/in2 ) On-track bit error rate
in Table 51.11. A major issue with the soft underlayer is the motion of domain walls driven by the magnetic field from the write head which can lead to spike noise.
The last entry in Table 51.11 is for an antiferromagnetic pinning layer to prevent the motion of such domain walls.
Part E 51.1
Table 51.12 Parameters for perpendicular recording demonstrations
Magnetic Information-Storage Materials
large capacitance resulting from the tunneling barrier. This combination results in a low-pass filter and care is required to limit the capacitance. In the example discussed here the cut off linear density is near 580 kfc/in.
References
1189
Because of the significantly larger value of ∆R for the CPP as opposed to the CIP technology, it is possible that CPP may become the dominant technology for read heads in the future.
51.3 Extraordinary Magnetoresistance (EMR)
F = q ν¯ × B¯ , here q is the charge, ν¯ is the velocity of the charge carrier and B¯ is the magnetic flux density acting on the charge. Electrons have the highest mobility as compared to holes and are the carriers used. The electrons are driven by an external source similar to the sense current in GMR sensors in a high mobility semiconductor and are deflected by the external magnetic field
into a trajector and the voltage drop in the semiconductor at low locations is used to sense the magnetic field. The III–IV semiconductor InSb was chosen for the initial work on EMS because of the high mobility of electrons of 60 000 cm2 /V s. However, a major limitation was the ability to maintain the high mobility in thin films (< 100 nm) required for read head applications and this was addressed by forming a thin-film quantum well heterostructure [51.94]. An advantage of such sensors is the lack of any magnetic noise since there are no magnetic materials involved in the head structure. No experimental results of such structures in read head applications has been reported. a review of EMR as magnetic field nanosensors has been reported by S. Solin [51.95].
51.4 Summary It was the purpose of this chapter to review the current status of the technology of magnetic recording as used in disk drives. The emphasis was on the magnetic materials used in the application and on some of the technical problems that may limit the increase in areal density of the devices. The new technology of MRAM, which has evolved from the magnetic recording application, was also reviewed. It was found that a wide range of magnetic materials is essential for the advance of magnetic recording and the MRAM technology, including high-magnetization soft-magnetic materials for write heads, new antiferromagnetic alloys with high
blocking temperatures and low susceptibility to corrosion for pinning films in giant-magnetoresistive sensors and new ferromagnetic alloys with large values of giant magnetoresistance. A significant limitation to magnetic recording was found to be the superparamagnetic effect and new advances in multilayer ferromagnetic films to reduce the impact of the effect, but also to allow high-density recording, were discussed. Perpendicular recording as an alternative to longitudinal recording was reviewed and it was concluded that this technology will be the dominant recording technology in the future.
References 51.1
51.2 51.3
E. Grochowski: IBM Almaden Research Laboratories (http://www.storage.ibm.com/technolo/ grochows/grocho01.htm) (2003) R. L. Comstock: J. Mater. Sci. Mater. Electrom. 13, 509 (2002) C. D. Mee, E. D. Daniel, M. H. Clark: Magnetic Recording: The First 100 Years (Wiley, New York 1998)
51.4 51.5
51.6
R. L. Comstock: Introduction to Magnetism and Magnetic Recording (Wiley, New York 1999) R. L. Comstock: Data storage in rigid disks, 2nd edn., ed. by C. Mee, E. Daniels (McGraw-Hill, New York 1996) R. Bozorth: Ferromagnetism (Van Nostrand, New York 1951)(reprinted by IEEE, New York 1993)
Part E 51
An interesting development in read head technology is the discovery that narrow band gap and hence highmobility semiconductors can be made to function as detectors of magnetic field [51.94]. The concept is based on the Lorentz force exerted on charge carriers by a megnetic field
1190
Part E
Novel Materials and Selected Applications
51.7 51.8 51.9 51.10 51.11 51.12 51.13 51.14
Part E 51
51.15 51.16 51.17
51.18 51.19
51.20
51.21 51.22 51.23 51.24 51.25 51.26 51.27
51.28 51.29 51.30 51.31 51.32
X. Liu, G. Zangart, M. Shamsuzzoha: J. Electrochem. Soc. 150 (3), C159 (2003) N. Robertson, B. Hu, C. Tsang: IEEE Trans. Magn. 33, 2818 (1997) X. Liu, G. Zangari: IEEE Trans. Magn. 37, 1764 (2001) T. Osaka, T. Yokoshima, T. Nakanishi: IEEE Trans. Magn. 37, 1761 (2001) Y. Liu, V. Harris, M. Kryder: IEEE Trans. Magn. 37, 1779–1782 (2001) Y. Ding, S. Byeon, C. Alexander Jr.: IEEE Trans. Magn. 37, 1776 (2001) R. E. Jones Jr.: IBM Disk Storage Technol. GA-261665-0, 6 (1980) P. Andricacos, L. Romankiw: Magnetically soft materials in data storage: Their properties and electrochemistry. In: Adv. Electrochem. Sci. Eng., Vol. 3, ed. by H. Gerischer, C. Tobias (VCH, Weinheim 1990) R. L. Comstock, M. Williams: AIP Conf. Proc. Magn. Mater. 5, 738 (1971) T. Dinan: Electrolytics, Electrolytics Inc., San Jose Ca, private communication G. Bertero, S. Malhotra, B. Bian, J. Tsoi, M. Avenell, D. Wachenschwanz, T. Yamashita: IEEE Trans. Magn. 39, 651 (2003) S. Byeon, F. Liu, G. Mankey: IEEE Trans. Magn. 37, 1770 (2001) M. Baibach, J. Broto, A. Fert, F. Nguyen Van Dan, F. Petroff, P. Etienne, G. Creuzet, A. Fredrick, J. Chazelas: Phys. Rev. Lett. 61, 2472 (1988) H. Kanai, K. Yamada, K. Aoshima, Y. Ohtsuka, J. Kane, M. Kanamine, J. Toda, Y. Mizoshita: IEEE Trans. Magn. 32, 3368 (1996) R. Wood, M. Williams, J. Hong: IEEE Trans. Magn. 26, 2954 (1990) B. Dieny, V. Speriosu, S. Parkin, P. Baumgart, D. Wilhoit: J. Appl. Phys. 69, 4774 (1991) S. Parkin: Appl. Phys. Lett. 61, 1358 (1992) H. Bertram, H. Zhou, R. Gustafson: IEEE Trans. Magn. 34, 1846 (1998) T. Lin, C. Tsang, R. Fontana, J. Howard: IEEE Trans. Magn. 32, 2585 (1995) A. Devasahayam, M. Kryder: IEEE Trans. Magn. 35, 649 (1999) Y. Hamakawa, M. Komuro, K. Watanabi, H. Hoshiya, T. Okada, K. Nakamoto, Y. Suzuki, M. Fuyama, H. Fukui: IEEE Trans. Magn. 35, 677 (1999) H. Kishi, Y. Kitade, Y. Miyaki, A. Tanaka, K. Kobayashi: IEEE Trans. Magn. 32, 3380 (1996) S. Parkin, D. Mauri: Phys. Rev. B 44, 7131 (1991) J. Mathon: Contemp. Phys. 32, 143 (1999) S. O. Kasap: Principles of Electronic Materials and Devices, 2nd edn. (McGraw-Hill, New York 2002) I. A. Campbell, A. Fert: Transport properties of ferromagnets. In: Ferromagnetic Materials, Vol. 3, ed. by E. P. Wohlfarth (North-Holland, Amsterdam 1984) p. 3
51.33 51.34 51.35
51.36 51.37
51.38
51.39 51.40 51.41 51.42
51.43
51.44 51.45 51.46
51.47 51.48 51.49 51.50 51.51 51.52
51.53 51.54 51.55
R. C. O’Handley: Modern Magnetic Materials Principles and Applications (Wiley, New York 2000) R. L. White: IEEE Trans. Magn. 28, 2482 (1992) M. Doerner, X. Bian, M. Madison, K. Tang, Q. Peng, A. Polcyn, T. Arnoldussen, M. Toney, M. Miramaani, K. Takano, E. Fullerton, D. Margulies, M. Schabes, K. Rubin, M. Pinarbasi, S. Yuan, M. Parker, D. Weller: IEEE Trans. Magn. 37, 1052 (2001) T. Arnoldussen: IEEE Trans. Magn. 34, 1851 (1998) G. Choe, J. Zhou, B. Demczyk, M. Yu, M. Zheng, R. Weng, A. Chekanov, K. Johnson, F. Liu, K. Stoev: IEEE Trans. Magn. 39, 633 (2003) M. Madison, T. Arnoldussen, M. Pinarbasi, T. Chang, M. Parker, J. Li, S. Duan, X. Bian, M. Mirzamaani, R. Payne, C. Fox, R. Wang: IEEE Trans. Magn. 35, 695 (1999) D. Weller, A. Moser: IEEE Trans. Magn. 35, 4423 (1999) E. Abarra, B. Acharya, A. Inomata, I. Okamoto: IEEE Trans. Magn. 37, 1426 (2001) E. Abarra, A. Inomata, H. Sato, I. Okamoto, Y. Mizoshita: Appl. Phys. Lett. 77, 2581 (2000) E. Fullerton, D. Margulies, N. Schabes, M. Carey, B. Gurney, A. Moser, M. Best, G. Zeltzer, K. Rubin, H. Rosen: Appl. Phys. Lett. 77, 3806 (2000) A. Inomata, B. Acharya, E. Abarra, A. Ajan, D. Hasegawa, I. Okamoto: J. Appl. Phys. 91, 7671 (2002) M. Schabes, E. Fullerton, D. Margulies: IEEE Trans. Magn. 37, 1432 (2001) IBM Research News (//www.research.ibm.com/ esources/news/20010518_whitepaper.shtml) (2001) Z. Zhang, Y. Feng, T. Clinton, G. Badran, N. Yeh, G. Tarnopolsky, E. Girt, M. Munteanu, S. Harkness, H. Richter, T. Nolan, R. Ranjan, S. Hwang, G. Rauch, M. Ghaly, D. Larson, E. Singleton, V. Vasko, J. Ho, F. Stageberg, V. Kong, K. Duxstad, S. Slade: IEEE Trans. Magn. 38, 1861 (2002) G. Choe, J. Zhou, R. Weng, K. Johnson: J. Appl. Phys. 91, 7665 (2002) S. Khizroev, Y. Lui, K. Mountfield, M. Kryder, D. Litvinov: J. Magn. Magn. Mater. 246, 335 (2002) M. Mallory, A. Torabi, M. Benaki: IEEE Trans. Magn. 38, 1719 (2002) M. L. Williams: Conf. Proc. IDEMA Symposium Perpendicular Recording, (2004) W. Xia, H. Aoi, H. Muraoka, Y. Nakamura: IEEE Trans. Magn. 40, 2365 (2004) T. Okada, H. Kimura, I. Nunokawa, N. Yoshida, K. Etoh, M. Fuyama: IEEE Trans. Magn. 40, 290 (2004) N. Honda, K. Ouchi, S. Iwasaki: IEEE Trans. Magn. 38, 1615 (2000) K. Ouchi, N. Honda: IEEE Trans. Magn. 36, 16 (2002) N. Honda, S. Yanase, K. Ouchi, S. Iwasaki: J. Appl. Phys. 85, 6130 (1999)
Magnetic Information-Storage Materials
51.56 51.57 51.58 51.59 51.60 51.61
51.62
51.64
51.65
51.66 51.67
51.68 51.69 51.70 51.71 51.72 51.73 51.74
51.75 51.76
51.77
51.78 51.79 51.80 51.81
51.82
51.83
51.84 51.85 51.86
51.87
51.88 51.89 51.90 51.91 51.92
51.93
51.94
51.95
C. Chang, M. Plumer, C. Brucker, J. Chen, R. Ranjan, J. van Elk, J. Yu, D. Karns, Y. Kubota, G. Ju, D. Weller: IEEE Trans. Magn. 38, 1637 (2002) M. Zheng, G. Choe, K. Johnson, L. Gao, S. Liou: IEEE Trans. Magn. 38, 1979 (2002) S. Kong, T. Okamoto, K. Kim, S. Nakagawa: IEEE Trans. Magn. 38, 1982 (2002) S. Takenoiri, K. Enomoto, Y. Sakai, S. Watanabe: IEEE Trans. Magn. 38, 1991 (2002) H. Takano, Y. Nishida, A. Kuroda, H. Sawaguchi, Y. Hosoe, T. Kawabe, H. Aoi, H. Muraoka, Y. Nakamura, K. Ouchi: J. Magn. Magn. Mater. 235, 241 (2001) W. Eppler, A. Sunder, D. Karns, E. Kurtas, G. Ju, X. Wu, P. van der Heijden, Y. Kubota, H. Zhou, C.H. Chang: IEEE Trans. Magn. 39, 663 (2003) Hitachi Global Storage Technologies, announcement on the website; www.hitachigst.com/hdd/ research/recording head/pr (March 2005) Seagate press release: seagate.com, (2002) E. Murdock, J van Ek: Lake arrowhead workshop (2003) S. Parkin, K. Roche, M. Samant, P. Rice, R. Beyers, R. Scheuerlein, E. O’Sullivan, S. Brown, J. Bucchigano, D. Abraham, Y. Lu, M. Rooks, P. Trouilloud, R. Wanner, W. Gallagher: J. Appl. Phys. 85, 5828 (1999) S. Tehrani, B. Engel, J. Slaughter, E. Chen, M. DeHerra, M. Durlam, P. Naji, R. Whig, J. Janesky, J. Calder: IEEE Trans. Magn. 36, 2752 (2000). The curve of magnetoresistance % is from a private communication with Mark deHerrera from Motorola R. Meservey, P. Tedrow: Phys. Rep. 238, 175 (1994) M. Julliere: Phys. Lett. 54A, 225 (1975) H. Kronmüller, R. Hertel: J. Magn. Magn. Mater. 215-216, 11 (2000) K. Lee, W. Park, T. Kim: IEEE Trans. Magn. 39, 2842 (2003) I. Prejbeanu, W. Kula, K. Ounadjela, R. Sousa, O. Redon, B. Dieny, J. Nozieres: IEEE Trans. Magn. 40, 2625 (2004) S. Araki, K. Sato, T. Kagami, S. Saruki, T. Uesugi, N. Kasahara, T. Kuwashima, N. Ohta, J. Sun, K. Nagi, S. Li, N. Hachisuka, H. Hatata, N. Kagotani, N. Takahashi, K. Ueda, M. Matsuzaki: IEEE Trans. Magn. 38, 72 (2002) S. Solin, D. Hines, A. Rowe, J. Tsai, Y. Pashkin, S. Chung, N. Goel, M. Santos: Appl. Phys. Lett. 80, 4012 (2002) S. Solin: Sci. Am. Mag. 291, 71 (2004)
1191
Part E 51
51.63
I. Lee, H. Ryu, H. Lee, T. Lee: J. Appl. Phys. 85, 6133 (1999) T. Kertoku, J. Ariake, N. Honda, K. Ouchi: J. Magn. Magn. Mater. 235, 34 (2001) L. Wu, S. Yanase, N. Honda, K. Ouchi: J. Magn. Soc. Jpn. 21, 301 (1997) X. Qi, B. Stadler, R. Victora, J. Judy, O. Hellwig, N. Supper: IEEE Trans. Magn. 40, 2476 (2004) T. Suzuki, N. Honda, K. Ouchi: J. Magn. Soc. Jpn. 21-S2, 177 (1997) G. Bertero, D. Wachenschwanz, S. Malhotia, S. Velu, B. Bian, D. Stafford, Y. Wu, T. Yamashita, S. Wang: IEEE Trans. Magn. 38, 1627 (2002) T. Oikawa, M. Nakamura, H. Uwazumi, T. Shimatsu, H. Muraoka, Y. Nakamura: IEEE Trans. Magn. 38, 1976 (2002) H. Uwazumi, K. Enomoto, Y. Sakai, S. Takenoiri, T. Oikawa, S. Watanabe: IEEE Trans. Magn. 39, 1914 (2003) Y. Sonobe, D. Weller, Y. Ikedu, K.. Takano, M. Schabes, G. Zeltzer, H. Do, R. Yeu, M. Best: J. Magn. Magn. Mater. 235, 424 (2001) Y. Sonobe, K. Miura, Y. Nakamura, K. Takano, H. Do, A. Mosher, B. Yen, Y. Ikeda, N. Supper: J. Appl. Phys. 91, 8055 (2002) H. Muraoku, Y. Sonobe, K. Muira, A. Goodman, Y. Nakamura: IEEE Trans. Magn. 38, 1632 (2002) Y. Sonobe, H. Muraoka, K. Miura, Y. Nakamura, K. Takano, A. Moser, H. Do, B. Yen, Y. Ikeda, N. Supper, H. Weresin: IEEE Trans. Magn. 38, 2006 (2002) M. Williams, C. Rettner, K. Takano, W. Weresin: IEEE Trans. Magn. 38, 1643 (2002) R. M. White: Introduction to Magnetic Recording (IEEE, New York 1985) K. Z. Gao, H. N. Bertram: IEEE Trans. Magn. 38, 3675 (2002) J. Judy: J. Magn. Magn. Mater. 235, 235 (2001) E. Velua, S. Malhotra, G. Bertero, D. Wachenschwanz: IEEE Trans. Magn. 39, 668 (2003) S. Oikawa, A. Takeo, T. Hikosaka, Y. Tanaka: IEEE Trans. Magn. 36, 2393 (2000) M. Zheng, G. Choe, A. Chekanov, B. Demczyk, B. Acharya, K. Johnson: IEEE Trans. Magn. 39, 1919 (2003) K. Miura, H. Muraoka, Y. Sonobe, Y. Nakamura: IEEE Trans. Magn. 38, 2054 (2002) C. Brucker, T. Nolan, B. Lu, Y. Kubota, M. Plumer, P. Lu, R. Cronch, C. Chang, D. Chen, J. Chen, R. Michel, G. Parker, N. Tabat: IEEE Trans. Magn. 39, 673 (2003)
References
1193
High-Temper 52. High-Temperature Superconductors
After the successful development of helium liquefaction techniques in the laboratory of Heike Kammerlingh Onnes at the University of Leiden, temperatures down to about 1 K became accessible for further research [52.1]. One of the first aspects to be studied was the electrical resistivity of pure metals at very low temperatures. The nearly temperature-independent residual resistivity of platinum and gold was found to decrease with increasing purity of the metals. In 1911 Kammerlingh Onnes found that, in contrast to the behaviour of platinum and gold, the electrical resistivity of mercury drops to an unmeasurably small value at
52.1
The Superconducting State ................... 1195 52.1.1 Characteristic Properties of Superconductors ................... 1195 52.1.2 Superconductor Electrodynamics . 1197 52.1.3 Superconductivity: A Macroscopic Quantum Phenomenon .............. 1198 52.1.4 Type II Superconductors ............. 1200
52.2 Cuprate High-Tc Superconductors: An Overview ........................................ 1202 52.2.1 Major Families of Cuprate Superconductors ........ 1202 52.2.2 Generic Phase Diagram of Cuprate Superconductors ........ 1202 52.2.3 Crystal Structures....................... 1204 52.2.4 Critical Temperatures ................. 1205 52.3 Physical Properties of Cuprate Superconductors .................. 1207 52.3.1 Anisotropic Superconductors....... 1207 52.3.2 Irreversibility Line ..................... 1208 52.3.3 Limitations of the Transport Critical Current .. 1209 52.4 Superconducting Films ......................... 1212 52.5 The Special Case of MgB2 ...................... 1214 52.6 Summary ............................................ 1216 References .................................................. 1216 terised by a transition temperature as high as 39 K, has been included in the present chapter (Sect. 52.5).
a temperature of 4.2 K, as shown in Fig. 52.1. The remarkable phenomenon of superconductivity had been discovered [52.1]. In addition to zero resistance, the superconducting state is characterised by perfect diamagnetism. W. Meissner and R. Ochsenfeld found in 1933 that, as soon as the superconducting state is reached, a magnetic field is expelled from the interior of a superconductor cooled in the presence of a static magnetic field [52.2]. In contrast to the shielding of a magnetic field applied to a material in the superconducting state, field expulsion cannot be explained by perfect conductivity. This Meissner effect
Part E 52
The discovery by J. G. Bednorz and K. A. Müller in 1986 that the superconducting state can exist in oxides at temperatures above 30 K stimulated research in the field of superconductivity and opened a new field of research. Within a few years a large number of cuprate superconductors with transition temperatures well above the boiling point of liquid nitrogen have been found. In this chapter an overview of the major families of high-temperature superconductors and their physical properties is presented. Starting from the well-known characteristics of conventional superconductors, described in Sect. 52.1, the new phenomena observed in hightemperature superconductors are considered. The complexity of the physical properties of the cuprate superconductors is closely related to the fact that these materials are close to a metal– insulator transition. In Sects. 52.2 and 52.3 the crystal structures, the general trends for the critical temperatures, the anisotropy of the physical properties and the factors limiting the transport critical current density are discussed. Because of their importance in the field of electronics some features of thin films are presented in Sect. 52.4. The binary compound MgB2 is strictly speaking not a high-temperature superconductor. Nevertheless, an overview of the physical properties of this interesting metallic superconductor, charac-
High-Temperature Superconductors
the critical temperature decreases with increasing n. In Fig. 52.21, this behaviour is illustrated for the Hg12(n − 1)n compounds. A possible explanation for the observed behaviour is the fact that in cuprates with n ≥ 3 the hole concentrations in the central and outer CuO2 layers may be considerably different. It may therefore be impossible to reach simultaneously the optimum carrier concentration in all the CuO2 layers for compounds with n ≥ 4. Finally, it should be mentioned that the critical temperature of cuprate superconductors has been found to be
52.3 Physical Properties of Cuprate Superconductors
1207
connected with the lattice parameters. Consequently, the critical temperature depends on applied pressure. Application of a hydrostatic pressure typically enhances the critical temperature of cuprate superconductors. In Hg1223, superconductivity was observed at temperatures as high as 164 K at a pressure of 31 GPa [52.49]. A more complex situation results for the application of uniaxial pressure. In the case of pressure along the crystallographic a- or b-axis the critical temperature is enhanced, whereas for uniaxial pressure along the c-direction Tc is reduced [52.50, 51].
52.3 Physical Properties of Cuprate Superconductors 52.3.1 Anisotropic Superconductors
where ξab and ξc are the coherence lengths in the ab-plane and along the c-direction respectively. The Table 52.5 Critical temperatures of optimally doped cuprate superconductors Compound
n
Tc (K)
Reference
Y-123 Bi-2212 Bi-2223 Tl-2201 Tl-2212 Tl-2223 Tl-2234 Tl-1212 Tl-1223 Tl-1234 Tl-1245 Hg-1223
2 2 3 1 2 3 4 2 3 4 5 3
92 96 122 92 118 128 119 90 122 122 110 135
[52.20] [52.52] [52.53] [52.54] [52.54] [52.55] [52.53] [52.53] [52.53] [52.53] [52.53] [52.14]
(52.21)
where m ab and m c are the effective masses of the charge carriers for in-plane and out-of-plane currents, respectively. The expressions for the upper critical fields are [52.40, 56] φ0 Bc2,c = 2 2πξab φ0 Bc2,ab = (52.22) 2πξc ξab The upper and lower critical fields of various cuprate superconductors are listed in Table 52.6. The lower critical fields are typically between 10 and 500 mT for magnetic fields applied along the c-direction. The upper critical fields of cuprate superconductors can exceed 100 T even for the unfavourable field direction B||c. The data for Tl-2201, Hg-1201 and Hg, Cu-1201 given in Table 52.6 clearly indicate that the critical fields, like the critical temperatures, depend on the actual doping level. For the characteristic length scales in cuprate superconductors the following relation has been found ξc < ξab λab < λc .
(52.23)
Table 52.7 lists for various cuprate superconductors the penetration depths and the coherence lengths. The inplane coherence length ξab is typically 1–4 nm. The considerably smaller values found for the out-of-plane coherence length ξc are comparable to interatomic distances. The small values of ξc are responsible for the insulating character of the charge-carrier reservoirs (Fig. 52.14) separating adjacent CuO2 blocks. The
Part E 52.3
As a consequence of the layered crystal structures of the cuprates, the penetration depth depends on the direction of the screening currents. Assuming that the screening currents in the a- and b-direction are equal, two different penetration depths λab and λc result. The indices give the direction of the screening currents. Thus, two different Ginzburg–Landau parameters κab (B||ab) and κc (B||c) can be defined λab λc 1/2 κab = , (52.20) ξab ξc λab κc = , ξab
dimensionless anisotropy parameter is given by [52.56] m c 1/2 λc ξab Bc2,ab Bc1,c = = = = γa = m ab λab ξc Bc2,c Bc1,ab
1212
Part E
Novel Materials and Selected Applications
pinned. The screening currents circulating around each flux line are responsible for a repulsive vortex–vortex interaction, which prevents flux motion as soon as a sufficiently large fraction of the flux lines is pinned. The effectiveness of this collective pinning depends on the stiffness of the flux-line lattice. The energy required to create a flux line can be estimated from the lower critical field Bc1 [52.39]. At the lower critical field, flux lines start to enter the superconductor. The formation energy for each flux line is therefore Bc1 φ0 Efl = m dB with m = lfl , µ0
(52.27)
0
where m is the magnetic moment of a flux line of length lfl containing a single flux quantum. The required energy per unit length is
Part E 52.4
φ0 Efl = Bc1 . lfl µ0
(52.28)
Using κ = λ L /ξ the lower critical field can be written as [52.34] Bc1 =
φ0 ln κ . 4πλ2L
(52.29)
When the flux line is in a normal region, no energy is required to form the normal vortex core, and the resulting
pinning energy per unit length is 2 Efl 1 λL φ0 = ln (52.30) . lfl 4πµ0 λL ξ Generally regions with reduced Cooper pair densities due to defects can act as pinning centres. The pinning properties of the cuprate superconductors are highly anisotropic. Relatively strong pinning has been found for magnetic fields transverse to the current direction applied in the ab plane. For the resulting Lorentz force along the c-direction, strong intrinsic pinning may be provided by the insulating charge carrier reservoirs of the layered structure along the c-direction. On the other hand, the pinning is weak for magnetic fields transverse to the current direction applied along the c-direction, leading to a Lorentz force parallel to the ab-planes. Flux lines along the c-direction experience strong pinning only within the CuO2 blocks. As a consequence of the layered structure, the vortices may be cut into vortex segments or even pancake vortices which exist only within the conductive CuO2 blocks. These pancake vortices could easily move in the direction of the Lorentz force. The positions of the irreversibility lines in the different cuprate superconductors reflect the anisotropy of the pinning properties. Typically the pinning efficiency for magnetic fields along the c-direction decreases as one progresses from Y-123 via the Hg- and Tl12(n − 1)n compounds to the highly anisotropic Bi- and Tl-22(n − 1)n cuprates.
52.4 Superconducting Films Because of the weakly coupled large-angle grain boundaries present in polycrystalline bulk material, the transport critical current density is much smaller than the jc of the individual grains. In contrast to polycrystalline bulk material, adjacent grains of epitaxial films are connected by low-angle grain boundaries. As a consequence the critical current densities measured in epitaxial films are close to the jc values of individual grains. At low temperatures and zero applied field, the critical current densities in epitaxial Y-123, Bi-2212, Bi-2223 and Hg1223 films exceed 107 A/cm2 [52.83, 91–93]. Even at 77 K jc -values well above 107 A/cm2 have been measured in Y-123 films [52.94]. The physical properties of ultrathin films can be considerably different from those of bulk single crystals.
First, let us consider the effect of the film thickness on the critical temperature. For the growth of epitaxial ultrathin films a substrate with good lattice matching is required. YBa2 Cu3 O7 films of thickness equal to the height of a single unit cell in the c-direction can be grown onto SrTiO3 single crystals covered by a six-unit-cellthick non-superconducting PrBa2 Cu3 O7 (Pr-123) buffer layer [52.95]. The lattice mismatch of Y-123 and Pr123 is less than 1.5%. The layer growth can be checked by the observation of the strong intensity oscillations of reflection high-energy electron diffraction. The period of these oscillations has been found to correspond to the height of a single unit cell. Y-123 films with a thickness of 1–10 unit cell heights were grown by coevaporation of the metals under oxygen atmosphere.
1216
Part E
Novel Materials and Selected Applications
52.6 Summary
Part E 52
The cuprate high-temperature superconductors are characterised by layered crystal structures. Conductive CuO2 layers in the crystallographic ab-planes are a common feature of all cuprate superconductors. Along the c-direction, conducting copper oxide blocks and insulating charge-carrier reservoirs alternate. As a consequence the physical properties of cuprate superconductors are strongly anisotropic. The critical temperature as well as the other physical properties depend considerably on the charge-carrier concentration. The maximum critical temperature is typically reached for a carrier concentration of 0.18 holes per CuO2 . Furthermore, the highest Tc of optimally doped cuprate superconductors is typically reached in compounds with three or four CuO2 layers in the CuO2 blocks. The highest known critical temperature of 135 K at ambient pressure has been achieved in Hg-1223. The cuprate superconductors are close to a metal– insulator transition. The d-wave symmetry of the energy gap and the existence of a pseudogap above Tc , especially in underdoped cuprate superconductors, suggest that the pairing mechanism of the electrons is different from that in metallic superconductors. Furthermore,
only a weak isotope effect has been observed in the cuprates, which again suggests that the electron pairing is not phonon-mediated. Extremely short coherence lengths and low carrier concentrations seem to be responsible for the weak-link behaviour of large-angle grain boundaries in the cuprate superconductors. To achieve transport critical current densities comparable to the intra-grain jc a biaxial texture is required. The recently discovered metallic superconductor MgB2 may be interesting for applications in spite of the moderate critical temperature of 39 K because of a simpler chemistry and the fact that grain boundaries do not act as weak links. The observation of a pronounced boron isotope effect suggests that MgB2 is a conventional BCS superconductor. The anisotropy of the physical properties of MgB2 is less pronounced than that of the cuprate superconductors. The maximum operation fields of both the cuprate superconductors and MgB2 are limited by the irreversibility line, which is well below the upper critical field. The existence of an irreversibility line may be caused in both types of materials by thermally activated depinning or flux-line lattice melting.
References 52.1 52.2 52.3 52.4 52.5 52.6 52.7 52.8 52.9 52.10 52.11 52.12 52.13 52.14
R. de Bruyn Ouboter: IEEE Trans. Magn. 23, 355 (1987) W. Meissner, R. Ochsenfeld: Naturwissenschaften 21, 787 (1933) F. London, H. London: Physica 2, 341 (1935) J. Bardeen, L. N. Cooper, J. R. Schrieffer: Phys. Rev. 106, 162 (1957) J. Bardeen, L. N. Cooper, J. R. Schrieffer: Phys. Rev. 108, 1175 (1957) I. Giaever: Phys. Rev. Lett. 5, 147 (1960) I. Giaever: Phys. Rev. Lett. 5, 464 (1960) R. Doll, M. Näbauer: Phys. Rev. Lett. 7, 51 (1961) B. S. Deaver Jr., W. M. Fairbank: Phys. Rev. Lett. 7, 43 (1961) B. D. Josephson: Phys. Lett. 1, 251 (1962) R. C. Jaklevic, J. Lambe, J. E. Mercereau, A. H. Silver: Phys. Rev. A 140, 1628 (1965) J. G. Bednorz, K. A. Müller: Z. Phys. B 64, 189 (1986) A. Schilling, M. Cantoni, J. D. Guo, H. R. Ott: Nature 363, 56 (1993) J. J. Capponi, J. L. Tholence, C. Chaillout, M. Marezio, P. Bordet, J. Chenavas, S. M. Loureiro, E. V. Antipov, E. Kopnine, M. F. Gorius, M. Nunez-Regueiro,
52.15 52.16 52.17 52.18 52.19 52.20
52.21 52.22 52.23 52.24
B. Souletie, P. Radaelli, F. Gerhards: Physica C 235240, 146 (1994) J. Nagamatsu, N. Nakagawa, T. Muranaka, Y. Zenitani, J. Akimitsu: Nature 410, 63 (2001) L. R. Testardi, J. H. Wernick, W. A. Royer: Solid State Commun. 15, 1 (1974) J. R. Gavaler, M. A. Janocko, C. K. Jones: J. Appl. Phys. 45, 3009 (1974) J. F. Schooley, W. R. Hosler, M. L. Cohen: Phys. Rev. Lett. 12, 474 (1964) A. W. Sleight, J. L. Gillson, P. E. Bierstedt: Solid State Commun. 17, 27 (1975) M. K. Wu, J. R. Ashburn, C. J. Torng, P. H. Hor, R. L. Meng, L. Gao, Z. J. Huang, Y. Q. Wang, C. W. Chu: Phys. Rev. Lett. 58, 908 (1987) T. R. Strobridge: IEEE Trans. Nucl. Sci. 16, 1104 (1969) D. Jérome, A. Mazaud, M. Ribault, K. Bechgaard: J. Physique Lett. 41, L95 (1980) K. Oshima, H. Urayama, H. Yamochi, G. Saito: Physica C 153-155, 1148 (1988) J. M. Williams, A. J. Schultz, U. Geiser, K. D. Carlson, A. M. Kini, H. H. Wang, W.-K. Kwok, M.H. Whangbo, J. E. Schirber: Science 252, 1501 (1991)
High-Temperature Superconductors
52.25
52.26 52.27
52.28 52.29
52.30 52.31
52.32 52.33 52.34 52.35
52.38 52.39 52.40 52.41
52.42 52.43 52.44 52.45 52.46
52.47
52.48
52.49
52.50
52.51
52.52 52.53 52.54
52.55 52.56 52.57
52.58 52.59 52.60 52.61 52.62 52.63 52.64 52.65 52.66
52.67 52.68 52.69
52.70 52.71 52.72 52.73
52.74 52.75 52.76 52.77 52.78
Th. Schweizer, R. Müller, L. J. Gauckler: Physica C 225, 143 (1994) R. Hott, H. Rietschel, M. Sander: Phys. Bl. 48, 355 (1992) A. Maignan, C. Martin, M. Huve, J. Provost, M. Hervieu, C. Michel, B. Raveau: Physica C 170, 350 (1990) A. Maignan, C. Martin, V. Hardy, Ch. Simon, M. Hervieu, B. Raveau: Physica C 219, 407 (1994) M. Tinkham: Physica C 235-240, 3 (1994) A. J. Panson, G. R. Wagner, A. I. Braginski, J. R. Gavaler, M. A. Janocko, H. C. Pohl, J. Talvacchio: Appl. Phys. Lett. 50, 1104 (1987) D. W. Capone, D. G. Hinks, J. D. Jorgensen, K. Zhang: Appl. Phys. Lett. 50, 543 (1987) U. Welp, W. K. Kwok, G. W. Crabtree, K. G. Vandervoort, J. Z. Liu: Phys. Rev. Lett. 62, 1908 (1989) T. K. Worthington, W. J. Gallagher, T. R. Dinger: Phys. Rev. Lett. 59, 1160 (1987) Ch. Heinzel, Ch. Neumann, P. Ziemann: Europhys. Lett. 13, 531 (1990) D.-H. Wu, S. Sridhar: Phys. Rev. Lett. 65, 2074 (1990) M. Akamatsu, L. X. Chen, H. Ikeda, R. Yoshizaki: Physica C 235-240, 1619 (1994) D. R. Harshman, A. P. Mills: Phys. Rev. B 45, 10684 (1992) J. H. Kang, R. T. Kampwirth, K. E. Gray: Appl. Phys. Lett. 52, 2080 (1988) J. N. Li, K. Kadowaki, M. J. V. Menken, Y. K. Huang, K. Bakker, A. A. Menovsky, J. J. M. Franse: Appl. Phys. A 47, 209 (1988) L. Zhang, J. Z. Liu, R. N. Shelton: Phys. Rev. B 45, 4978 (1992) I. Matsubara, H. Tanigawa, T. Ogura, H. Yamashita, M. Kinoshita, T. Kawai: Phys. Rev. B 45, 7414 (1992) F. Shi, T. S. Rong, S. Z. Zhou, X. F. Wu, J. Du, Z. H. Shi, C. G. Cui, R. Y. Jin, J. L. Zhang, Q. Z. Ran, N. C. Shi: Phys. Rev. B 41, 6541 (1990) I. Matsubara, R. Funahashi, K. Ueno, H. Yamashita, T. Kawai: Physica C 256, 33 (1996) M. Hasegawa, K. Izawa, A. Shibata, Y. Matsuda: Physica C 377, 459 (2002) G. Triscone, A. Junod, R. E. Gladyshevskii: Physica C 264, 233 (1996) J. Hofer, J. Karpinski, M. Willemin, G. I. Meijer, E. M. Kopnin, R. Molinski, H. Schwer, C. Rossel, H. Keller: Physica C 297, 103 (1998) R. Usami, A. Fukuoka, H. Kubota, H. Yamauchi: Physica C 243, 19 (1995) G. Villard, A. Daignere, D. Pelloquin, A. Maignan: Physica C 314, 196 (1999) V. Vulcanescu, L. Fruchter, A. Bertinotti, D. Colson, G. Le Bras, J.-F. Marucco: Physica C 259, 131 (1996) H. Kumakura, K. Togano, N. Tomita, E. Yanagisawa, S. Okayasu, Y. Kazumatu: Physica C 251, 231 (1995) V. Hardy, J. Provost, D. Groult, M. Hervieu, B. Raveau, S. Durˇcok, E. Pollert, J. C. Frison,
1217
Part E 52
52.36 52.37
A. F. Hebard, M. J. Rosseinsky, R. C. Haddon, D. W. Murphy, S. H. Glarum, T. T. M. Palstra, A. P. Ramirez, A. R. Kortan: Nature 350, 600 (1991) K. Lüders: Phys. Bl. 50, 166 (1994) T. T. M. Palstra, O. Zhou, Y. Iwasa, P. E. Sulewski, R. M. Fleming, B. R. Zegarski: Solid State Commun. 93, 327 (1995) W.-J. Yeh, L. Chen, F. Xu, B. Bi, P. Yang: Phys. Rev. B 36, 2414 (1987) F. J. Kedves, S. Mészáros, K. Vad, G. Halász, B. Keszei, L. Mihály: Solid State Commun. 63, 991 (1987) D. J. Quinn, W. B. Ittner: J. Appl. Phys. 33, 748 (1962) R. Wesche: High-temperature Superconductors: Materials, Properties, and Applications (Kluwer Academic, Boston 1998) H. Fröhlich: Phys. Rev. 79, 845 (1950) J. Bardeen: Phys. Rev. 80, 567 (1950) C. P. Poole, H. A. Farach, R. J. Creswick: Superconductivity (Academic, San Diego 1995) C. A. Reynolds, B. Serin, W. H. Wright, L. B. Nesbitt: Phys. Rev. 78, 487 (1950) E. Maxwell: Phys. Rev. 78, 477 (1950) B. Serin, C. A. Reynolds, C. Lohman: Phys. Rev. 86, 162 (1952) J. W. Garland: Phys. Rev. Lett. 11, 114 (1963) W. Buckel: Supraleitung (VCH, Weinheim 1993) T. P. Orlando, K. A. Delin: Foundations of applied superconductivity (Addison–Wesley, Reading 1991) G. Sparn, J. D. Thompson, R. L. Whetten, S.M. Huang, R. B. Kaner, F. Diederich, G. Grüner, K. Holczer: Phys. Rev. Lett. 68, 1228 (1992) Ch. Renner, I. Maggio-Aprile, Ø. Fischer: Phys. Bl. 54, 427 (1998) Ch. Renner, B. Revaz, J.-Y. Genoud, K. Kadowaki, Ø. Fischer: Phys. Rev. Lett. 80, 149 (1998) J. Orenstein, A. J. Millis: Science 288, 468 (2000) J. M. Tranquada, B. J. Sternlieb, J. D. Axe, Y. Nakamura, S. Uchida: Nature 375, 561 (1995) R. Beyers, G. Lim, E. M. Engler, R. J. Savoy, T. M. Shaw, T. R. Dinger, W. J. Gallagher, R. L. Sandstrom: Appl. Phys. Lett. 50, 1918 (1987) R. J. Cava, B. Batlogg, R. B. van Dover, D. W. Murphy, S. Sunshine, T. Siegrist, J. P. Remeika, E. A. Rietman, S. Zahurak, G. P. Espinosa: Phys. Rev. Lett. 58, 1676 (1987) J. J. Capponi, E. M. Kopnin, S. M. Loureiro, E. V. Antipov, E. Gautier, C. Chaillout, B. Souletie, M. Brunner, J. L. Tholence, M. Marezio: Physica C 256, 1 (1996) L. Gao, Y. Y. Xue, F. Chen, Q. Xiong, R. L. Meng, D. Ramirez, C. W. Chu, J. H. Eggert, H. K. Mao: Phys. Rev. B 50, 4260 (1994) N. Watanabe, K. Fukamachi, Y. Ueda, K. Tsushima, A. M. Balbashov, T. Nakanishi, N. Môri: Physica C 235-240, 1309 (1994) J.-P. Locquet, J. Perret, J. Fompeyrine, E. Mächler, J. W. Seo, G. van Tendeloo: Nature 394, 453 (1998)
References
1218
Part E
Novel Materials and Selected Applications
52.79
52.80
52.81 52.82 52.83 52.84 52.85 52.86 52.87
Part E 52
52.88 52.89 52.90 52.91
52.92
52.93 52.94
52.95
52.96
52.97 52.98 52.99
J. P. Chaminade, M. Pouchard: Physica C 191, 85 (1992) L. Civale, A. D. Marwick, R. Wheeler, M. A. Kirk, W. L. Carter, G. N. Riley, A. P. Malozemoff: Physica C 208, 137 (1993) A. Schilling, R. A. Fisher, N. E. Phillips, U. Welp, D. Dasgupta, W. K. Kwok, G. W. Crabtree: Nature 382, 791 (1996) A. Junod, M. Roulin, J.-Y. Genoud, B. Revaz, A. Erb, E. Walker: Physica C 275, 245 (1997) M. Rupp, A. Gupta, C. C. Tsuei: Appl. Phys. Lett. 67, 291 (1995) P. Schmitt, L. Schultz, G. Saemann-Ischenko: Physica C 168, 475 (1990) L. Krusin-Elbaum, C. C. Tsuei, A. Gupta: Nature 373, 679 (1995) D. Dimos, P. Chaudhari, J. Mannhart, F. K. LeGoues: Phys. Rev. Lett. 61, 219 (1988) D. Dimos, P. Chaudhari, J. Mannhart: Phys. Rev. B 41, 4038 (1990) A. Schmehl, B. Goetz, R. R. Schulz, C. W. Schneider, H. Bielefeldt, H. Hilgenkamp, J. Mannhart: Europhys. Lett. 47, 110 (1999) A. Attenberger, J. Hänisch, B. Holzapfel, L. Schultz: Physica C 372-376, 649 (2002) H. Hilgenkamp, J. Mannhart: Appl. Phys. Lett. 73, 265 (1998) J. Mannhart, H. Hilgenkamp: Physica C 317-318, 383 (1999) R. A. Rao, Q. Gan, C. B. Eom, Y. Suzuki, A. A. McDaniel, J. W. P. Hsu: Appl. Phys. Lett. 69, 3911 (1996) H. Yamasaki, K. Endo, S. Kosaka, M. Umeda, S. Misawa, S. Yoshida, K. Kajimura: IEEE Trans. Appl. Supercond. 3, 1536 (1993) S. H. Yun, J. Z. Wu: Appl. Phys. Lett. 68, 862 (1996) D. Kumar, M. Sharon, R. Pinto, P. R. Apte, S. P. Pai, S. C. Purandare, L. C. Gupta, R. Vijayaraghavan: Appl. Phys. Lett. 62, 3522 (1993) T. Terashima, K. Shimura, Y. Bando, Y. Matsuda, A. Fujiyama, S. Komiyama: Phys. Rev. Lett. 67, 1362 (1991) J.-M. Triscone, Ø. Fischer, O. Brunner, L. Antognazza, A. D. Kent, M. G. Karkut: Phys. Rev. Lett. 64, 804 (1990) W. Si, H.-C. Li, X. X. Xi: Appl. Phys. Lett. 74, 2839 (1999) T. Sekitani, H. Sato, M. Naito, N. Miura: Physica C 378-381, 195 (2002) S. L. Bud’ko, G. Lapertot, C. Petrovic, C. E. Cunningham, N. Anderson, P. C. Canfield: Phys. Rev. Lett. 86, 1877 (2001)
52.100 D. G. Hinks, H. Claus, J. D. Jorgensen: Nature 411, 457 (2001) 52.101 A. Gümbel, J. Eckert, G. Fuchs, K. Nenkov, K.H. Müller, L. Schultz: Appl. Phys. Lett. 80, 2725 (2002) 52.102 V. Braccini, L. D. Cooley, S. Patnaik, D. C. Larbalestier, P. Manfrinetti, A. Palenzona, A. S. Siri: Appl. Phys. Lett. 81, 4577 (2002) 52.103 S. D. Bu, D. M. Kim, J. H. Choi, J. Giencke, E. E. Hellstrom, D. C. Larbalestier, S. Patnaik, L. Cooley, C. B. Eom, J. Lettieri, D. G. Schlom, W. Tian, X. Q. Pan: Appl. Phys. Lett. 81, 1851 (2002) 52.104 T. Masui, S. Lee, A. Yamamoto, S. Tajima: Physica C 378-381, 216 (2002) 52.105 D. C. Larbalestier, L. D. Cooley, M. O. Rikel, A. A. Polyanskii, J. Jiang, S. Patnaik, X. Y. Cai, D. M. Feldmann, A. Gurevich, A. A. Squitieri, M. T. Naus, C. B. Eom, E. E. Hellstrom, R. J. Cava, K. A. Regan, N. Rogado, M. A. Hayward, T. He, J. S. Slusky, P. Khalifah, K. Inumaru, M. Haas: Nature 410, 186 (2001) 52.106 K. H. P. Kim, W. N. Kang, M.-S. Kim, C. U. Jung, H.J. Kim, E.-M. Choi, M.-S. Park, S.-I. Lee: Physica C 370, 13 (2002) 52.107 Y. Wang, T. Plackowski, A. Junod: Physica C 355, 179 (2001) 52.108 Z. X. Shi, A. K. Pradhan, M. Tokunaga, K. Yamazaki, T. Tamegai, Y. Takano, K. Togano, H. Kito, H. Ihara: Physica C 378-381, 550 (2002) 52.109 C. Ferdeghini, V. Ferrando, G. Grassano, W. Ramadan, V. Braccini, M. Putti, P. Manfrinetti, A. Palenzona: Physica C 372-376, 1270 (2002) 52.110 Y. Eltsev, S. Lee, K. Nakao, N. Chikumoto, S. Tajima, N. Koshizuka, M. Murakami: Physica C 378-381, 61 (2002) 52.111 F. Simon, A. Jánossy, T. Fehér, F. Murányi, S. Garaj, L. Forró, C. Petrovic, S. L. Bud’ko, G. Lapertot, V. G. Kogan, P. C. Canfield: Phys. Rev. Lett. 87, 047002 (2001) 52.112 T. Takasaki, T. Ekino, T. Muranaka, H. Fujii, J. Akimitsu: Physica C 378-381, 229 (2002) 52.113 F. Bouquet, R. A. Fisher, N. E. Phillips, D. G. Hinks, J. D. Jorgensen: Phys. Rev. Lett. 87, 047001 (2001) 52.114 H.-J. Kim, W. N. Kang, E.-M. Choi, M.-S. Kim, K. H. P. Kim, S.-I. Lee: Phys. Rev. Lett. 87, 087002 (2001) 52.115 W. N. Kang, H.-J. Kim, E.-M. Choi, K. H. P. Kim, S.I. Lee: Physica C 378-381, 1246 (2002) 52.116 S. L. Bud’ko, P. C. Canfield, V. G. Kogan: Physica C 382, 85 (2002) 52.117 T. He, R. J. Cava, J. M. Rowell: Appl. Phys. Lett. 80, 291 (2002)
1219
Molecular Ele 53. Molecular Electronics
53.1
Electrically Conductive Organic Compounds ......................................... 1220 53.1.1 Orbitals and Chemical Bonding ... 1220 53.1.2 Band Theory ............................. 1221 53.1.3 Electrical Conductivity ................ 1222 53.2 Materials ............................................ 1223 53.3 Plastic Electronics ................................ 1225 53.3.1 Diodes and Transistors ............... 1225 53.3.2 Organic Light-Emitting Structures 1226 53.3.3 Photovoltaic Devices .................. 1227 53.3.4 Chemical Sensors ...................... 1228 53.4 Molecular-Scale Electronics .................. 1229 53.4.1 Moore’s Laws ............................ 1229 53.4.2 Nanoscale Organic Films............. 1230 53.4.3 Patterning Technologies............. 1232 53.4.4 Molecular Device Architectures .... 1233 53.5 DNA Electronics.................................... 1235 53.6 Conclusions ......................................... 1236 References .................................................. 1237
Molecular electronics is concerned with the exploitation of organic and biological materials in electronics and optoelectronics [53.1–3]. The subject, as it has matured over the last 30 years, can broadly be divided into two themes. The first area, molecular materials for electronics, has its origins in materials science and concerns the development of devices that utilise the unique macroscopic properties of organic compounds. The most successful commercial product to date is the liquid-crystal display. However, following many years of research, devices such as organic light-emitting displays, pyroelectric detectors for infrared imaging, and chemical and biochemical sensors are beginning to make a technological impact. The Nobel prize in Chemistry for 2000 was awarded to three scientists working in this area: Alan Heeger, Alan MacDiarmid and Hideki Shirakawa, who have made significant contributions to the development of electrically conductive polymers. More challenging is molecular-scale electronics. Here, the focus is on the behaviour of individual organic molecules or groups of molecules. Topics such as molecular switches [53.3–9], molecular memories [53.10–13], mo-
lecular rectifiers [53.14], negative differential-resistance junctions [53.15], deoxyribonucleic acid (DNA) electronics [53.16] and molecular manufacturing [53.17,18] have all been described in the literature. It is much too early to say which, if any, of these could find their way into the commercial arena. This chapter provides an introduction to the interdisciplinary world of molecular electronics. In the first instance, the physics background to semiconductive organic compounds is outlined. A review of the available materials is presented and some of the possible device applications are described. There are currently a limited number of ways in which organic molecules can be deposited and manipulated on surfaces to form solid films, which can then be used in device structures. The most popular methods are outlined, and methods to pattern the films are described. The prospects for molecularscale electronics are contrasted with the progress of the inorganic semiconductor industry. Finally, a selection of the ongoing work on molecular-scale devices is described and some speculation about future developments is given.
Part E 53
The prospects of using organic materials in electronics and optoelectronics applications have attracted scientists and technologists since the 1970s. This field has become known as molecular electronics. Some successes have already been achieved, for example the liquidcrystal display. Other products such as organic light-emitting displays, chemical sensors and plastic transistors are developing fast. There is also a keen interest in exploiting technologies at the molecular scale that might eventually replace silicon devices. This chapter provides some of the background physics and chemistry to the interdisciplinary subject of molecular electronics. A review of some of the possible application areas for organic materials is presented and some speculation is provided regarding future directions.
Molecular Electronics
Table 53.1 Room-temperature field-effect carrier mobili-
ties for field-effect transistors based on organic semiconductors [53.20,21]. The electron mobilities in single-crystal silicon and gallium arsenide are also given Material
Mobility (cm2 /Vs)
Si single crystal (electrons)
1500
GaAs single crystal (electrons)
8500
Polythiophene
10−5
Polyacetylene
10−4
Phthalocyanine
10−4 –10−2
Thiophene oligomers
10−4 –10−1
Organometallic dmit complex
0.2
Pentacene
10−3 –1
C60
0.3
where vd is the drift velocity of the carrier and E is the electric field. The mobility may be further related to the electrical conductivity σ by the expression σ = |q| nµ ,
the frequency response of the material). The greater the degree of electron delocalisation, the larger the width of the bands (in energy terms) and the higher the mobility of the carriers within the band. For inorganic semiconductors such as silicon or gallium arsenide, the three-dimensional crystallographic structure provides for extensive carrier delocalisation throughout the solid, resulting in a relatively high mobility µ. Electrical conduction in polymers not only requires carrier transport along the polymer chains but some kind or transfer, or hopping, between these chains, which tend to lie tangled up like a plate of spaghetti. The chargecarrier mobilities in organic polymers are therefore quite low, making it difficult to produce very high-speed electronic computational devices that are competitive with those based on silicon and gallium arsenide. However, some improvement in the carrier mobility can be achieved by both increasing the degree of order of the polymer chains and by improving the purity of the material. Table 53.1 contrasts the room-temperature carrier mobility values for Si, GaAs and a number of different conductive organic compounds [53.20, 21]. Although the values are quite low for the organic materials, other features make them attractive for certain types of electronic device, as indicated in the later sections.
53.2 Materials Many conductive polymers have been synthesised to provide certain electronic features (e.g. band gap, electron affinity). The monomer repeat units are often based on five- or six-membered (benzene) carbon ring systems, including polypyrrole, polythiophene (and various other polythiophene derivatives), polyphenelenevinylene and polyaniline [53.22]. The chemical structure of some of these materials is shown in Fig. 53.5. Such polymers generally show lower electrical conductivity than polyacetylene, however they can have the advantage of high stability and processability. Conductive polymers represent only one category of organic electrical conductors. Another important class are the charge-transfer compounds [53.23, 24]. These are formed from a variety of molecules, primarily aromatic compounds (i. e. based on benzene) which can behave as electron donors (d) and electron acceptors (a). Complete transfer of an electron from a donor to an acceptor molecule results in a system that is electrically insulating (e.g. the transfer of a valence electron in a Na
atom to a Cl atom, forming the compound NaCl). However, if the ratio of the number of donor molecules to the number of acceptor molecules differs from 1 : 1, e.g. the stoichiometry is 1 : 2 or 2 : 3, or if there is incomplete transfer of an electron from a donor to an acceptor (say, a)
b) N
n n
c)
d) S n n
Fig. 53.5a–d Chemical structures of conductive polymers: (a) polypyrrole, (b) polyparaphenylene, (c) polyphenylenevinylene, and (d) polythiophene
1223
Part E 53.2
where n is the density of charge carriers and |q| is the magnitude of their charge (charge on an electron = 1.6 × 10−19 C). The carrier mobility provides an indication of how quickly the carriers react to the field (i. e.
53.2 Materials
Molecular Electronics
mirror image cannot be superimposed on the original structure. Carbon nanotubes are characterised by the chiral index (n, m) where the integers n and m specify each carbon nanotube uniquely [53.27]. An armchair nanotube corresponds to the special case n = m, while for a zigzag nanotube m = 0. All other (n, m) indexes correspond to chiral nanotubes. The electronic structure of a SWCN is either metallic or semiconducting, depending on its diameter and chirality. At low temperature, a single-wall carbon nanotube is a quantum wire in which the electrons in the wire move without being scattered. Resistance measurements for various nanotube samples show that there are metallic and semiconducting nanotubes [53.27]. Carbon nanotubes can also be doped either by electron donors or electron acceptors [53.28]. After reaction with the host materials, the dopants are intercalated in the intershell spaces of the multiwalled nanotubes, and, in the case of single-walled nanotubes, either in between the individual tubes or inside the tubes. The above confirms carbon’s uniqueness as an electronic material. It can be a good conductor in the form of graphite, an insulator in the form of diamond, or a flexible polymer (conductive or insulating) when reacted with hydrogen and other species. Carbon differs from other group IV elements, such as Si and Ge, which exhibit sp3 hybridisation. Carbon does not have any inner atomic orbitals except for the spherical 1s orbital, and the absence of nearby inner orbitals facilitates hybridisations involving only the valence (outer) s and p orbitals. The fact that sp and sp2 hybridisations do not readily occur in Si and Ge might be related to the absence of organic materials made from these elements.
53.3 Plastic Electronics 53.3.1 Diodes and Transistors Since the discovery of semiconducting behaviour in organic materials, there has been a considerable research effort aimed at exploiting these properties in electronic and optoelectronic devices. The term plastic electronics refers to electronic devices incorporating polymeric organic compounds (although this term is often used more widely to include devices incorporating other semiconducting organic materials). Organic semiconductors can have significant advantages over their inorganic counterparts. For example, thin layers
of polymers can easily be made by low-cost methods such as spin coating. High-temperature deposition from vapour reactants is generally needed for inorganic semiconductors. Synthetic organic chemistry also offers the possibility of designing new materials with different band gaps. As noted in Sect. 53.1.3, the mobilities of the charge carriers in organic field-effect transistors are low. Nevertheless, the simple fabrication techniques for polymers have attracted several companies to work on polymer transistor applications such as data storage and thin-film device arrays to address liquid-crystal displays [53.20, 29–31].
1225
Part E 53.3
shown in Fig. 53.7, is composed of 20 hexagons and 12 pentagons and resembles a football. The diameter of the ball is about 1 nm. As with graphite, each carbon atom in C60 is bonded to three other carbon atoms. Thus C60 can be considered as a rolled up layer of a single graphite sheet. The term buckministerfullerene was given originally to the C60 molecule because of the resemblance to the geodesic domes designed and built by Richard Buckminster Fuller. However, this term (or fullerene or buckyball) is used quite generally to describe C60 and related compounds. For example, a molecule with the formula C70 can be formed by inserting an extra ring of hexagons around the equator of the sphere, producing an elongated shell more like a rugby ball. In addition to the spherical-shaped fullerenes, it is possible to synthesise tubular variations – carbon nanotubes [53.26, 27]. Such tubes are comprised of graphite-like sheets curled into a cylinder. Each tube may contain several cylinders nested inside each other. The tubes are capped at the end by cones or faceted hemispheres. Because of their very small diameters (down to around 0.7 nm), carbon nanotubes are prototype onedimensional nanostructures. An important feature of a carbon nanotube is the orientation of the six-membered carbon ring in the honeycomb lattice relative to the axis of the nanotube. Three examples of single-wall carbon nanotubes (SWCNs) are shown in Fig. 53.7. The primary classification of a carbon nanotube is as either being chiral or achiral. An achiral nanotube is one whose mirror image has an identical structure to the original. There are only two cases of achiral nanotubes: armchair and zigzag (these names arise from the shape of the cross-sectional ring). Chiral nanotubes exhibit a spiral symmetry whose
53.3 Plastic Electronics
1228
Part E
Novel Materials and Selected Applications
53.3.3 Photovoltaic Devices
Part E 53.3
Concerns over global climate change, local air pollution and resource depletion are making photovoltaics (PVs) an increasingly attractive method of energy supply. The current technology is based on single-crystal silicon solar cells. These have developed since the 1940s and now possess conversion efficiencies of around 15% for commercial devices (although figures of around 25% are reported in the laboratory). However, the technology is more expensive than conventional power generation and there is much research on alternative materials. Photovoltaics using organic compounds, such as polymers or dyes, offer the possibility of large-scale manufacture at low temperature coupled with low cost. Until the end of the 20th century little progress had been made and energy conversion efficiencies of up to only about 1% were achieved. However, the availability of new conductive organic materials and different PV designs have significantly improved on this figure. To 2004, several laboratories have reported conversion efficiencies of 4–5%, with lifetimes of around 104 hours [53.67]. An organic solar cell device is very similar in structure to the OLED described in the previous section. If the incoming photons have energy greater than the band gap of the polymer (or greater than the HOMO–LUMO separation in the case of organic molecular materials) then the light will be absorbed, creating electrons and holes. In an inorganic photovoltaic cell, these electrons and holes would be generated within, or close to, a depletion region in the semiconductor and they would be free to migrate to opposite electrodes, where they can do useful work in an external electrical load. However, in the organic material the electrons and holes are bound together in excitons. An immediate problem in organic PV cells is to split these excitons. This can be conveniently done at an interface, the simplest being the junction between the electrodes and the organic material. Under open-circuit conditions, holes are collected at the high-work-function electrode (e.g. ITO) and electrons at the low-work-function electrode (e.g. Al). The open-circuit output voltage of the PV device depends on the work-function difference between the electrodes. Improvements in the efficiency of the exciton-splitting process can be achieved using organic compounds incorporating electron-donating and electron-accepting species. By creating interfaces of differing electron affinities, it is possible to enhance the probability of electron transfer between the molecules. An alternative approach to organic PVs exploits a dye-sensitised solar cell, or Gr¨atzel cell [53.68]. Here,
the incoming photons are absorbed by molecules of a dye on a semiconductor surface with subsequent energy and electron transfer to the semiconductor. An electron is returned to the oxidised dye via an electrolyte. The efficiency of such devices can approach 10% [53.69].
53.3.4 Chemical Sensors The development of effective devices for the identification and quantification of chemical and biochemical substances for process control and environmental monitoring is a growing need [53.70–72]. Many sensors do not possess the specifications to conform to existing or forthcoming legislation; some systems are too bulky/expensive for use in the field. Inorganic materials such as the oxides of tin and zinc have traditionally been favoured as the sensing element [53.73]. However, one disadvantage of sensors based on metallic oxides is that they usually have to be operated at elevated temperatures, limiting some applications. As an alternative, there has been considerable interest in trying to exploit the properties of organic materials. Many such substances, in particular phthalocyanine derivatives, are known to exhibit a high sensitivity to gases [53.74]. Lessons can also be taken from the biological world; one household carbon-monoxide detector is designed to simulate the reaction between CO and haemoglobin. A significant advantage of organic compounds is that their sensitivity and selectivity can be tailored to a particular application by modifications to their chemical structure. Moreover, thin-film technologies, such as self-assembly or layerby-layer electrostatic deposition, enable ultrathin layers of organic materials to be engineered at the molecular level [53.75]. There are many physical principles upon which sensing systems might be based; changes in electrical resistance (chemiresistors), refractive index (fibre-optic sensors) and mass (quartz microbalance) have all been exploited in chemical sensing. The main challenges in the development of new sensors are in the production of cheap, reproducible and reliable devices with adequate sensitivities and selectivities. A simple chemiresistor sensor exploits the resistance change of a thin layer of a gas-sensitive material. For example, the conductivity of phthalocyanine thin films can be changed in the presence of oxidising or reducing gases [53.76]. This effect is analogous to the doping of an inorganic semiconductor, such as silicon, with acceptor or donor impurities (Sect. 53.1.3). A problem associated with these chemiresistor devices is that the current outputs are low (typically picoamperes) requiring elaborate
Molecular Electronics
processing. It is much more likely that organic materials will find use in other niche areas of electronics, where silicon and other inorganic semiconductors cannot compete. Examples already exist, such as liquid-crystal displays and certain chemical sensors. Organic lightemitting structures are likely to make a major impact in the marketplace over the next ten years. Over the first decades of the 21st century, classical CMOS technology will come up against a number of technological barriers. The bottom-up approach to molecular electronics provides an alternative and attrac-
References
1237
tive way forward and, as such, it is currently an area of exciting interdisciplinary activity. However, the challenges in fabricating molecular switches and connecting them together are formidable. Living systems use a different approach; these assemble themselves naturally from molecules and are extremely energetically efficient when compared with man-made computational devices. More radical approaches to materials fabrication and device design, exploiting self-organisation, may be needed to realise fully the potential offered by molecular-scale electronics.
References 53.1
53.2 53.3 53.4 53.5
53.7
53.8 53.9 53.10
53.11
53.12 53.13 53.14 53.15
53.16
53.17
53.18 53.19 53.20 53.21 53.22 53.23
53.24 53.25 53.26 53.27
53.28 53.29 53.30 53.31 53.32 53.33
53.34 53.35 53.36 53.37
K. E. Drexler: Nanosystems: Molecular Machinery, Manufacturing and Computation (Wiley, New York 1992) C. Nicolini (ed.): Molecular Manufacturing (Plenum, New York 1996) S. Roth: One-Dimensional Metals (VCH, Weinheim 1995) C. D. Dimitrakopoulos, D. J. Mascaro: IBM J. Res. Devel. 45, 11 (2001) M. R. Bryce, M. C. Petty: Nature 374, 771 (1995) W. J. Feast, J. Tsibouklis, K. L. Pouwer, L. Groenendaal, E. W. Meijer: Polymer 37, 5017 (1996) J. R. Ferraro, J. M. Williams: Introduction to Synthetic Electrical Conductors (Academic, Orlando 1987) J. D. Wright: Molecular Crystals (Cambridge Univ. Press, Cambridge 1995) H. W. Kroto, D. R. M. Walton (eds): The Fullerenes (Cambridge Univ. Press, Cambridge 1993) G. Timp (ed.): Nanotechnology (Springer, Berlin Heidelberg New York 1998) R. Saito, G. Dresselhaus, M. S. Dresselhaus: Physical Properties of Carbon Nanotubes (Imperial College Press, London 1998) L. Duclaux: Carbon 40, 1751 (2002) P. May: Phys. World 8, 52 (1995) Plastic Logic, Cambridge, UK (2005): http://www. plasticlogic.com/ S. R. Forrest: Nature 428, 911 (2004) E. H. Rhoderick: Metal–Semiconductor Contacts (Clarendon, Oxford 1978) Y. L. Hua, M. C. Petty, G. G. Roberts, M. M. Ahmad, M. Hanack, M. Rein: Thin Solid Films 149, 161 (1987) G. Horowitz: Adv. Mater. 2, 286 (1990) H. E. Katz: J. Mater. Chem. 7, 369 (1997) S. Scheinert, G. Paasch: Phys. Status Solidi (a) 201, 1263–1301 (2004) A. R. Brown, A. Pomp, C. M. Hart, D. M. de Leeuw: Science 270, 972 (1995)
Part E 53
53.6
M. C. Petty, M. R. Bryce, D. Bloor (eds): An Introduction to Molecular Electronics (Edward Arnold, London 1995) T. H. Richardson (ed): Functional Organic and Polymeric Materials (Wiley, Chichester 2000) J. M. Tour: Molecular Electronics (World Scientific, New Jersey 2003) Chap. 2 P. E. Kornilovitch, A. M. Bratkovsky, R. S. Williams: Phys. Rev. B 66, 245413 (2002) Y. Chen, G.-Y. Jung, D. A. A. Ohlberg, X. Li, D. R. Stewart, J. O. Jeppesen, K. A. Nielsen, J. F. Stoddart, R. S. Williams: Nanotechnology 14, 462 (2003) Y. Chen, D. A. A. Ohlberg, X. Li, D. R. Stewart, R. S. Williams, J. O. Jeppesen, K. A. Nielsen, J. F. Stoddart, D. L. Olynick, E. Anderson: Appl. Phys. Lett. 82, 1610 (2003) M. R. Diehl, D. W. Steuerman, H.-R. Tseng, S. A. Vignon, A. Star, P. C. Celestre, J. F. Stoddart, J. R. Heath: ChemPhysChem 4, 1335 (2003) L. Ma, S. Pyo, J. Ouyang, Q. Xu, Y. Yang: Appl. Phys. Lett. 82, 1419 (2003) H. Yu, Y. Luo, K. Beverly, J. F. Stoddart, H.-R. Tseng, J. R. Heath: Angew. Chem. 42, 5706 (2003) S. Paul, C. Pearson, A. Molloy, M. A. Cousins, M. Green, S. Kolliopoulou, P. Dimitrakis, P. Normand, D. Tsoukalas, M. C. Petty: Nano. Lett. 3, 533 (2003) J. M. Tour, L. Cheng, D. P. Nackashi, Y. Yao, A. K. Flatt, S. K. St. Angelo, T. E. Mallouk, P. D. Franzen: J. Am. Chem. Soc. 125, 13279 (2003) L. D. Bozano, B. W. Kean, V. R. Deline, J. R. Salem, J. C. Scott: Appl. Phys. Lett. 84, 607 (2004) J. Ouyang, C.-W. Chu, C. R. Szmanda, L. Ma, Y. Yang: Nature Mater. 3, 918 (2004) R. M. Metzger: J. Solid State Chem. 168, 696 (2002) J. Chen, W. Wang, M. A. Reed, A. M. Rawlett, D. W. Price, J. M. Tour: Appl. Phys. Lett. 77, 1224 (2000) C. Dekker, M. A. Ratner: Phys. World 14, 29 (2001)
1238
Part E
Novel Materials and Selected Applications
53.38 53.39 53.40
53.41 53.42
53.43 53.44 53.45
53.46 53.47 53.48 53.49
53.50
Part E 53
53.51
53.52
53.53 53.54 53.55 53.56 53.57 53.58
53.59 53.60
53.61
J. G. Laquindanum, H. E. Katz, A. J. Lovinger, 53.62 A. Dodabalapur: Chem. Mater. 8, 2542 (1996) Z. Bao, A. J. Lovinger, A. Dodabalapur: Adv. Mater. 53.63 53.64 9, 42 (1997) C. D. Dimitrakopoulos, B. K. Furman, T. Graham, S. Hegde, S. Purushothaman: Synth. Met. 92, 47 53.65 (1998) C. D. Dimitrakopoulos, S. Purushothaman, J. Kymissis, A. Callegari, J. M. Shaw: Science 283, 822 (1999) 53.66 H. Sirringhaus, T. Kawase, R. H. Friend, T. Shimoda, M. Inbasekaran, W. Wu, E. P. Woo: Science 290, 2123 53.67 (2000) 53.68 H. Klauk, M. Halik, U. Zschieschang, F. Eder, 53.69 G. Schmid, C. Dehm: Appl. Phys. Lett. 82, 4175 (2003) J. Lee, K. Kim, J. H. Kim, S. Im, D.-Y. Jung: Appl. Phys. Lett. 82, 4169 (2003) P. F. Baude, D. A. Ender, M. A. Haase, T. W. Kelley, 53.70 D. V. Muyres, S. D. Theiss: Appl. Phys. Lett. 82, 3964 (2003) 53.71 M. S. Fuhrer, B. M. Kim, T. Durkop, T. Brintlinger: 53.72 Nano. Lett. 2, 755 (2002) A. Javey, Q. Wang, A. Ural, Y. M. Li, H. J. Dai: Nano. Lett. 2, 929 (2002) F. L´ eonard, J. Tersoff: Phys. Rev. Lett. 88, 258302–1 53.73 (2002) K. Tsukagoshi, N. Yoneya, S. Uryu, Y. Aoyagi, 53.74 A. Kanda, Y. Ootuka, B. W. Alphenaar: Physica B 323, 107 (2002) J. H. Burroughes, D. D. C. Bradley, A. R. Brown, R. N. Marks, K. Mackay, R. H. Friend, P. L. Burns, 53.75 A. B. Holmes: Nature 347, 359 (1990) S. Miyata, H. S. Nalwa (eds): Organic Electrolu- 53.76 minescent Materials and Devices (Gordon Breach, Amsterdam 1997) 53.77 A. J. Hudson, M. S. Weaver: Organic electroluminescence. In: Functional Organic and Polymeric 53.78 Materials, ed. by T. H. Richardson (Wiley, Chichester 2000) p. 365 R. Farchioni, G. Grosso (eds): Organic Electronic 53.79 Materials (Springer, Berlin, Heidelberg 2001) Cambridge Display Technology (data provided February 2005): http://www.cdtltd.co.uk/ 53.80 J. K. Borchardt: Mater. Today 7, 42 (Sept. 2004) J. H. Ahn, C. Wang, C. Pearson, M. R. Bryce, M. C. Petty: Appl. Phys. Lett. 85, 1283 (2004) 53.81 L. S. Hung, C. W. Tang, M. G. Mason: Appl. Phys. 53.82 Lett. 70, 152 (1997) G.-Y. Jung, C. Pearson, L. E. Horsburgh, I. D. W. Samuel, A. P. Monkman, M. C. Petty: J. Phys. D: Appl. Phys. 53.83 33, 1029 (2000) Y. Cao, I. D. Parker, G. Yu, C. Zhang, A. J. Heeger: 53.84 Nature 397, 414 (1999) P. Cea, Y. Hua, C. Pearson, C. Wang, M. R. Bryce, ´pez, M. C. Petty: Mater. Sci. Eng. C 22, 87 M. C. Lo 53.85 (2002) P. Cea, Y. Hua, C. Pearson, C. Wang, M. R. Bryce, F. M. Royo, M. C. Petty: Thin Solid Films 408, 275 53.86 (2002)
M. Ikai, S. Tokito, Y. Sakamoto, T. Suzuki, Y. Taga: Appl. Phys. Lett. 79, 156–158 (2001) J. Kido, M. Kimura, K. Nagai: Science 267, 1332 (1995) J. Kido, H. Shionoya, K. Nagai: Appl. Phys. Lett. 67, 2281 (1995) J. Thompson, R. I. R. Blyth, M. Mazzeo, M. Anni, G. Gigli, R. Cingolani: Appl. Phys. Lett. 79, 560 (2001) A. R. Duggal, J. J. Shiang, C. M. Heller, D. F. Forest: Appl. Phys. Lett. 80, 3470 (2002) N. S. Saricifti: Mater. Today 7, 36 (Sept. 2004) B. O’Regan, M. Grätzel: Nature 353, 737 (1991) A. Hinsch, J. Kroon, R. Kern, I. Uhlendorf, R. Sastrawan, A. Meyer: Proc. 17th Eur. Photov. Solar Energy Conf. 51, WIP–Munich and ETA–Florence (2001) J. Janata: Principles of Chemical Sensors (Plenum, New York 1989) J. W. Gardner: Microsensors (Wiley, Chichester 1994) J. W. Gardner, V. K. Varadan, O. O. Awadelkarim: Microsensors, MEMS and Smart Devices: Technology, Applications and Devices (Wiley, Chichester 2001) P. T. Moseley, A. J. Crocker: Sensor Materials (IOP, Bristol 1996) A. S. Snow, W. R. Barger: Phthalocyanine films in chemical sensors. In: Phthalocyanines: Properties and Applications, ed. by C. C. Leznoff A. B. P. Lever (VCH, Weinheim 1989) p. 342 M. C. Petty, R. Casalini: Eng. Science and Education Journal 10, 99 (2001) S. Baker, G. G. Roberts, M. C. Petty: IEE Proc. 130, 260 (1983)Pt. 1 S. D. Senturia, C. M. Sechen, J. A. Wishneusky: Appl. Phys. Lett. 30, 106 (1977) P. S. Barker, C. Di Bartolomeo, A. P. Monkman, M. C. Petty, R. Pride: Sensors Actuators B 25, 451 (1995) P. S. Barker, M. C. Petty, A. P. Monkman, J. McMurdo, M. J. Cook, R. Pride: Thin Solid Films 284-285, 94 (1996) J. W. Gardner, P. N. Bartlet: Electronic Noses: Principles and Applications (Oxford Univ. Press, Oxford 1999) Semiconductor Industry Association Roadmap (2003): http://public.itrs.net/ J. Greer, A. Korkin, J. Lanbanowski (eds): Nano and Giga Challenges in Microelectronics (Elsevier, Amsterdam 2003) R. Dettmer: IEE Rev. 49, 30 (July 2003) M. C. Petty: Organic thin-film deposition techniques. In: Functional Organic and Polymeric Materials, ed. by T. H. Richardson (Wiley, Chichester 2000) p. 7 A. Ulman: Ultrathin Organic Films (Academic, San Diego 1991) M. C. Petty: Langmuir–Blodgett Films (Cambridge Univ. Press, Cambridge 1996)
Molecular Electronics
53.87 53.88
53.89 53.90 53.91 53.92 53.93 53.94 53.95 53.96 53.97 53.98 53.99 53.100 53.101
53.103 53.104 53.105 53.106 53.107 53.108
53.109 53.110
53.111 I. D. Rees, K. L. Robinson, A. B. Holmes, C. R. Towns, R. O’Dell: MRS Bull. 27, 451 (2002) 53.112 H. Sirringhaus, T. Kawase, R. H. Friend: MRS Bull. 26, 539 (2001) 53.113 K. E. Paul, W. S. Wong, S. E. Ready, R. A. Street: Appl. Phys. Lett. 83, 2070 (2003) 53.114 C. A. Jones, M. C. Petty, G. G. Roberts: IEEE Trans. Ultrasonics Ferroelec. Freq. Control 35, 736 (1988) 53.115 P. J. Skabara: Organic second-order non-linear optical materials. In: Functional Organic and Polymeric Materials, ed. by T. H. Richardson (Wiley, Chichester 2000) p. 295 53.116 A. Aviram, M. A. Ratner: Chem. Phys. Lett. 29, 277 (1974) 53.117 A. S. Martin, J. R. Sambles, G. J. Ashwell: Phys. Rev. Lett. 70, 218 (1993) 53.118 G. J. Ashwell, D. S. Gandolfo: J. Mater. Chem. 11, 246 (2001) 53.119 J. R. Heath, P. J. Kuekes, G. S. Snider, R. S. Williams: Science 280, 1716 (1998) 53.120 B. G. Streetman, S. Banjeree: Solid State Electronic Devices (Prentice Hall, New Jersey 2000) ˜o ´ (ed): Technology Roadmap for Nano53.121 R. Compan electronics (Office for Official Publications of the European Communities, Luxembourg 2001) 53.122 S. Kolliopoulou, P. Dimitrakis, P. Normand, H. – L. Zhang, N. Cant, S. D. Evans, S. Paul, C. Pearson, A. Molloy, M. C. Petty: J. Appl. Phys. 94, 5234 (2003) 53.123 P. E. Burrows, K. J. Donovan, E. G. Wilson: Thin Solid Films 179, 129 (1989) 53.124 H.-W. Fink, C. Schöneberger: Nature 398, 407 (1999) 53.125 R. S. Phadke: Appl. Biochem. Biotechnol. 96, 269 (2001) 53.126 A. Rakitin, P. Aich, C. Papadopoulos, Y. Kobzar, A. S. Vedeneev, J. S. Lee, J. M. Xu: Phys. Rev. Lett. 86, 3670 (2001) 53.127 C. N. R. Rao, A. K. Cheetham: J. Mater. Chem. 11, 2887 (2001) 53.128 H. Tabata, L. T. Cai, J. H. Gu, S. Tanaka, Y. Otsuka, Y. Sacho, M. Taniguchi, T. Kawai: Synth. Met. 133134, 469 (2003) 53.129 L. Adleman: Science 266, 1021 (1994)
1239
Part E 53
53.102
D. R. Talham: Chem. Rev. 104, 5479 (2004) A. J. Moore, L. M. Goldenberg, M. R. Bryce, M. C. Petty, A. P. Monkman, C. Marenco, J. Yarwood, M. J. Joyce, S. N. Port: Adv. Mater. 10, 395 (1998) L. Netzer, J. Sagiv: J. Am. Chem. Soc. 105, 674 (1983) L. Netzer, R. Iscovici, J. Sagiv: Thin Solid Films 99, 235 (1983) G. Decher, J. D. Hong, J. Schmitt: Thin Solid Films 210/211, 831 (1992) G. Decher, Y. Lvov, J. Schmitt: Thin Solid Films 244, 772 (1994) G. Decher, J. B. Schlenoff (eds): Multilayer Thin Films (Wiley-VCH, Weinheim 2003) C. Pearson, J. Nagel, M. C. Petty: J. Phys. D: Appl. Phys. 34, 285 (2001) J. H. Cheung, W. B. Stockton, M. F. Rubner: Macromolecules 30, 2712 (1997) Y. Lvov, M. Onda, K. Ariga, T. Kunitake: J. Biomater. Sci. Polym. Edn. 9, 345 (1998) W. B. Stockton, M. F. Rubner: Macromolecules 30, 2717 (1997) S. A. Evanson, J. P. S. Badyal, C. Pearson, M. C. Petty: J. Phys. Chem. 100, 11672 (1996) S. Brittain, K. Paul, X.-M. Zhao, G. Whitesides: Phys. World 11, 31 (1998) R. D. Piner, J. Zhu, F. Xu, S. Hong, C. A. Mirkin: Science 283, 661 (1999) L. F. Chi, L. M. Eng, K. Graf, H. Fuchs: Langmuir 8, 2255 (1992) A. Krämer, R. R. Fuierer, C. B. Gorman: Chem. Rev. 103, 4367 (2003) J. Gimzewski: Phys. World 11, 25 (1998) S. Hong, J. Zhu, C. A. Mirkin: Science 286, 523 (1999) S. Hong, C. A. Mirkin: Science 288, 1808 (2000) X. G. Liu, L. Fu, S. H. Hong, V. P. Dravid, C. A. Mirkin: Adv. Mater. 14, 231 (2002) K. B. Lee, S. J. Park, C. A. Mirkin, J. C. Smith, M. Mrksich: Science 295, 1702 (2002) S. P. Speakman, G. G. Rozenberg, K. J. Clay, W. I. Milne, A. Ille, I. A. Gardner, E. Bresler, J. H. G. Steinke: Organic Electron. 2, 65 (2001) G. PerHin, B. T. Khuri-Yakub: Rev. Sci. Instrum. 73, 2193 (2002) H. Sirringhaus, T. Shimoda (eds): MRS Bull. 28, 802 (2003)
References
1241
54. Organic Materials for Chemical Sensing
Organic Mater Organic materials for chemical sensing are broadly classified into three categories: (i) macrocyclic compounds, (ii) conducting polymers and (iii) cavitand molecules. A short review of current progress in semiconductor oxide sensing materials is given, pointing out their strengths and limitations. Principal wet techniques for depositing organic thin films are described and electrical, optical and structural properties of all three types of organic materials are analysed in relation to their importance in chemical sensing. Examples of recent advances in chemical sensing of different analytes and pollutants are presented.
54.3.1 Preparation of Sensing Membranes............... 1245 54.3.2 Thin-Film Properties.................. 1248 54.4 Sensing with Phthalocyanine and Porphyrin ..................................... 1250 54.4.1 Amperometric Sensor................. 1250 54.4.2 Optical Sensors ......................... 1251 54.4.3 Detection of Volatile Organic Vapour Compounds ................... 1254 54.5 Polymeric Materials ............................. 1255 54.5.1 Conducting Polymers ................. 1255 54.5.2 Ion Sensing .............................. 1257 54.5.3 Examples of Other Polymeric Sensors ......... 1257 54.6 Cavitand Molecules .............................. 1259
54.2 Brief Review of Inorganic Materials ....... 1243
54.7 Concluding Remarks ............................ 1261
54.3 Macrocylic Compounds for Sensing ........ 1245
References .................................................. 1262
The development of a new generation of sensors involves the study of fine-tuned sensor-active materials and transducers [54.1, 2]. Metal oxides, polymer/polymer composites, and dyes are being regarded as key sensing materials. This chapter is organised into eight sections. The next section presents a summary of the needs for chemical sensing of different pollutants. The second section provides a brief description of different semiconducting oxide materials and their applications in sensor technology. Organised ultrathin organic films of molecular and polymeric semiconductors are currently the focus of considerable research with an emphasis on a molecular understanding of the sensing mechanisms. The low cost of fabrication, large-area processability, and widely diverse and tailorable physical, electronic, and optical properties have stimulated interest in their practical exploitation. Phthalocyanine and the related macrocylic compounds have been extensively investigated for chemical sensing primarily because the desired functionality of phthalocyanine molecules can be achieved by changing the central metal atom or introducing substituents in their π-electron aromatic ring [54.3]. The third section is devoted to thin-film formulation of these
interesting compounds and their structural, electrical and optical properties relevant to sensing different types of pollutants. Important transduction methods and their applications in the development of practical sensors are presented in the fourth section, with an emphasis on the interaction at the interface of analyte/film of macrocylic compounds. The ability of conducting polymers to change their physical properties during reaction with various redox agents makes them very useful for the development of gas sensors. The most common are polyaniline (PAni) and polypyrrole (PPY). It has been shown that the resistivity of polypyrrole increases in the presence of a reducing gas such as ammonia, but decreases in the presence of an oxidising gas such as nitrogen dioxide. The gases cause a change in the near-surface charge-carrier density by reacting with surface-adsorbed oxygen ions [54.4]. Sensing properties of polymers and their importance in the development of intelligent sensors are described in Sect. 54.5. A class of supramolecules, called cavitands, that exhibit discotic phases depending on the structure, are shown to have potential for sensing applications [54.5]. Section 54.6 deals with the applications of thin films of these
Part E 54
54.1 Analyte Requirements .......................... 1242
1242
Part E
Novel Materials and Selected Applications
molecules such as calixresorcinarene for recognition of organic vapours. The chapter concludes by highlighting
the trends of future development of sensor materials and technology in Sect. 54.7.
54.1 Analyte Requirements
Part E 54.1
The detection of pollutant gases and volatile organic compounds (VOC) whether in gaseous phase or dissolved in water is of great environmental importance due to the extreme hazards posed by their presence in small amounts in the ambient. Nitrogen dioxide (NO2 ), ozone (O3 ), sulphur dioxide (SO2 ), particulate matter (PM), carbon monoxide (CO), and lead (Pb) are six principal pollutants of major concern for maintaining the quality of air in urban areas (Table 54.1). Some of these pollutants, like CO, Pb, NO2 , PM and SO2 , are emitted directly from a variety of sources including industrial production growth and increased traffic congestion. Ozone is generally formed when emissions of NO2 , SO2 , ammonia (NH3 ), VOCs, and other gases react in the atmosphere. The presence of ozone in high concentration is also possible in offices where laser printers and photocopiers are heavily used. On the other hand, water is commonly treated with O3 to destroy microbial contaminants such as Escherichia coli and salmonella [54.6, 7] and there is a need for accurate calibration of ozone content. Due to its ability in absorbing ultraviolet (UV) radiation from sunlight, stratospheric ozone filters out damaging ultraviolet radiation from the sun. Monitoring of ozone in the stratosphere has thus become a global issue as it forms a protective shield for all forms of life on Earth. Stringent domestic and international standard for emission control requires the monitoring of threshold
limit value of these pollutants in order to protect primarily against adverse public health hazards. For example VOCs and nitric oxide (NOx ) resulting from combustion processes cause respiratory problems. Benzene itself is regarded as a human carcinogen and vehicle exhaust is primarily composed of these toxic gases. Spillage in fuel stations also contribute to the emission of excessive amounts of aromatic hydrocarbons in the atmosphere. VOCs also bind with ground-level ozone and form a photochemical smog, causing breathing difficulty for asthma sufferers. The secondary requirement of pollution monitoring is to reduce disastrous effects on the preservation of ecosystems, plants and animals. Safeguard is also to be taken against the decreased visibility due to the presence of PM and damage to crops, vegetation, and buildings due to atmospheric pollution. The SO2 content is known to attack the indoors and outdoors of buildings, and in particular the surface of historical glasses. A wide variety of preventive conservation tools and routines have been sought to protect historical and cultural objects against deterioration and degradation [54.8, 9]. The activities of chemical sensing are not solely limited to environmental control. Hydrogen (H2 ) fuel cells are clean, quiet, more efficient generators of electricity than any other known technology. Hydrogen is also an important raw material for the aerospace, chemical and semiconductor industries. As hydrogen is explosive above the lower explosive limit (LEL), H2 sensors have
Table 54.1 Air quality standards for the UK, USA and World Health Organisation (WHO) Pollutant
UK Concentration Standard measured
WHO Concentration Standard measured
USA Concentration Standard measured
Benzene 1,3-butadiene Carbon monoxide (CO) Lead (Pb)
5 ppb 1 ppb 8.6 ppm 0.25 µg/m3
Annual mean Annual mean 8 h mean Annual mean
Data not available Data not available 8.6 ppm 8 h mean 0.5 µg/m3 Annual mean
9 ppm 1.5 µg/m3
Nitrogen dioxide (NO2 ) Ozone (O3 ) Particles (PM10 ) Sulphur dioxide (SO2 )
21 ppb 50 ppb 50 µg/m3 100 ppb
Annual mean 8 h mean 24 h mean 15 min mean
105 ppb 60 ppb 70 µg/m3 188 ppb
0.05 ppm 0.08 ppm 50 µg/m3 0.14 ppm
1 h mean 8 h mean 24 h mean 10 min mean
8 h mean Quarterly mean Annual mean 8 h mean Annual mean 24 h mean
Organic Materials for Chemical Sensing
now become important safety devices in all these applications. The oxygen sensor which detects the air–fuel mixture of a gasoline engine by measuring the amount of oxygen in the exhaust gas is an essential component of the car engine management system. The development of reliable oxygen sensors for medical application has received considerable research attention in recent years [54.10–12]. Drinking, industrial and swimmingpool water must be disinfected with suitable oxidising agents such as chlorine or chlorine compounds. The dosing of the appropriate oxidising agents must be carefully controlled to suit the application as a higher concentration can result in corrosion effects, impairment of taste or skin irritation. The ability of detection of chlorine in sub-ppm level is therefore essential [54.13]. Ammonia is increasingly used as a refrigerant alternative to ozon-depleting chlorofluorocarbon (CFC). Large quantities of ammonia can also be found in fertiliser factories, resin production plants using urea, explosives munitions plants, semiconductor industries and water utility facilities. The presence of ammonia can cause inhalation problem at at a very low concentration (0.6–53 ppm). Airbone ammonia gas dissolves in moisture on the skin, forming corrosive ammonium hydroxide. The exposure of ammonia at 10 000 ppm is mildly irritating to moist skin but the effects become more pronounced with the increasing concentration, producing chemical burns with blistering at 30 000 ppm. Its solubility in water can cause it to cauterise respiratory tracts, resulting in deaths at concentrations of 5000 ppm. Research into the development of reliable ammonia sensors with
54.2 Brief Review of Inorganic Materials
a fast response to a wide range of concentrations is intensive [54.14–16]. It is obvious from the brief survey above that growing demand exists for the fabrication of low-cost, low-power, robust and portable chemical sensors for a variety of pollutants, contaminants and analytes, showing improved sensitivity and selectivity for industrial, healthcare and environmental control. Analytical chemical tools provide advanced and reliable methods for detection of different analytes but those methods are in many cases prohibitively expensive, unsuited for large-scale field measurements or mobile monitoring. For instance, there is currently a good market for detection systems for ozone at low concentration (ppb level) to replace more expensive techniques such as UV absorption measurements [54.17]. Existing devices for the detection of ozone are now mostly based on electrochemical measurements [54.18], or on solid-state semiconductor devices [54.19]. Similarly, the detection of organic vapours involves extracting air samples and subsequently analysing them using standard laboratory techniques such as gas chromatography [54.20], mass spectroscopy and Fourier-transform infrared (FTIR) spectroscopy [54.21, 22]. The major disadvantages associated with these techniques are their complexity, the capital cost of the instruments used and the requirement for trained human resources for operation and the interpretation of data. Apart from environmental applications, the development of detection instrumentation of these species is also important for both human health monitoring [54.23, 24] and for odour detection [54.25].
and T is the absolute temperature [54.27]. The sensitivity of the sensor is generally defined as the ratio of the change in the conductance after the treatment to the conductance of the sensor in air and can simply written as ∆nL D /n 0 , where ∆n is the change in carrier concentration due to the analyte exposure. It is therefore possible to optimise the sensitivity by reducing grain size, lowering the carrier concentration and increasing the Debye length. The maximum sensitivity is assumed to have been achieved when the Debye length is about half the particle size. Figure 54.1 shows a photograph of a comb-like interdigitated electrode (IDE) system used for most conductivity measurements. The original idea was developed by Taguchi [54.28] and the sample resistance in this configuration is smaller than that obtained from
Part E 54.2
54.2 Brief Review of Inorganic Materials Solid-state semiconductor gas sensors are usually made of tin oxide (SnO2 ) in the form of thick films, porous pellets or thin-film coatings to monitor the presence of various gases [54.26]. These sensors are being used in the intelligent automatic control of a large number of processes, ranging from microwave cooking to the efficient combustion of motor engines. SnO2 sensors operate at high temperatures of 300–400 ◦ C. Gases are adsorbed onto the surface in the presence of air and the semiconductivity of the metal oxide changes due to the formation of a surface depletion layer. The thickness of this layer √ can be expressed in terms of the Debye length L D = ε0 εkB T/(q 2 n 0 ), where ε0 is the permittivity of free space, ε is the dielectric constant of the semiconducting material, n 0 is the total carrier concentration, q is the electronic charge, kB is the Boltzmann constant,
1243
Organic Materials for Chemical Sensing
Spin-Coating Method The spin-coating method, designed for defining patterns on silicon wafers in integrated circuit (IC) technology, has now been adapted for deposition of thin organic films on suitable substrates [54.51]. Substrates are vacuum held onto the rotating chuck of a photoresist spinner. The spread solution was prepared in the same way as done for the LB deposition and a small volume of the solution is dispensed onto the rotating substrate surface from a microsyringe held at a distance of 5 mm above the spinner platform. A spinning time of 30 s for a solution concentration of 0.5–5.0 mg/ml is found to be sufficient in order to produce a uniform, homogeneous film. The rotation speed is normally varied between 1000–6000 rpm and the speed of spin and the concentration of solution
1247
largely determine the thickness of the resulting films. The dependence of the film thickness d on spin speed ω and time t may be written in terms of an empirical expression [54.52]: C d = √ ω−x , t
(54.1)
where C depends upon the evaporation rate of the solvent, and the viscosity and density of the solution. The index x is predominantly determined by the evaporation rate of the solvent. If the evaporation of the solvent is independent of the spin speed, x takes on a value of 2/3. √ For most solvents used, the evaporation varies with ω and x becomes 1/2. A value of x = 1 is a valid approximation for slow evaporation [54.53, 54]. Spin coating is a simpler and more cost-effective method than LB deposition and also there is no requirement for the molecules to be amphiphilic. The LB technique, however, provides more precise control over the film thickness [54.55]. Both spun and LB thin films of an octa-alkyl-substituted phthalocyanine molecules displayed similar characteristic features in their optical absorption spectra. The appearance of Davydov splitting and the XRD pattern indicated the same crystallinity of the films [54.56]. Different physical structure and molecular packing may result from the use of different wet techniques. For instance, asymmetrically substituted OC molecules (Fig. 54.5) were deposited by LB and spin-coating techniques. XRD patterns and UV–VIS spectra of these films indicated some degree of anisotropic ordering within the LB films compared to spun films [54.57]. The spin-coated films were, however, exceptionally smooth, even and free from crystallites. A noncompact spin-coated film of phthalocyanine molecules bearing one crown on ether ring exhibited faster response and reversal time than the well-ordered and tightly packed LB films [54.58]. Self-Assembled Monolayers (SAMs) Self-assembled monolayers (SAMs) have attracted considerable interest because of their simplicity of preparation, stability and versatility. The introduction of due functional groups into SAMs allows changes of state and property on surface or interface. These attributes make SAM films attractive for the sensor technology [54.59, 60]. SAM films are formed via reaction of a functional group within a molecule with the surface of a solid substrate. The methoxy or trichlorosilylalkyl derivatives of molecules form weak bonds with hydroxyl groups and are suitable for the formation of a SAM on an oxide surface such as indium tin-oxide
Part E 54.3
(Milli-Q water of resistivity of 0.18 MΩ m) contained in an LB trough made of a hydrophobic material (teflon). The solvent is allowed to evaporate fully and the molecules are then slowly compressed to the desired organisation by closing a servo-controlled teflon barrier straddling the air/water interface at a constant speed (say about 100 mm/min). As shown in Fig. 54.6, the phase changes of the molecules during the compression are monitored by recording the surface pressure–area isotherm. The pressure begins to rise rapidly as the solid phase is reached while the area per molecule remains approximately constant. The molecules are transferred from the water/air interface to the substrate by dipping the substrate in at a constant speed of about 10 mm/min when the surface pressure is in the range 20–40 mNm−1 . However a dipping rate as high as 1000 mm/min was used to produce LB films of 5,10,15,20-tetrakis(3,4-bis[2ethylhexyloxyphenyl])-21H,23H-porphine (EHO) molecules. Atomic force microscopy (AFM) showed a morphology of these films which is highly conducive to the host–guest interaction. The presence of isolated micron-size domains, which were themselves composed of grains of several nm in diameter, was observed [54.49]. The molecular orientation in LB films of these novel substituted Pc derivatives was investigated by spectroscopic methods, including electron spin resonance, reflection adsorption infrared spectroscopy (RAIRS), polarised infrared (IR) spectroscopy and AFM. Amphiphilic mesogenic Pcs afforded the best films. These all contained a good degree of molecular order; furthermore, the type of molecular stacking in the films was found to depend upon the length of the side chains and the central metal [54.50].
54.3 Macrocylic Compounds for Sensing
1248
Part E
Novel Materials and Selected Applications
Part E 54.3
(ITO) and glass (SiO2 ) [54.61]. A monolayer layer can also be self-assembled on gold or silver substrates if the molecules are attached with thio or disulphides groups at one end [54.62]. In supramolecular aggregation during the self-assembly process, the interactions between the adsorbate molecules are as important as those between adsorbates and the surface. The size and aggregation pattern for the formation of supramolecular structures (J-aggregates) are controlled by changing the number of polar sulfonic groups of meso-tetra (4-sulfonatophenyl) porphine [54.63]. Much fundamental work on SAM films was initially undertaken with simple functionalised alkane derivatives [54.64]. In the case of alkylthiols on gold, it is well established that the monolayer films were densely packed with a high degree of order, with chains typically oriented at about 65◦ to the substrate surface. In recent years, work has been undertaken to include a single tether chain with thiol or disulphide derivatives for SAM formation on gold-coated glass substrates. The molecular orientation with respect to the gold surface was found to depend upon the different alkyl-connecting chain lengths and different macrocyclic peripheral side chains [54.65]. The core of substituted Pc molecules bearing mercaptoalkyl groups is not parallel to the surface if the tether chain is increasingly long. For sufficiently long tethers, the core becomes oriented almost normal to the surface [54.66]. SAM films of thiolderivatised cobalt phthalocyanine complexes on gold electrodes was susceptible to destruction via oxidative and reductive desorption and their potential applications as a sensor were therefore limited [54.67]. Self-assembled ultrathin films have recently received considerable interest because they allow fabrication of supramolecular assemblies with tailored architecture and properties [54.68]. Multilayered films can also be built up by sequential deposition of polycations and polyanions based upon electrostatic attraction [54.69– 71]. Alternate deposition of planar functional molecules meso-tetra (4-sulfonyl) porphyrin (TPPS4 ) or copper phthalocyaninetetrasulfonic acid, tetrasodium salt (CuTsPc) and cationic bis (pyridinium) salt was made to produce a self-assembled multilayered film [54.72]. Multilayer assemblies of porphyrin and phthalocyanine were also prepared by alternating deposition of oppositely charged rigid planar molecules of sodium (phthalocyanine tetra-sulfonate)cobalt Na4 [(CoTsPc)] and tetrakis(N,N,N-trimethyl-4-anilinium) porphyrin cobalt [(CoTAP)]Br4 [54.73]. A further investigation of the C-11 Pc derivative SAM has shown that the monolayer film was stable, exhibiting no oxidation and
only minor orientation changes on the gold surface over a period of 24 months as determined by infrared and fluorescence spectroscopy. The long active life of the Pc SAM was thought to be due to the macrocyclic ring possibly preventing oxidation of the thiolate root [54.74].
54.3.2 Thin-Film Properties Surface/interface composition at the nanometre scale is believed to affect the organisation and chemical/physical properties of organic thin films. This long-range organisation determines both the optical and electrical properties of thin-film materials, leading to unique, new chemical sensor platforms. The overlap of π-electron wave functions from Pc molecule to Pc molecule is likely to occur between adjacent stacks in a thin-film form, giving rise to the broadening of energy levels [54.75]. Phthalocyanine Films Phthalocyanines can exist in different polymorphic forms: α and β are among the most common. Both forms possess the herringbone structure for the stacking arrangement. The angle between the stacking axis and the normal to the molecular plane depends upon the method of preparation, types of phthalocyanine (mettalo or metal-free) and substituents. For example, this angle is generally 25◦ and 45◦ for the α and β phases, respectively [54.76]. A typical absorption spectrum in the UV–visible range is shown in Fig. 54.3 for a substituted metal-free phthalocyanine (HPc) deposited on a glass substrate (similar to one shown in Fig. 54.2). The Q absorption band of the LB film was broader than one for the chloroform solution and appeared at the wavelengths between 650–800 nm. The Davydov splitting became apparent when compared with the spectra for the molecules in chloroform. The film forming properties and the stack order were both found to be influenced by the chain length of the ring substituents [54.77, 78]. An analysis into the in-plane molecular arrangements in the LB films of Pc compounds with short and long alkyl chains was performed by decomposing the absorption spectra into Gaussian–Lorentzian components. Long-chain molecules were found to be organised in a herringbone structure leading to the Davydov splitting of the Q-band. For short-chain molecules, the largely broadened blue component of the Davydov doublets was suppressed possibly due to the domination of an anisotropic stack-like molecular arrangement in LB films [54.79]. Characteristic Q-bands observed at about 630 nm in the UV–VIS spectra of the self-assembling monolayers (SAMs) of 2,9,16-Tri(tert-butyl)-23-(10-
Organic Materials for Chemical Sensing
1249
two macrocycles. One of these phthalocyanine rings is virtually planar while the other is significantly distorted [54.85]. The Q-band in the absorption spectra of spun films of substituted lutetium bisphthalocyanine molecules becomes broader and red-shifted compared to the molecules in chloroform solution. Heat treatment of the film at temperature above 120 ◦ C introduced molecular ordering, possibly due to the edge-to-edge interaction between neighbouring Pc moieties [54.86]. All these factors have led to successful formulation of phthalocyanines as chemically sensitive membranes for environmental monitoring [54.87–91]. Porphyrin Films Inherent stability, unique optical properties and synthetic versatility of porphyrins and metalloporphyrins are exploited in sensor applications. Synthetic porphyrins and metalloporphyrins incorporated into polymers, glasses and Langmuir–Blodgett films matrices are used for detection of NO, CO2 and O2 because of the ability of heme to bind these gases. Metalloporphyrin sensing arrays have been used for the detection of organic odourants, such as amines, thiols and phosphines [54.92]. Porphyrins also combine readily with metals, coordinating with them in the central cavity. Many gas sensors take advantage of analyte binding to the centre metal atom in the porphyrin ring and a detectable optical change occurs as a result. In particular sensing properties of iron, zinc, copper, nickel, and cobalt containing porphyrins have now been studied [54.93–96]. The porphyrin rings of a thiol-derivatised cobalt (II) 5,10,15,20-tetrakis (4tert-butylphenyl)-porphyrin (CoTBPP) molecules were immobilised on the surface of a 4-aminothiophenol (4ATP) self-assembled monolayer (SAM) on gold (111) by in situ axial legation. The reconstruction of the herringbone structure took place probably due to adsorption/desorption processes of molecules [54.97]. Substituents have considerable effects on the structure of porphyrin monolayers; for example the interaction between the porphyrins deposited on the ITO substrate without bulky tert-butyl groups was much stronger than that of the porphyrins with bulky tert-butyl groups [54.98]. Hybrid molecule-silicon capacitors were formed by attaching [5-(4-dihydroxyphosphorylphenyl)-10,15,20trimesitylporphinatozinc (II)] porphyrin complexes to silicon oxide via a phosphonate linkage and the presence of multiple distinct peaks in electrical characteristics were associated with oxidation and reduction of the molecular monolayer [54.99]. Multilayered thin films of
Part E 54.3
mercaptodecyloxy)phthalocyanine and its disulfide on gold substrates were broadened and blue-shifted relative to those observed in solution [54.80]. The formation of high-quality Langmuir–Blodgett films of copper and nickel phthalocyanine derivatives substituted with short branched chains is reported. Ellipsometric and polarised optical absorption measurements suggest that the phthalocyanine molecules have a preferred orientation, with their large faces perpendicular to the dipping direction and to the substrate plane [54.81]. Electrical properties of Pc molecules are very dependent upon the doping and the nature of the substituents in the macrocyclic. Copper(II) tetrasubstituted phthalocyanine complexes, CuPcX (where X = −NO2 , −NH2 , −SO3 H, and −OH) exhibited an improvement of conductivity by five orders of magnitude over its unsubstituted counterpart. Further enhancement in conductivity was achieved by iodine doping. This increase in conductivity was attributed to the possible decrease in the metal–metal bond distance [54.82]. The effect of oxygen on charge transport was investigated by measuring the time-dependence behaviour of the film conductivity of zinc Pc (ZnPc) layers at a stable pressure of about 0.1 mbar of air and it was found that the conductivity gradually decreased by an order of magnitude with time. The conductivity reached saturation within several minutes for the film thickness smaller than 500 nm whereas similar electrical degradation in a 7-µm-thick film required more than half an hour. This thicknessdependent decrease in conductivity was caused by the velocity of the oxygen out-diffusion processes in the films [54.83]. The effect of doping on conductivity of a thermally deposited composite film of α-nickel phthalocyanine (NiPc) and the strong electron acceptor tetracyanoquinodimethane (TCNQ) was investigated by exposure to air. The doping took place at a much faster rate in the composite than in NiPc films. Values of 1.3 eV and 1.9 eV for the activation energy were obtained for devices incorporating TCNQ and the non-TCNQ devices, respectively, and the conductivity of the composite film was therefore found to be 20 times larger than the NiPc film [54.84]. Due to the excellent ability to photogenerate free charge carriers, the conductivity of the metal phthalocyanine (MPc) film is increased considerably as it can be seen from Fig. 54.4 showing the dark and illuminated current–voltage characteristics of a 10-nm-thick spun nickel phthalocyanine (NiPc) sandwiched between two metal electrodes. Phthalocyanines of rare-earth metals primarily occur in the form of bisphthalocyanines with a sandwich-type structure and the cation is eightfold coordinated to the
54.3 Macrocylic Compounds for Sensing
1254
Part E
Novel Materials and Selected Applications
gas. The NO2 gas was also able to reach the Ag/Pc interface film and the reaction with the metal film resulted in the growth of a surface layer. This irreversible effect was found to be predominant during the recovery cycle and considerably reduced by the presence of a buffer LB layer of ω-tricosenoic (ω-TA) acid [54.133]. SPR studies showed that exposure of thin films of 18crown-6 metal-free phthalocyanine molecules to NO2 decreased the film absorbance. The optical permittivity of the NO2 -treated Pc over-layer was regarded as being an average of the optical permittivities of both components since the optical fields associated with surface plasmon polaritons sampled both the Pc and the NO2 molecules. The disruption in the charge conjugation of the phthalocyanine molecules was observed because of the generation of organic radical cations as end products of the charge transfer during the physisorption process [54.134]. Using the excitation at wavelengths of 488 and 632.8 nm, SPR measurements were made on LB films of porphyrine molecules of EHO type deposited on an Ag-coated glass substrate. The resonance shift observed was larger at 488 nm than at 632.8 nm when the EHO films were exposed to NO2 gas. The thickness and the complex dielectric constants at 632.8 nm were attributed to the island structure of the EHO LB films but the derived properties at 488 nm were believed to be caused by dispersion due to optical absorption [54.135]. Similar results were further obtained from UV–VIS absorption in these films [54.49].
Part E 54.4
54.4.3 Detection of Volatile Organic Vapour Compounds Phthalocyanine molecules have also been extensively investigated for the recognition of volatile organic compounds (VOC). Langmuir–Blodgett (LB) and evaporated films of lutetium bisphthalocyanine (LuPc2 ) molecules were deposited on indium tin-oxide (ITO) interdigitated electrodes in order to study the changes in their conductivity at room temperature due to the presence of a variety of organic vapours with different chemical functionalities. The results demonstrated the viability of the phthalocyanine thin films as the active species for systems specifically designed for the monitoring of aromatic components in food. The majority of the work on vapour sensing has, however, been based upon quartz crystal microbalance (QCM) transduction techniques. The frequency shift ∆ f from the nominal resonance frequency f 0 of the crystal due to the change in the mass ∆m due to the adsorption of the vapours
is [54.136]: ∆m(mg/cm2 ) =
∆ f (Hz) . 2.26 × 10−6 f 02
(54.3)
It was demonstrated that monomeric soluble transition-metal phthalocyanines R4 PcM (R=tert-butyl or 2,2-dimethyl-3-phenyl-propoxy) used as sensitive coatings for quartz microbalance transducers show reversible interaction and high sensitivity for organic solvents with high boiling point [54.137]. Quartz microbalance devices coated with LB films of copper(II) tetra-(tert-butyl)-5,10,15,20-tetraazaporphyrin exhibit good sensing response to the vapour of benzene and toluene at room temperature [54.138]. Soluble tetrakishexyl- and dodecylthiophthalocyaninato nickel(II) and the corresponding Pd(II) and Ag(I) complexes were investigated as sensitive materials for the detection of organic solvent vapours using quartz microbalance and interdigitated capacitance transducers. Sensor responses were found to be reversible at room temperature with response times on the order of several seconds depending on the partition coefficients of the organic solvents in the phthalocyanine film [54.139]. LB films of lanthanide diphthalocyanines such as praseodymium, ytterbium as well as octa-tert-butyl praseodymium diphthalocyanines were known to display spectroscopic changes when exposed to tobacco smoke [54.140]. The sensitivity and partition coefficient for ethanol, dichloromethane, acetone, and n-hexane were found to be larger for the QCM sensor using thermally annealed ordered membranes of octa(13,17-dioxanonacosane-15sulfanyl)-substituted mesomorphic nickel(II) phthalocyanine molecules than as-coated untreated films. It was found from Raman spectroscopy that molecules with saturated C−C bonds such as ethanol interact with phthalocyanine films predominantly by the formation of hydrogen bonds and the sensor response to π-bondcontaining compounds such as acetone is the result of their π–π interaction with the conjugated phthalocyanine ring [54.141]. Changes in refractive index of lutetium bisphthalocyanine (LuPc2 ) in the presence of ethanol, hexanal, n-butyl acetate and acetic acid were successfully exploited to produce an optical-fibre sensor operating at the wavelength of 1310 nm, capable of monitoring changes up to 10 dB in the reflected optical power for the detection of an 88-mmol/l concentration of acetic acid [54.142]. UV–VIS spectra of spin-coated layers of 29H,31H-(2,4-di-t-amylphenoxy)phthalocyanine, Zn(II) tetra-4-(2,4-di-t-amylphenoxy)phthalocyanine and Zn(II) tris-(2,4-di-t-amylphenoxy)-[4-(4-mercapto
Organic Materials for Chemical Sensing
-phenylimino-methyl)-phenoxy] phthalocyanine were found to be sensitive to tert-butylamine, diethylamine, dibutylamine, 2-butanone and acetic acid. The selectivity was determined by both the metal and the peripheral substituents [54.143]. The synthesis of specially designed bispthalocyanine derivatives for VOC detection has been reported and their UV spectra are selectively sensitive to vapours depending on both the metal and the peripheral substituents. This observation has led to the fabrication of an electronic optical nose using an array of as-manufactured sensors for the analysis of some volatile organic compounds (VOC) that are of interest in food analysis [54.144]. The electrical conductivity of sol–gel-derived spun hybrid cobalt porphyrin-SnO2 thin films showed fast and reversible response to methanol vapours; the highest responses were observed at 250 ◦ C. The porphyrin was thermally stable up to 300 ◦ C within the SnO2 ma-
54.5 Polymeric Materials
1255
trix and enhanced the methanol detection sensitivity at lower working temperatures. The incorporation of porphyrin into SnO2 film had no effect on the detection of CO [54.145]. Hybrid thin films were produced using porphyrin and phthalocyanine and the response of absorption bands of the blend systems to the presence of VOCs was different from that obtained with a single compound [54.146]. Toluene vapour sensing has been successfully demonstrated using LB films prepared from copper tetrakis-(3,3-dimethyl-l-butoxycarbonyl) phthalocyanine (CuPcBC), copper tetrakis-(3,3-dimethyl- 1neopentoxycarbonyl) phthalocyanine (CuPcNC) and nickel tetrakis-(3,3-dimethyl-1-butoxycarbonyl) phthalocyanine molecules. Exposure to toluene resulted in a partially reversible shift in the resonance depth and position of the SPR curves and toluene could be detected down to at least 50 ppm [54.147].
54.5 Polymeric Materials A polymer comprises of repeating molecules with the same chemical structure. The electrical conductivities of the intrinsically conducting polymer systems now range from 10−10 –10−5 S/cm. The common electronic feature of pristine conducting polymers is the π-conjugated system, formed by the overlap of carbon pz orbitals and alternating carbon–carbon bond lengths. Doping of the polymers can increase the conductivity to as high as 10+4 S/cm, a value comparable to that of a metal.
a) Poly( p-phenylene)
b) Poly(p-phenylene vinylene)
Part E 54.5
c) Trans-polyacetylene
54.5.1 Conducting Polymers Figure 54.12 shows the chemical structure of a few commonly used conducting polymers such as polyaniline, polypyrrole, and polythiophene for chemical sensors. In addition to the deposition techniques described earlier, conducting sensing filaments were produced using the scribbling and platinum-wire techniques [54.148]. Sensing membranes were also formulated by laser-induced chemical vapour polymerisation [54.149]. LB films of stearic acid, a polyaniline oligomer (16-mer) and polypyrrole were deposited on the IDEs. Composite films of polyaniline and polypyrrole mixed with stearic acid in equal ratio by weight were also deposited on similar electrodes. Different brands of minFig. 54.12 Chemical structures of typical conducting poly-
mers for sensors
d) Polythiophene S S
S S
S
H N
H N
e) Polypyrrole H N N H
N H
f) Polyaniline H N
N N
N H
Organic Materials for Chemical Sensing
54.5.2 Ion Sensing Water purification requires calibration of metal-ion contents. Polymeric ion-selective electrodes (ISEs) for Pb2+ incorporating N,N -bis(salicylidene)-2,6pyridinediamine with 2-nitrophenyl octyl ether and 50 mol % liphophilic additive were reported to have rapid response and excellent selectivity towards lead
ions over other interfering metal ions [54.161]. N,N -bis(5-methyl salicylidene)-p-diphenylene methane diamine formed a complex with Pb2+ and its selectivity was high, possibly due to the fact that the distance between the two nitrogen atoms and the position of the two hydroxyl group is matched with the size of Pb2+ ions. ISEs based upon a dispersion of this Schiff base compound into the polyvinylchloride (PVC) membrane were characterised by a fast response time, a wide linear dynamic range and a fair selectivity coefficient with a slope of 29.4 mV per decade. The sensor had a low detection limit and an active life of three months without displaying considerable divergence in potentials [54.162]. A cleaned gold microelectrode was soaked in the freshly prepared solution of o-amino thiophenol (o-AT) and the metal nitrate of Cu, Hg and Pb in N, N-dimethylformamide (DMF) for 4 h at room temperature. Simultaneous interaction took place between the thiophenol group of o-AT and the gold surface and its thiophenol and amino groups and M2+ . The ratio of 4 : 1 was found to be appropriate for o-AT:M2+ for the self-assembly of the o-AT on the gold surface and the formation of recognition cavities. The prepared ISEs showed specific selectivity to the template metal ions in mixed solutions containing the three heavy-metal ions. The limits of detection for ISEs were found to be 1.46 × 10−8 M, 3.73 × 10−8 M and 4.34 × 10−8 M for Hg++ , Cu++ and Pb++ ions, respectively [54.163]. Membranes containing five-layer LB films of tetracarboxylic perylene derivative and polypyrrole molecules were able to detect trace levels of Cu2+ ions in water [54.164]. The thiol-Cu-SAM particles were doped by the adsorption of thioxylenol or decanethiol into the porous polypyrrole film surface, resulting in an improvement in the sensitivity of the film to NH3 [54.165]. Water-soluble regioregular polythiophenes containing acid C side chains were found to be suitable for the development of new Zn2+ , Mn2+ , and Cd2+ sensors [54.166].
54.5.3 Examples of Other Polymeric Sensors A coordination polymer poly(CuMBSH) was formed via reaction of the bifunctional amphiphilic ligand, 5,5 -methylenebis (N-hexadecylsalicylideneamine) and copper ions at the water subphase. The response of LB films of poly(CuMBSH) to exposure of benzene, toluene, ethanol and water was monitored by SPR measurements. The film became swollen, possibly due to the diffusion of solvent vapour into the polymer film. Poly(CuMBSH) is regarded as a relatively nonpolar ma-
1257
Part E 54.5
Certain specially prepared polyaniline films showed partially reversible absorbance changes in the wavelength range 620–728 nm when exposed to 50–100 ppm of ozone at room temperature. The maximum sensitivity was attained at 620 nm. The cost-effective commercial exploitation of this effect was possible since the wavelength range was compatible with implementation on low-cost plastic optical fibres and small light sources [54.157]. Efforts have been invested in the use of information technology techniques to produce smart sensors. The sensor system, consisting of an acoustic two-port resonator operating at 433.92 and 380.8 MHz was configured as a frequency oscillator, including an integrated electronic module. The polymeric membranes were tested at room temperature for response to NO2 , NO, NH3 , CO, CH4 , SO2 , and H2 S in N2 . Responses to relative humidity (RH), and organic vapours (ethanol, acetone, and ethyl acetate) were also monitored. The sensitivity was found to be high. Principal component analysis (PCA) was performed to distinguish between different vapours of low concentrations [54.158]. Fluorescence emission from polymer-immobilised dye molecules on the multi-fibre tips was studied on exposure to organic vapours. Temporal responses were found to depend upon chemical nature (for example, polarity, shape and size) of both the vapour and the polymer; these were used as input signals to train a neural network for vapour recognition. The system was able to identify individual vapours at different concentrations accurately [54.159]. Similar work was reported using the solvatochromic dye, Nile red. The dye was immobilised within various polymers. The substrate played a role in determining the sensitivity of the sensor. The sensitivity attained with microstructure glass (MSG) substrates was seven times greater than that with SU-8 photoresistcoated glass substrate and a 50% faster recovery was also achieved with the MSG substrates. The MSG sensor array was able to fingerprint the response for separate analytes with a high degree of repeatability. Using patternrecognition techniques, sensor arrays were adaptable for gas identification and discrimination ([54.160]).
54.5 Polymeric Materials
Organic Materials for Chemical Sensing
the liquid phase inside the film matrix, probably caused by capillary condensation in the porous structure of the CA films [54.195]. These LB films had a characteristic pore size of about 1 nm. Condensation of vapour occurred inside capillaries similar to the porous structure of calixarene films at pressures lower than their saturated pressure at a certain temperature. The saturated vapour pressures of ethylbenzene and m-xylene are similar and therefore their exposure to the CA derivatives produced similar effects. Benzene on the other hand, with its highest saturated vapour pressure, yielded the smallest detectable SPR signal. Composite LB films of amphiphilic CA and PPS were exposed to 2 ppm of O3 [54.177]. The competition between the permanent oxidation process of the PPS polymer by the adsorbed O3 molecules and the release of O3 from the CA matrix was found to depend on the molar ratios of the CA and PPS compounds. Recovery became slower with lower CA proportions in the film matrix.
54.7 Concluding Remarks
1261
The conductivity of the CA derivative is intrinsically low. CA films were deposited on the gate between the source and the drain of a charge-flow transistor and the turn-on response for the transistor upon exposure to organic solvent was recorded. The increase in the membrane conductivity is partially attributed to condensation of the vapours in the highly microporous membrane even below the saturation vapour pressure and partially to the effect of the polar analyte molecules complexing inside and between the OH groups of the cavities. The technique offers several advantages over existing methods: (i) immunity to water vapour, due to the hydrophobic nature of the membrane; (ii) no catalytic poisoning of the membrane, as is commonly observed for doped SnO2 ; (iii) no accumulative effects, which are responsible for baseline drift in quartz-crystal-type sensors, are observed, and (iv) no porous metal layer, the adhesion of which may be prone to degradation, is needed on top of the membrane [54.196].
54.7 Concluding Remarks electronic-nose systems. An electronic nose has been developed to monitor breathing air in human habitats. A molecular modelling study was undertaken to investigate the interactions between resistive sensors of a polymer–carbon black (CB) composite and analytes. Poly(4-vinylphenol), polyethylene oxide, and ethyl cellulose were considered for modelling, based on their stereoisomerism and sequence isomerism. The CB was modelled as uncharged naphthalene rings with no hydrogen. Molecular mechanical and molecular dynamics techniques were employed and the equilibrium composite structure was constructed by inserting naphthalene rings into the polymer matrix. The radial distribution profiles produced information on the composite microstructure. The sensor response was predicted in terms of the interaction energies of the analytes with the composites. Studies included both inorganic and organic analytes [54.198]. Research efforts are also growing in the mineralisation of VOC pollutants to innocuous compounds [54.199]. Visible-light-assisted removal of organic pollutants by photocatalytic action of dyesensitised TIO2 surfaces offers several attractive advantages. Firstly, the nanoscale device architecture is versatile with several methods of solar energy conversion whilst producing a chemical fuel in the form
Part E 54.7
The scope of organic materials for chemical sensing is huge and it is impossible to provide a complete description of these materials. Electronic artificial noses are being developed as systems for the automated detection and classification of odours, vapours and gases. These instruments consist of three main components: (i) an array of chemical membranes (ii) electronic circuitry for data acquisition, signal processing and display and (iii) a pattern-recognition algorithm. Up-to-date information on applications of materials for electronic noses and tongues is available in a recent review [54.197]. Problems associated with human senses are many: individual variability, impossibility of online monitoring, subjectivity, adaptation, infections, harmful exposure to hazardous compounds, and mental state. These limitations can be overcome by developing intelligent sensor systems. Gas sensors tend to have very broad selectivity, responding to many different analytes of varied concentrations. The electronic nose/tongue, therefore, offers a definite advantage in many applications in the food and utility industries, the health care and medical care sectors, and security services. The demand for advanced electronic noses will continue to stimulate the search for novel sensing materials. Mathematical and computational tools are expected increasingly to play a part in the design of advanced
1262
Part E
Novel Materials and Selected Applications
of pure H2 . Secondly pollutant species and concentrations of mixtures in industrial waste streams can be identified [54.200]. Results so far reported are encouraging. For example, 40–75% degradation of aromatic pollutants such as phenol, chlorophenol, trichloroethylene and surfactants was achieved with TiO2 surfaces modified by methylene blue and rhodamine B dyes af-
ter less than 5 h of irradiation with a 150-W xenon lamp [54.201]. Individual VOCs including methylene chloride, ethanol, benzene, acetone, xylene and isopropanol produced unique signatures as they were oxidised on the sensor surface [54.202]. Interest in hybrid hetero-supramolecular structures will therefore remain alive for the foreseeable future.
References 54.1 54.2 54.3 54.4 54.5 54.6 54.7 54.8 54.9 54.10 54.11 54.12
54.13
Part E 54
54.14
54.15
54.16
54.17 54.18 54.19
54.20 54.21
W. Gopel: Sensors Actuat. B 18-19, 1–21 (1994) M. C. Petty: Biosens. Bioelectron. 10, 129–134 (1995) M. J. Cook: Chem. Rec. 2(4), 225–236 (2002) L. Alcacer: Conducting Polymers Special Applications (Reidel Publications, Dordrecht, Holland 1987) E. B. Feresenbet, E. Dalcanale, C. Dulcey, D. K. Shenoy: Mol. Cryst. Liq. Cryst. 397, 585–594 (2003) N. K. Hunt, B. J. Marinas: Water Res. 31, 1355–1362 (1997) T. Oshima, K. Sato, H. Terauchi, M. Sato: J. Electrostat. 42, 159 (1997) N. Carmona, M. A. Villegas, J. M. F. Navarro: Sensors Actuat. A Phys. 116(3), 398–404 (2004) N. Carmona, M. A. Villegas, J. M. F. Navarro: Thin Solid Films 458(1-2), 121–128 (2004) C. L. Baban, Y. Toyoda, M. Ogita: Jpn. J. Appl. Phys. 143(10), 7213–7216 (2004) I. Toma-Dasu, U. Dasu, M. Karlsson: Phys. Med. Biol. 49(19), 4463–4475 (2004) S. E. J. Williams, P. Wootton, H. S. Mason, J. Bould, D. E. Iles, D. Riccardi, C. Peers, P. J. Kemp: Science 306(5704), 2093–2097 (2004) F. Bender, C. Kim, T. Mlsna, J. F. Vetelino: Sensors Actuat. B Chem. 77(1-2), 281–286 (2001) B. Onida, L. Borello, S. Fiorilli, B. Bonelli, C. O. Arean, E. Garrone: Chem. Commun. 21, 2496– 2497 (2004) S. Sen, K. P. Muthe, N. Joshi, S. C. Gadkari, S. K. Gupta, Jagannath, M. Roy, S. K. Deshpande, J. V. Yakhmi: Sensors Actuat. B Chem. 98(2-3), 154– 159 (2004) B. H. Timmer, K. M. van Delft, R. P. Otjes, W. Olthuis, A. van den Berg: Anal. Chim. Acta 507(1), 137–143 (2004) M. Beekmann, G. Angellet, G. Megie, H. G. J. Smith, D. Kley: J. Atmos. Chem. 19, 259 (1994) L. Xie, T. J. Lu, H. Q. Yan: Electroanal. 10, 842 (1998) Th. Becker, L. Tomasi, Chr. Bosch-v.Braunmühl, G. Müller, G. Sberveglieri, G. Fagli, E. Comini: Sensors Actuat. A Phys 74, 229 (1999) H. Schulz, G. B. De Melo, F. Ousmanov: Combust. Flame 118, 179–190 (1999) B. Zielinska, J. C. Sagebiel, G. Harshfield, A. W. Gertler, W. R. Pierson: Atmos. Environ. 30, 2269–86 (1996)
54.22
54.23 54.24 54.25 54.26 54.27 54.28 54.29 54.30 54.31
54.32
54.33
54.34 54.35
54.36 54.37
54.38 54.39
54.40
F. B. Reig, J. V. Adelantado, V. P. Martinez, M. C. Moreno, M. T. Carbo: J. Molec. Struct. 480-481, 529–534 (1999) W. Groves, E. T. Zellers, G. C. Frye: Anal. Chim. Acta 371, 131 (1998) W. A. Groves, E. T. Zellers: Ann. Occup. Hyg. 45, 609–623 (2001) N. Kasai, I. Sugimoto, M. Nakamuro, T. Katoh: Biosens. Bioelectron. 14, 533–539 (1999) G. Sberveglieri: Sensors Actuat. B 23, 103–109 (1995) D. Manno, A. Serra, M. Di Giulio, G. Micocci, A. Tepore: Thin Solid Films 324, 44–51 (1998) N. Taguchi: Japanese Patent Application No. 4538200 (1962) C. D. Kohl, A. Eberheim, P. Schieberle: Tech. Mess. 71(5), 298–304 (2004) A. Eberheim, D. Kohl, P. Schieberle: Phys. Chem. Chem. Phys. 5(23), 5203–5206 (2003) J. Gutierrez, J. Getino, M. C. Horrillo, L. Ares, J. I. Robla, C. Garcia, I. Sayago: Thin Solid Films 317, 429–431 (1998) M. Graf, D. Barrettino, S. Taschini, C. Hagleitner, A. Hierlemann, H. Baltes: Anal. Chem. 76(15), 4437– 4445 (2004) E. Comini, G. Faglia, G. Sberveglieri, Zhengwei Pan, Zhong L. Wang: Appl. Phys. Letts. 81(10), 1869–1871 (2002) A. Gramm, A. Schutze: Sensors Actuat. B Chem. 95(1-3), 58–65 (2003) O. K. Varghese, D. Gong, M. Paulose, K. G. Ong, C. A. Grimes: Sensors Actuat. B Chem. 93, 338–344 (2003) A. K. Prasad, P. I. Gouma: J. Mater. Sci. 38(21), 4347– 4352 (2003) N. B. McKeown: In: Phthalocyanine Materials. Synthesis, Structure and Function (Cambridge Univ. Press, Cambridge 1998) p. 60 S. Tabuchi, H. Tabata, T. Kawai: Surf. Sci. 571(1-3), 117–127 (2004) M. Szybowicz, T. Runka, M. Drozdowski, W. Bala, A. Grodzicki, P. Piszczek, A. Bratkowski: J. Molec. Struct. 704(1-3), 107–113 (2004) A. Boguta, D. Wrobel, A. Bartczak, R. Swietlik, Z. Stachowiak, I. M. Ion: Mater. Sci. Eng. B Solid 113(1), 99–105 (2004)
Organic Materials for Chemical Sensing
54.41 54.42
54.43 54.44 54.45 54.46 54.47
54.48 54.49
54.50
54.51 54.52 54.53 54.54 54.55
54.56 54.57
54.59 54.60 54.61 54.62 54.63 54.64
54.65
54.66 54.67
54.68 54.69 54.70 54.71 54.72
54.73
54.74 54.75
54.76 54.77
54.78
54.79
54.80 54.81
54.82 54.83
54.84
54.85 54.86
54.87
54.88
54.89
X. Zhang, J. C. Shen: Adv. Mater. 11(13), 1139–1143 (1999) Y. Lvov, G. Decher, H. Möhwald: Langmuir 9, 481 (1993) Y. Lvov, K. Ariga, I. Ichinose, T. Kunitake: J. Am. Chem. Soc. 117, 6117 (1995) Y. M. Lvov, G. Decher: Crystallogr. Rep. 39, 628 (1994) X. Zhang, M. L. Gao, X. X. Kong, Y. P. Sun, J. C. Shen: J. Chem. Soc. Chem. Commun. 9, 1055–1056 (1994) C. Q. Sun, X. Y. Zhang, D. Jiang, Q. A. Gao, H. D. Xu, Y. P. Sun, X. Zhang, J. C. Shen: J. Electroanal. Chem. 411(1-2), 73–78 (1996) D. J. Revell, I. Chambrier, M. J. Cook, D. A. Russell: J. Mater. Chem. 10(1), 31–37 (2000) M. J. Cook, I. Chambrier: Phthalocyanine properties. In: Porphyrin Handbook, Vol. 15, ed. by K. Kadish et al. (Academic, New York 2003) Chap. 108 S. Antohe, N. Tomozeiu, S. Gogonea: Phys. Stat. Sol. (a) 125, 397–408 (1991) M. J. Cook, M. F. Daniel, K. J. Harrison, N. B. Mckeown, A. J. Thomson: J. Chem. Soc. Chem. Commun. 15, 1148–1150 (1987) M. J. Cook, M. F. Daniel, K. J. Harrison, N. B. Mckeown, A. J. Thomson: J. Chem. Soc. Chem. Commun. 14, 1086–1088 (1987) A. K. Ray, A. V. Nabok, A. K. Hassan, O. Omar, R. Taylor, M. J. Cook: Philos. Mag. B 78(1), 53–64 (1998) X. B. Huang, Y. Q. Liu, S. Wang, S. Q. Zhou, D. B. Zhu: Chem. Eur. J. 8(18), 4179–4184 (2002) C. Granito, L. M. Goldenberg, M. R. Bryce, A. P. Monkman, L. Troisi, L. Pasimeni, M. C. Petty: Langmuir 12(2), 472–476 (1996) B. N. Achar, P. K. Jayasree: Can. J. Chem./Rev. Can. Chim. 77(10), 1690–1696 (1999) H. R. Kerp, E. E. van Faassen: Proceedings of the 11th Workshop on Quantum Solar Energy Conversion (QUANTSOL’98), Chem. Phys. Lett. 332, 5 (2000) P. D. Hooper, M. I. Newton, G. McHaleand, M. R. Willis: Semicond. Sci. Technol. 12, 455–459 (1997) D. Markovitsi, T. H. Tran-Thi, R. Even, J. Simon: Chem. Phys. Lett. 137, 107 (1987) T. Basova, E. Kol’tsov, A. K. Hassan, A. Nabok, A. G Gurek. Ray, V. Ahsen: J. Mater. Sci.-Mater. El. 15(9), 623–628 (2004) W. Snow, W. R. Barger: Phthalocyanine films in chemical sensors. In: Phthalocyanines. Properties and Applications, ed. by C. C. Leznoff, A. B. P. Lever (VCH, New York 1989) M. Nicolau, B. del Rey, T. Torres, C. Mingotaud, P. Delhaes, M. J. Cook, S. C. Thorpe: Synth. Met. 102(1-3), 1462–1463 (1999) R. Zhou, F. Josse, W. Gopel, Z. Z. Öztürk, Ö. Bekaro˘ glu: Appl. Organomet. Chem. 10, 557–577 (1996)
1263
Part E 54
54.58
J. Spadavecchia, G. Ciccarella, S. Capone, R. Rella: Chem. Mater. 16(11), 2083–2090 (2004) H. Nishimura, M. Iizuka, S. Kuniyoshi, M. Nakamura, K. Kudo, K. Tanaka: Electron. Commun. Jpn. 87(2), 18–25 (2004) Y. L. Lee, H. Y. Wu, C. H. Chang, Y. M. Yang: Thin Solid Films 423(2), 169–177 (2003) M. C. Petty: Langmuir–Blodgett Films: An Introduction (Cambridge Univ. Press, Cambridge 1996) M. J. Cook: J. Mater. Sci. Electron. 5, 117–128 (1994) M. J. Cook: Int. J. Electron. 76, 727–739 (1994) C. G. Claessens, W. J. Blau, M. Cook, M. Hanack, R. J. M. Nolte, T. Torres, D. Wohrle: Monatsh. Chem. 132(1), 3–11 (2001) M. J. Cook: J. Mater. Chem. 6, 677–689 (1996) T. H. Richardson, C. M. Dooling, O. Worsfold, L. T. Jones, K. Kato, K. Shinbo, F. Kaneko, R. Tregonning, M. O. Vysotsky, C. A. Hunter: Colloid Surf. A 198, 843–857 (2002) M. J. Cook, J. McMurdo, D. A. Miles, R. H. Poynter, J. M. Simmons, S. D. Haslam, R. M. Richardson, K. Welford: J. Mater. Chem. 4, 1205–1213 (1994) A. K. Hassan, A. K. Ray, A. V. Nabok, S. Panigrahi: IEE Proc.-Sci. Meas. Technol. 147, 137–140 (2000) D. Meyerhofer: J. Appl. Phys. 49, 3993–7 (1978) P. C. Sukanek: J. Elechtrochem. Soc. 138, 1712–1719 (1991) P. C. Sukanek: J. Electrochem. Soc. 144, 3959–3962 (1997) A. K. Hassan, A. V. Nabok, A. K. Ray, A. Lucke, K. Smith, C. J. M. Stirling, F. Davis: Supramol. Sci. Mater. Sci. Eng. C 8-9, 251–255 (1999) S. M. Critchley, M. R. Willis, M. J. Cook, J. McMurdo, Y. Maruyama: J. Mater. Chem. 2, 157 (1992) G. C. Bryant, M. J. Cook, C. Ruggier, T. G. Ryan, A. J. Thorne, S. D. Haslam, R. M. Richardson: Thin Solid Films 243, 316–324 (1994) X. Li, H. Xu, Q. Zhou, D. Jiang, L. Zhang, A. Lu: Thin Solid Films 324, 277–280 (1998) K. Bandyopadhyay, S. G. Liu, H. Y. Liu, L. Echegoyen: Chem. Eur. J. 6, 4385–4392 (2000) C. C. Hsueh, M. T. Lee, M. S. Freund, G. S. Ferguson: Angew. Chem. Int. Ed. 39, 1228–1230 (2000) H. G. Hong, M. Jiang, S. G. Sligar, P. W. Bohn: Langmuir 10, 153–8 (1994) K. F. Kelly, Y. B. S. Shon, T. R. Lee, N. J. Halas: J. Phys. Chem. B 103, 8639 (1999) V. Poderys, A. Selskis, R. Rotomskis: Solid State Phenom. 97-98, 221–224 (2004) A. Ulman: An Introduction to Ultrathin Films: From Langmuir–Blodgett to Self-Assembly (Academic, SanDiego 1991) T. R. E. Simpson, D. A. Russell, I. Chambrier, M. J. Cook, A. B. Horn, S. C. Thorpe: Sensors Actuat. B 29, 353–357 (1995) M. J. Cook: Pure Appl. Chem. 71(11), 2145–2151 (1999) K. Ozoemena, P. Westbroek, T. Nyokong: J. Porphyr. Phthalocyan. 6(2), 98–106 (2002)
References
1264
Part E
Novel Materials and Selected Applications
54.90
54.91
54.92 54.93 54.94 54.95
54.96 54.97
54.98
54.99
54.100 54.101
Part E 54
54.102 54.103 54.104 54.105
54.106
54.107 54.108
54.109 54.110 54.111
A. Chyla, A. Lewandowska, J. Soloducho, A. GoreckaDrzazga, M. Szablewski: IEEE Trans. Dielect. El In 8(3), 559–565 (2001) S. Gao, H. Zhao, L. H. Huo, J. G. Zhao, Y. Q. Wu, S. Q. Xi: Sensors Actuat. B Chem. 97(2-3), 319–323 (2004) S. Suslick, N. A. Rakow, M. E. Kosal, J.-H. Chou: J. Porphyr. Phthalocyan. 4, 407–413 (2001) Y. Lee, B. K. Oh, M. E. Meyerhoff: Anal. Chem. 76(3), 536–544 (2004) J. Charvatova, O. Rusin, V. Kral, K. Volka, P. Matejka: Sensors Actuat. B 76(1-3), 366–372 (2001) O. Ikeda, H. Koyama, K. Kijima, T. Komura, A. Itajima, M. Miyake, K. Yamamoto, A. Yamatodani: Proceedings of the 27th Chemical Sensor Symposium, Vol. 14 (Supplement B) (Japan Association of Chemical Sensor, Nagaoka University of Technology October 23-24, 1998) pp. 89–92 V. C. Smith, T. Richardson, H. L. Anderson: Supramolec. Sci. 4(3-4), 503–508 (1997) V. Arima, R. I. R. Blyth, F. Della Sala, R. Del Sole, F. Matino, G. Mele, G. Vasapollo, R. Cingolani: Mater. Sci. Eng. C Bio. Solids 24(4), 569–573 (2004) H. Imahori, K. Hosomizu, Y. Mori, T. Sato, T. K. Ahn, S. K. Kim, D. Kim, Y. Nishimura, I. Yamazaki, H. Ishii, H. Hotta, Y. Matano: J. Phys. Chem. B 108(16), 5018–5025 (2004) Q. L. Li, S. Surthi, G. Mathur, S. Gowda, Q. Zhao, T. A. Sorenson, R. C. Tenent, K. Muthukumaran, J. S. Lindsey, V. Misra: Appl. Phys. Lett. 85(10), 1829–1831 (2004) K. E. Splan, J. T. Hupp: Langmuir 20(24), 10560– 10566 (2004) J. R. C. da Rocha, G. J. F. Demets, M. Bertotti, K. Araki, H. E. Toma: J. Electroanal. Chem. 526(1-2), 69–76 (2002) J. D. Wright: Prog. Surf. Sci. 31(1-2), 1–60 (1989) J. P. Germain, A. Pauly, C. Maleysson, J. P. Blanc, B. Schöllhorn: Thin Solid Films 333, 235–239 (1998) B. Schöllhorn, J. P. Germain, A. Pauly, C. Maleysson, J. P. Blanc: Thin Solid Films 326, 245–250 (1998) J. Travis, A. K. Ray, S. C. Thorpe, M. J. Cook, S. A. James: Meas. Sci. Technol. 6(7), 988–994 (1995) A. Cole, R. J. McIlroy, S. C. Thorpe, M. J. Cook, J. McMurdo, A. K. Ray: Sensors Actuat. B 13, 416–419 (1993) D. Crouch, S. C. Thorpe, M. J. Cook, I. Chambrier, A. K. Ray: Sensors Actuat. B 18-19, 411–414 (1994) A. Tepore, A. Serra, D. P. Arnold, D. Manno, G. Micocci, A. Genga, L. Valli: Langmuir 17(26), 8139–8144 (2001) Y. L. Lee, C. Y. Sheu, R. H. Hsiao: Sensors Actuat. B Chem. 99(2-3), 281–287 (2004) Y. L. Lee, C. H. Hsiao, C. H. Chang, Y. M. Yang: Sens. Actuat. B 94, 169–175 (2003) M. I. Newton, T. K. H. Starke, G. McHale, M. R. Willis: Thin Solid Films 360(1-2), 10–12 (2000)
54.112 M. I. Newton, T. K. H. Starke, M. R. Willis, G. McHale: Sens. Actuat. B 67, 307–311 (2000) 54.113 Q. Zhou, R. D. Gould: Thin Solid Films 317(1-2), 436– 439 (1998) 54.114 W. F. Qiu, W. P. Hu, Y. Q. Liu, S. Q. Zhou, Y. Xu, D. B. Zhu: Sensors Actuat. B Chem. 75(1-2), 62–66 (2001) 54.115 M. Bouvet, A. Leroy, J. Simon, F. Tournilhac, G. Guillaud, P. Lessnick, A. Maillard, S. Spirkovitch, M. Debliquy, A. Haan, A. Decroly: Sensors Actuat. B Chem. 72(1), 86–93 (2001) 54.116 M. Bouvet, G. Guillaud, A. Leroy, A. Maillard, S. Spirkovitch, F. G. Tournilhac: Sensors Actuat. B Chem. 73(1), 63–70 (2001) 54.117 C. Q. Sun, Y. P. Sun, X. Zhang, H. D. Xu, J. C. K. Shen: Anal. Chim. Acta 312(2), 207–212 (1995) 54.118 Y. P. Sun, X. Zhang, C. Q. Sun, Z. Q. Wang, J. C. Shen, D. J. Wang, T. J. Li: Chem. Commun. 20, 2379–2380 (1996) 54.119 K. F. Schoch, J. Greggi, T. A. Temofonte: J. Vac. Sci. Technol. A 6(1), 155–158 (1988) 54.120 A. K. Hassan, A. K. Ray, J. R. Travis, Z. Ghassemlooy, M. J. Cook, A. Abass, R. A. Collins: Sensors Actuat. B Chem. 49(3), 235–239 (1998) 54.121 J. Mårtensson, H. Arwin, I. Lundstrom: Sensors Actuat. B Chem. 1 (1-6), 134–137 (1990) 54.122 J. M. Pedrosa, C. M. Dooling, T. H. Richardson, R. K. Hyde, C. A. Hunter, M. T. Martin, L. Camacho: J. Mater. Chem. 12(9), 2659–2664 (2002) 54.123 O. Worsfold, C. M. Dooling, T. H. Richardson, M. O. Vysotsky, R. Tregonning, C. A. Hunter, C. Malins: Colloid Surf. A 198, 859–867 (2002) 54.124 L. Gaffo, O. D. D. Couto, R. Giro, M. J. S. P. Brasil, D. S. Galvao, F. Cerdeira, O. N. de Oliveira, K. Wohnrath: Solid State Commun. 131(1), 53–56 (2004) 54.125 T. Richardson, V. C. Smith, A. Topacli, J. Jiang, C. H. Huang: Supramol. Sci. 4, 465–470 (1997) 54.126 T. R. E. Simpson, M. J. Cook, M. C. Petty, S. C. Thorpe, D. A. Russell: Analyst 121(10), 1501–1505 (1996) 54.127 T. R. E. Simpson, D. J. Revell, M. J. Cook, D. A. Russell: Langmuir 13(3), 460–464 (1997) 54.128 E. Kretschmann: Z. Phys. 241, 313–324 (1971) 54.129 I. Pockrand: Surf. Sci. 72, 577–588 (1978) 54.130 J. M. Rooney, E. A. H. Hall: Anal. Chem. 76(23), 6861–6870 (2004) 54.131 T. Basova, E. Kol’tsov, A. Hassan, A. Tsargorodskaya, A. K. Ray, I. Igumenov: Phys. Stat. Sol. (b) 242(4), 822 (2005) 54.132 J. D. Wright, A. Cado, S. J. Peacock, V. Rivalle, A. M. Smith: Sens. Actuat. B 29, 108–114 (1995) 54.133 J. P. Lloyd, C. Pearson, M. C. Petty: Thin Solid Films 160(1-2), 431–443 (1988) 54.134 M. J. Jory, P. S. Cann, J. R. Sambles: J. Phys. D Appl. Phys. 27(1), 169–174 (1994) 54.135 K. Kato, C. M. Dooling, K. Shinbo, T. H. Richardson, F. Kaneko, R. Tregonning, M. O. Vysotsky, C. A. Hunter: Colloid Surf. A 198, 811–816 (2002)
Organic Materials for Chemical Sensing
54.157 M. Ando, C. Swart, E. Pringsheim, V. M. Mirsky, O. S. Wolfbeis: Solid State Ionics 152–153, 819–822 (2002) 54.158 A. Penza, G. Cassano, A. Sergi, C. Lo Sterzo, M. Russo: Sensors Actuat. B Chem. 81(1), 88–98 (2001) 54.159 T. A. Dickinson, J. White, J. S. Kauer, D. R. Walt: Nature 382, 697 (1996) 54.160 D. Li, C. A. Mills, J. M. Cooper: Sensors Actuat. B Chem. 92(1-2), 73–80 (2003) 54.161 T. Jeong, H. K. Lee, D. C. Jeong, S. Jeon: Talanta 65(2), 543–548 (2005) 54.162 M. M. Ardakany, A. A. Ensafi, H. Naeimi, A. Dastanpour, A. Shamlli: Sensors Actuat. B Chem. 96(1-2), 441–445 (2003) 54.163 S. Y. Huan, C. X. Jiao, Q. Shen, J. H. Jiang, G. M. Zeng, H. H. Guo, S. L. Guo, R. Q. Yu: Electrochim. Acta 49(25), 4273–4280 (2004) 54.164 P. A. Antunes, C. M. Santana, R. F. Aroca, O. N. Oliveira, C. J. L. Constantinoa, A. Riul: Synth. Met. 148(1), 21–24 (2005) 54.165 T. Shimanouchi, S. Morita, H. S. Jung, Y. Sakurai, Y. Suzuki, R. Kuboi: Sensor Mater. 16(5), 255–265 (2004) 54.166 P. C. Ewbank, R. S. Loewe, L. Zhai, J. Reddinger, G. Sauve, R. D. McCullough: Tetrahedron 60(49), 11269–11275 (2004) 54.167 J. N. Wilde, J. Nagel, M. C. Petty: Thin Solid Films 327-329, 726–729 (1998) 54.168 R. Casalini, J. N. Wilde, J. Nagel, U. Oertel, M. C. Petty: Sensors Actuat. B 57, 28–34 (1999) 54.169 J. K. Abraham, B. Philip, A. Witchurch, V. K. Varadan, C. C. Reddy: Smart Mater. Struct. 13(5), 1045–1049 (2004) 54.170 B. Philip, J. K. Abraham, A. Chandrasekhar, V. K. Varadan: Smart Mater. Struct. 12(6), 935–939 (2003) 54.171 R. Capan, A. K. Ray, A. K. Hassan, T. Tanrisever: J. Phys. D Appl. Phys. 36, 1115–1119 (2003) 54.172 R. Rego, N. Caetanoc, R. Vale, A. Mendes: J. Membr. Sci. 244(1-2), 35–44 (2004) 54.173 M. Matsuguchi, A. Okamoto, Y. Sakai: Sensors Actuat. B Chem. 94(1), 46–52 (2003) 54.174 J. Sutter, A. Radu, S. Peper, E. Bakker, E. Pretsch: Anal. Chim. Acta 523(1), 53–59 (2004) 54.175 C. Ramesh, G. Velayutham, N. Murugesan, V. Ganesan, V. Manivannan, G. Periaswami: Ionics 10(1-2), 50–55 (2004) 54.176 A. Calogirou, M. Duan, D. Kotzias, M. Lahaniati, B. R. Larsen: Atmos. Environ. 31, 2741 (1997) 54.177 A. V. Nabok, A. K. Hassan, A. K. Ray, J. Travis, M. Hofton, A. Dalley: IEE Proc. Sci., Meas. Technol. 147, 153 (2000) 54.178 A. K. Ray, A. V. Nabok, A. K. Hassan, M. Hofton, A. Dalley: Sensors & Their Applications X Conference, ed. by N. M. White, J. T. Augousti 1999)
1265
Part E 54
54.136 D. S. Ballantine, R. M. White, S. I. Martin, A. J. Ricco, E. T. Zellers, G. C. Fry, H. Wohltjen: Acoustic Wave Sensors. Theory, Design, and Physico-Chemical Applications (Academic, New York 1997) 54.137 K. D. Schierbaum, R. Zhou, S. Knecht, R. Dieing, M. Hanack, W. Göpel: Sensors Actuat. B Chem. 24, 69–71 (1995) 54.138 H. Ding, V. Erokhin, M. K. Ram, S. Paddeu, L. Valkova, C. Nikolini: Thin Solid Films 379, 279– 286 (2000) 54.139 Z. Z. Öztürk, R. Zhou, U. Weimar, V. Ahsen, O. Bekaro˘ glu, W. Göpel: Sensors Actuat. B Chem. 26-27, 208–212 (1995) 54.140 J. Souto, M. L. Rodriguez, J. A. Desaja, R. Aroca: Int. J. Electron. 76(5), 763–769 (1994) 54.141 T. Basova, C. Tasaltin, A. G. Gurek, M. A. Ebeo˘ glu, Z. Z. Öztürk, V. Ahsen: Sensors Actuat. B Chem. 96(12), 70–75 (2003) 54.142 C. Bariain, I. R. Matias, C. Fernandez-Valdivielso, F. J. Arregui, M. L. Rodriguez-Mendez, J. A. de Saja: Sensors Actuat. B Chem 93(1-3), 153–158 (2003) 54.143 J. Spadavecchia, G. Ciccarella, R. Rella, S. Capone, P. Siciliano: Sensors Actuat. B Chem. 96(3), 489– 497 (2003) 54.144 J. Spadavecchia, G. Ciccarella, A. Buccolieri, G. Vasapollo, R. Rella: J. Porphyr. Phthalocyan. 7(8), 572–578 (2003) 54.145 S. Nardis, D. Monti, C. Di Natale, A. D’Amico, P. Siciliano, A. Forleo, M. Epifani, A. Taurino, R. Rella, R. Paolesse: Sensors Actuat. B Chem. 103(1-2), 339– 343 (2004) 54.146 J. Spadavecchia, G. Ciccarella, G. Vasapollo, P. Siciliano, R. Rella: Sensors Actuat. B Chem. 100(1-2), 135–138 (2004) 54.147 C. Granito, J. N. Wilde, S. Houghton, P. J. Iredale: Thin Solid Films 284-285, 98–101 (1996) 54.148 G. Jin, C. O. Too, J. Norrish, G. G. Wallace: Synth. Met. 135(1-3), 29–30 (2003) 54.149 V. Papes, S. Brodska: Sensors Actuat. B Chem. 40, 143–145 (1997) 54.150 A. Riul, A. M. G. Soto, S. V. Mello, S. Bone, D. M. Taylor, L. H. C. Mattoso: Synth. Met. 132(2), 109–116 (2003) 54.151 J. S. Do, W. B. Chang: Sensors Actuat. B Chem. 101(12), 97–106 (2004) 54.152 G. Anitha, E. Subramanian: Sensors Actuat. B Chem. 92(1-2), 49–59 (2003) 54.153 E. Segal, R. Tchoudakov, M. Narkis, A. Siegmann, Y. Wei: Sensors Actuat. B Chem. 104(1), 140–150 (2005) 54.154 E. Milella, F. Musio, M. B. Alba: Thin Solid Films 285, 908 (1996) 54.155 Y. Kunugi, K. Nigorikawa, Y. Harima, K. Yamashita: J. Chem. Soc. Chem. Commun. Issue 7, 873 (1994) 54.156 S. Christie, E. Scorsone, K. Persaud, F. Kvasnik: Sensors Actuat. B Chem. 90(1-3), 163–169 (2003)
References
1266
Part E
Novel Materials and Selected Applications
54.179 A. K. Hassan, A. V. Nabok, A. K. Ray, G. Kiousis: Mater. Sci. Eng. C 22, 197–200 (2002) 54.180 A. K. Hassan, J. Greenway, A. K. Ray, A. V. Nabok: J. Phys. D Appl. Phys. 36(17), 2130–2133 (2003) 54.181 B. Ding, J. H. Kim, Y. Miyazaki, S. M. Shiratori: Sensors Actuat. B Chem. 101(3), 373–380 (2004) 54.182 Z. Cao, D. Cao, Z. G. Lei, H. G. Lin, R. Q. Yu: Talanta 44, 1413 (1997) 54.183 C. D. Gutsche: Calixarenes (Royal Society of Chemistry, Cambridge 1989) 54.184 D. J. Cram, S. Karbach, H.-E. Kim, C. B. Knober, E. F. Maverick, J. L. Ericson, R. C. Hegelson: J. Am. Chem. Soc. 110, 2229 (1988) 54.185 F. L. Dickert, U. P. A. Baumler, G. K. Zwissler: Synth. Met. 61, 47 (1993) 54.186 P. Nelli, E. Delcanale, G. Faglia, G. Sberveglieri, P. Soncini: Sensors Actuat. B Chem. 13-14, 302 (1993) 54.187 T. Weiss, K. D. Schierbaum, W. Göpel, U. Thoden van Velzen, D. N. Reinhoudt: Sensors Actuat. B Chem. 26, 203 (1995) 54.188 E. Dalcanale, J. Hartman: Sensors Actuat. B Chem. 24, 39 (1995) 54.189 J. Rickert, T. Weiss, W. Gopel: Sensors Actuat. B Chem. 31, 45 (1996) 54.190 A. K. Hassan, A. K. Ray, A. V. Nabok, F. Davis: Sensors Actuat. B 77, 638–641 (2001)
54.191 A. V. Nabok, N. V. Lavrik, Z. I. Kazantseva, B. A. Nesterenko, L. N. Markovskiy, V. I. Kalchenko, A. V. Shivaniuk: Thin Solid Films 259, 244–247 (1995) 54.192 A. V. Nabok, A. K. Hassan, A. K. Ray: J. Mater. Chem. 10, 189–194 (2000) 54.193 A. V. Nabok, A. K. Hassan, A. K. Ray, O. Omar, V. I. Kalchenko: Sensors Actuat. B Chem. 45, 115 (1997) 54.194 A. K. Hassan, A. K. Ray, A. V. Nabok, T. Wilkop: Appl. Surf. Sci. 182, 49–54 (2001) 54.195 S. J. Gregg, K. S. W. Sing: Adsorption, Surface Area and Porosity (Academic, New York 1967) 54.196 T. Wilkop, A. K. Ray: J. Phys. D Appl. Phys. 35(20), 2661–2667 (2002) 54.197 P. Gouma, G. Sberveglieri, R. Dutta, J. W. Gardner, E. L. Hines: MRS Bull. 29(10), 697–700 (2004) 54.198 A. V. Shevade, M. A. Ryan, M. L. Homer, A. M. Manfreda, H. Zhou, K. S. Manatt: Sensors Actuat. B Chem 93(1-3), 84–91 (2003) 54.199 A. Mills, S. L. Hunte: J. Photochem. Photobiol. A 108, 1–35 (1997) 54.200 G. J. Wilson, G. D. Will: Curr. Appl. Phys. 4 (2-4), 351–354 (2004) 54.201 D. Chatterjee, A. Mahata: J. Photochem. Photobiol. 153(1-3), 199–204 (2002) 54.202 L. R. Skubal, N. K. Meshkov, M. C. Vogt: J. Phototechnol. Photobiol. A 148(1-3), 103–108 (2002)
Part E 54
1267
Packaging Ma 55. Packaging Materials
This chapter is a high-level overview of the materials used in an electronic package including: metals used as conductors in the package, ceramics and glasses used as dielectrics or insulators and polymers used as insulators and, in a composite form, as conductors. There is a need for new materials to meet the ever-changing requirements for high-speed digital and radio-frequency (RF) applications. There are different requirements for digital and RF packages that translate into the need for unique materials for each application. The interconnect and dielectric (insulating) requirements are presented for each application and the relevant materials properties and characteristics are discussed. The fundamental materials characteristics are: dielectric constant, dielectric loss, thermal and electric conductivity, resistivity, moisture absorption, glass-transition temperature, strength, time-dependent deformation (creep), and fracture toughness. The materials characteristics and properties are dependant on how they are processed to form the electronic package so the fundamentals of electronic packaging processes are discussed including wirebonding, solder interconnects, flip-chip interconnects, underfill for flip chip and overmolding. The relevant materials properties are given along with requirements (including environmentally friendly Pb-free packages) that require new materials to be developed to meet future electronics needs for both digital and RF applications.
55.2 The Materials Challenge of Electronic Packaging ........................ 1269
An electronic package is a configuration of materials that interconnects electronic signals form one area to another. This scheme must isolate these signals so that there is no interference and must also protect the electronics from a degrading environment. A package typically consists
55.3 Materials Coefficient of Thermal Expansion .......................... 1272 55.4 Wirebond Materials ............................. 1272 55.4.1 Wirebonds for Digital Applications .............. 1272 55.4.2 Wirebonds for RF....................... 1273 55.5 Solder Interconnects ............................ 1273 55.5.1 Flip-Chip Interconnects.............. 1276 55.5.2 Flip Chip for RF ......................... 1277 55.5.3 Pb-Free ................................... 1277 55.6 Substrates........................................... 1278 55.6.1 RF Substrate Materials ............... 1279 55.7 Underfill and Encapsulants................... 1280 55.7.1 Underfill .................................. 1280 55.7.2 Encapsulation ........................... 1280 55.8 Electrically Conductive Adhesives (ECAs) . 1281 55.8.1 Adhesive Polymers .................... 1281 55.8.2 Metal Fillers.............................. 1282 55.8.3 Conduction Mechanisms ............ 1282 55.8.4 Isotropic Versus Anisotropic Conduction .... 1282 55.8.5 Rework .................................... 1283 55.9 Thermal Issues .................................... 1283 55.9.1 Thermal Issues in Digital Packaging................... 1283 55.9.2 Thermal Issues in RF Packaging ... 1284 55.10 Summary ............................................ 1284 References .................................................. 1285
of the semiconductor, mounted and interconnected (with solder or Au wires) to a dielectric substrate (with a leadframe or with metal traces), which is encapsulated to seal the device from the environment. The electronic package must serve four functions:
Part E 55
55.1 Package Applications ........................... 1268
55.2.1 Materials Issues in High-Speed Digital Packaging . 1270 55.2.2 RF Packaging Materials Issues ..... 1271
Packaging Materials
into a package carrier (substrate or leadframe) and interconnected by either wirebonds or bulk conductive interconnects (flip chip) of solder or conductive adhesives. An example of a wirebonded package is shown in Fig. 55.1, while Fig. 55.2 shows a flip-chip package interconnect. The die is protected either by a lid or encapsulated with a polymer overmold. Level 2: the chip carrier mounted to a board. The package is solder, or conductive adhesive, attached to
55.2 The Materials Challenge of Electronic Packaging
1269
a circuit board. An example of a package mounted to a board using area array interconnects is shown in Fig. 55.2. Level 3: board-to-board interconnects. The boards are interconnected to the final electronic system using friction interconnects, solder interconnects, or fiberoptic connectors.
55.2 The Materials Challenge of Electronic Packaging Electronic packaging is arguably the most materialsintensive application today. The families of materials included in a package include: semiconductors, ceramics, glasses, composites, polymers, and metals. A list of the types of materials used in an electronic package are shown in Table 55.1. The processes required to assemble a package are equally varied: welding, soldering, curing, cold and hot working, sintering, adhesive bonding, laser drilling, and etching. Each of these materials and how it is used in an electronic package could be the topic of an entire book. This
chapter is a high-level overview of the materials used in an electronic package. The focus is on the following classes of materials: Metals are used as conductors in the package, primarily electrical but also thermal for power devices. This includes the thin metal interconnects on the integrated circuit made of Al, Cu or Au and the interconnects between the integrated circuit and the package that are either wirebond interconnects or solder joints. Metals are also used to act as heat sinks for power devices and as shields for RF applications.
Table 55.1 Examples of materials used in electronic packaging Semiconductors Metals
Ceramics
Glasses
Part E 55.2
Polymers
Si, SiGe, GaAs Solders for interconnects (Sn–Pb, Sn–Ag, Sn–Ag–Cu, Sn–Au, Sn–Sb) Au wirebonds Cu leadframes (Kovar, CuBe, Alloy 42) Cu traces in substrates W, Mo traces in co-fired ceramics Ag, Au, Pd for thin/thick films on ceramics Ni diffusion barrier metallizations Al heat sinks Al2 O3 substrates modified with BaO, SiO2 , CuO, etc. LTCC substrates Al2 O3 modified with low-temperature glass (e.g., PbO) SiN dielectrics Diamond heatsinks Epoxies (overmold) Filled epoxies (overmold) Silica-filled anhydride resin (underfills) Conductive adhesives (die bonding, interconnects) Laminated epoxy/glass substrates Polyimide dielectric Benzoyclobutene Silicones Photosensitive polymers for photomasks (acrylates, monomers, etc.) SiO2 fibers for optoelectronics Silicate glasses for sealing Borosilicate glass substrates Glass fibers for epoxy/glass substrates (FR-4)
Packaging Materials
Table 55.2 Dielectric constants of packaging substrate ma-
terials Material
Dielectric constant (εr )
Epoxy FR-4 Other laminates Kapton Multilayer ceramic Low-temperature co-fired ceramic (LTCC)
5.0 3.5–4.0 2.5–4.5 3.1–3.5 5.0–9.5 3.9–7.8
55.2.2 RF Packaging Materials Issues High-speed and microwave circuits are defined for digital devices with clock speeds faster than 100 MHz and 0.1–100 GHz for analog circuits. For analog, these are also termed radio-frequency (RF) circuits. The microwave, or RF, circuit module is defined by microstrip elements composed of transmission lines and matching networks on a substrate with discrete components (resistors, inductors, capacitors and transistors)
1271
Table 55.3 Coefficients of thermal expansion of a sampling of materials used in area-array electronic packages Material Metals
CTE (10−6 /◦ C)
304 Stainless Steel Ag Al Alloy 42 Au Cu Invar Kovar Mo Ni Solder: 63Sn–37Pb Solder: 95Pb–5Sn Ti W Ceramics AlN Alumina (96%) Alumina (99.5%) BeO BN Fused silica glass Quartz SiC SiN Semiconductors GaAs Si Organic materials Epoxy resins FR-4 (x–y plane) FR-4 (z-axis) Polycarbonates Polyimide glass (x–y plane) Polyimide glass (z-axis) Polyimides Polyurethanes RTV (room temperature vulcanized) polymer Sylgard
17.8 19.7 23.5 4.9 14.2 16.8 1.6 5.5 5.1 13–15 25 28 10 4.5 4.3 6.4 6.5 7.8 3.7 0.56 13 3.8 3 5.8 2.7 50–80 15.8 80–90 50–70 12–14 60 40–50 180–250 800 300
attached, or embedded, in the substrate. A monolithic microwave device has all the above elements integrated onto a semiconductor die. The semiconductor used for RF applications is typically GaAs because of its high resistivity and suitability for circuits that operate at high
Part E 55.2
to the Si die (6 × 10−6 /◦ C for alumina ceramic versus 3 × 10−6 /◦ C for Si) so strain that may arise during thermal cycling can be minimized. However, Al2 O3 ceramic is expensive and has limited use in commercial applications. LTCC is growing in interest because it offers the hermeticity and thermal expansion advantageous of ceramic at a lower cost. LTCC is an alumina ceramic/glass composite that is fired at sufficiently low temperatures that Cu or Ag can be used as the metallization. For the interconnects in the package, flip chip appears to provide substantial improvements in I/O and pitch but wirebonding will remain as a packaging solution because there is a great deal of capital invested in wirebond equipment that cannot be ignored. In addition to smaller size driving finer pitch, increased signal speed will drive package requirements. Digital signal delays must be minimized. The total delay is a function of the total distance and the delay per unit length, which is a function of the transmitting medium’s dielectric constant and is the square root of the dielectric constant (εr ) times the free-space delay in vacuum (33 ps/cm). Therefore, a material with a high dielectric constant increases the delay. Table 55.2 shows a variety of dielectric constants used in packaging. For minimal signal delays, an optimal dielectric substrate material is required. The signal length can also be shortened by changing from a wirebond solution to flip chip.
55.2 The Materials Challenge of Electronic Packaging
1272
Part E
Novel Materials and Selected Applications
frequencies. The following is a discussion of critical packaging materials issue related to RF devices and modules. One of the most significant differences between RF and digital packaging is that the package is part of the RF circuit due to the interaction of the RF electric field with all adjacent conductors and insulators. This is one of the most significant challenges in the design of RF circuits. This will be further exacerbated as the trend moves from single-die RF packages to multiple die with passive components in RF modules. For example, the wirelesscommunication industry is striving toward a solution of a
phone in a package where the entire electronic functionality of a cellular phone can fit into a package with a size on the order of 1 cm2 . Materials used in the package of these RF applications are significant for the performance and cost of the solution. It is clear that the electronic package is a complex materials system that is driven by a variety of thermal, mechanical and electrical performance requirements. These requirements are also application dependent for both digital and RF packages. The remainder of this chapter is an overview of the key materials required for microelectronic packaging.
55.3 Materials Coefficient of Thermal Expansion The coefficient of thermal expansion (CTE) is the length of increase of a macroscopic sample for a given temperature increase. The units of the CTE are length/length◦ C. The CTE is a critical physical property of materials used in an area array package. A wide variety of materials with a wide variety of CTEs, such as metals, ceramics, and polymers are joined together
in an electronic package assembly. As processing (or in-use) temperatures change, the materials expand or contract to various degrees that could result in the formation of extensive and nonuniform strains in the package assembly. A set of materials typically used in electronic packages and their CTEs are shown in Table 55.3.
55.4 Wirebond Materials
Part E 55.4
Wirebonding is the process where a thin wire (that can be less than 25 µm in diameter) made of Au or Al is bonded to the surface of an integrated circuit, then to a pad or leadframe in the package (Fig. 55.1). Goldball thermosonic bonding is the typical method used to form these interconnects. The thermocompression bond is a weld between two metals where thermal energy (preheating of the capillary tool and wire), force and ultrasonic energy are imparted to the wire, causing it to melt on the surface and rapidly interdiffuse with the bond pad to form a joint. The integrated circuit pad’s surface finish is typically Al or Au and the package bond pad finish is typically Au or Cu.
55.4.1 Wirebonds for Digital Applications The challenges for decreased wirebond pitch are primarily process related to accurate machine control to move bonding heads to shorter distances (44-µm pitch) with increased accuracy. However, there are also materials challenges. The metallurgical challenges of wirebonding Au to Al have been well addressed. The classic, early failures were dominated by purple plague and the definitive work in this area is by Philofsky [55.9]. Purple
plague resulted when the Au of the wirebond extensively reacting with Al to form brittle AuAl2 that resulted in bond failures. (Purple plague derives its name from the purple tinge of the AuAl2 intermetallic.) This was addressed by reducing the wirebond process temperature to below 300 ◦ C, which reduces the extent of AuAl2 formation. Current wirebond failures are the result of surface impurities or corrosion. These are addressed by plasma or ultraviolet (UV)–ozone cleaning of the surface prior to wirebonding. Wirebonding problems have also been caused by plating impurities in Au bond pads. Impurities in the Au diffuse to the molten front that occurs during wirebonding and concentrate in a plane in the bond. If there are a sufficient number of impurities, they precipitate and act as sinks for vacancies that can become voids and lower the bond strength. The impurities have been identified as Ni, Fe, Co and B [55.10]. The contaminants are present in the Au film as a result of the plating process. This can be addressed by optimizing the plating process to form pure metallic Au. With finer-pitch wirebonding comes the requirement for thinner Au wire, approaching 10 µm in diameter. This thin wire poses a materials challenge. The wire
Packaging Materials
are low-melting-temperature materials that are used at a significant fraction of their melting point and are thermodynamically unstable. As the joint ages (at temperature and under strain) the microstructure changes and the mechanical properties and reliability change. The microstructure changes by grain (or phase) coarsening, which may be heterogeneous or homogeneous. Furthermore, the interfacial intermetallic grows in thickness and coarsens with time at temperature. All of this must be comprehended to determine the reliability of solder interconnects. Thermomechanical fatigue occurs when materials with different CTEs are joined and used in an environment that experiences cyclic temperature fluctuations resulting in imposed cycling strain. Thermomechanical fatigue is a major deformation mechanism affecting solder interconnects in electronic packages. Even small temperature fluctuations can have a large effect, depending upon the joint thickness and CTE difference of the joined materials. The strain imposed on the solder joints follows the relation: ∆γ = ∆α∆Ta/h ,
cycle. As the temperature rises, the deformation is annealed by recrystallization or stress-assisted diffusion, where material diffuses to regions of high stress. This results in coarsening of the Sn-rich and Pb-rich grains and phases in colony boundaries. The heterogeneously coarsened colony boundaries are weaker than the rest of the joint and any further deformation concentrates in the coarsened regions, resulting in further coarsening. Failure eventually occurs due to cracks that form in the coarsened regions of a joint. The first indications of impending failure are associated with cracking of coarsened Sn-rich grains in the heterogeneous region whose initial as-solidified grain size is in the submicron range. When cracks initiate during thermomechanical fatigue, the Sn-grains have grown to 5–10 µm. Failure occurs when grains can no longer slide and rotate to accommodate the imposed strain, resulting in intergranular separation. Lead-rich Pb–Sn alloys undergo thermomechanical fatigue behavior but show little evidence of microstructural evolution. These solders undergo intergranular failure caused by void coalescence and growth at Pb grain boundaries. The strain imposed during thermomechanical fatigue cannot be accommodated by large Pb grains, resulting in intergranular failure. However, Pb loses the work-hardening effect quickly so that damage in the form of cracks does not propagate easily. Other solder alloys, such as the Pb-free Sn–3.5Ag eutectic-based solders, experience thermomechanical fatigue damage and failure at Sn grain boundaries. The microstructural evolution in these alloys tends to be phase coarsening with minimal grain-size coarsening. Sn–Ag–X alloys tend to have longer thermomechanical fatigue lifetimes than near-eutectic Sn–Pb solders. Intermetallic compounds form between pad metallization and the active components of the molten solder (typically Sn). For Cu metallization, the Sn reacts to form Cu3 Sn and Cu6 Sn5 intermetallics. For Ni, the Sn reacts to form Ni3 Sn4 . After solidification, the intermetallic compounds continue to grow by solid-state diffusion. Over long periods of time, the intermetallic layers can grow to significant thicknesses (> 20 µm) and the solder–intermetallic interface may contain easy sites for crack initiation and propagation. Excessive growth also consumes the base metal, or finish, that can result in the loss of adhesion to the underlying metal that is not solder-wettable or create a plane of weakness owing to the stress generated from an intermetallic layer that is too thick. The metallized pad thickness must generally be greater than that consumed by the solder.
1275
Part E 55.5
where ∆γ is the shear strain imposed, ∆α is the difference in coefficient of expansion between the joined materials, ∆T is the temperature change, a is the distance from the neutral expansion point of the joined materials, and h is the thickness of the interconnect. After a critical number of thermal excursions, such as machine on/off cycles, solder joints experience fatigue failure. The type and magnitude of strains in solder joints under conditions of thermomechanical fatigue are often quite complex. For surface-mount applications, the strain is nominally shear. However, tensile and mixedmode strains can occur due to bending of the chip carrier or board. The combination of strain and temperature during thermomechanical fatigue has a large effect on the microstructure, and microstructural evolution of eutectic Sn–Pb solder joints. The microstructural evolution of 60Sn–40Pb solder as a function of the number of thermal cycles (−55–125 ◦ C) is shown in Fig. 55.7. The microstructure evolves through deformation that concentrates at the colony boundaries closely parallel to the direction of imposed shear strain, causing the cells to slide or rotate relative to one another. The structure within the cell boundaries becomes slightly coarsened relative to the remaining solder-joint microstructure and, thus are the weak links of the joint. Damage (in the form of defects or dislocations) is created at the cell boundaries at the low-temperature portion of a thermal
55.5 Solder Interconnects
1276
Part E
Novel Materials and Selected Applications
The transformation of solder-wettable coatings into intermetallics by solid-state reactions can also result in excessive intermetallic growth that degrades mechanical properties. The interfacial intermetallics are brittle and may fracture when strain is imposed, especially if the strain is tensile in nature. Solder-joint interfacial intermetallics are brittle because they typically have complex crystal structures with few crystallographic planes available to accommodate stress by strain relief, i. e., plastic deformation via a slip mechanism. The failures are characteristically brittle and occur through the intermetallic or at the intermetallic/solder interface under low-load conditions.
55.5.1 Flip-Chip Interconnects
Part E 55.5
Recent developments in under-bump metallurgy (UBM) and solder joints have resulted in lower cost and higher performance flip-chip interconnects. The UBM serves as an electrical, thermal, and mechanical interface between the silicon bond pads and the package substrate. The structure of the UBM is designed to adhere to the Al on the Si, act as a diffusion barrier between the solder and Si, and be a wettable surface to join to the solder. The most common UBM is the evaporated Cr/Cr–Cu/Cu/Au developed by IBM [55.12] for use with an evaporated high-Pb-content Pb–Sn alloy joined to a ceramic substrate. This UBM is expensive and new UBM systems with sputtered or plated metallizations have been developed. Plated metallizations are the lowest cost UBMs. A significant change in flip-chip interconnects is the move toward a lower-melting-point solder alloy, such as eutectic 63Sn–37Pb (wt%) that can be processed below 220 ◦ C as opposed to 350 ◦ C for high-Pb solder. New materials on the die (low-k dielectric) and substrate (organic materials) require this lower processing temperature. The change in solder alloy also requires a change in the UBM. Traditional UBM systems are based on a thin Cu layer that dissolves into high-Sn solders, resulting in spalling and dewetting. Eutectic-solder UBM systems utilize a layer of Ni for solder wetting. The Ni is wet by Sn–Pb solder but reacts much more slowly than Cu. The addition of ≈ 9% V to Ni reduces the ferromagnetic behavior of the Ni and enables the use of sputtering of the Ni. Electroless plating of Ni–9%P is the lowest-cost UBM and has the advantage that plating occurs only where desired on the metal pads on the silicon. To plate onto Al, the pads are zincated, then immediately plated with Ni. One issue with Ni-based UBMs is the intermetallic layer between the solder and
Ni (Ni3 Sn4 ). Although very thin, this intermetallic layer can be brittle and the long-term reliability must be fully characterized and understood. There are three solder deposition techniques available for flip chip. Traditionally, high-Pb solder is evaporated onto the UBM then reflowed to form metallurgical bonds. Evaporation is expensive because the masks must be regularly cleaned and there is excessive scrap solder. The composition of evaporated solder is difficult to control outside the high-Pb regime. Solder paste is a lower-cost alternative to evaporation and involves screening the paste onto the UBM then reflowing. Solder paste reduces waste, cleaning is inexpensive, and the paste comes in a variety of compositions, including eutectic Sn–Pb. The difficulty with paste arises in finepitch applications where the rheology of the paste makes it difficult to force into the required small holes in the screen. Solder may also be plated, which is inexpensive (no waste and easy cleaning) and has excellent finepitch coverage. Solder bumps at a pitch of 25 mm have been achieved by plating. The difficulty with plating is achieving ball and compositional uniformity. The trend in flip-chip interconnect pitch is that the current 250-µm pitch will continue to shrink. The driving force for this pitch shrink is to satisfy the requirements for high-performance silicon devices. These requirements include a dramatic increase in the number of I/O due to increases in the number of signal lines and power requirements. Higher-power devices require more signal and ground lines and, to limit point sources of heat the power and ground interconnects should be spread evenly across the area array. There are a number of materials and processing challenges associated with finer pitches. As the pitch shrinks, the methods to deposit the solder become more limited. Solder paste is very difficult to deposit using a silk-screen method at pitches below 150 µm due to rheological limitations of forcing a semi-solid (the paste) into small holes (the silk screen). Evaporation is difficult because developing a metal screen mask with the required tolerances is prohibitively expensive. Solder plating is still a good option but the solder must be very uniform across each die. Solder ball uniformity is critical because large variations between die could result in electrical opens, for small balls, and shorts, for large balls. At 250 µm, ball uniformity across a die is 10%, at 150 µm this decreases to 5% and the change in dimension tolerance is 12.5 µm down to 3.75 µm. An additional issue with a decrease in ball size is that the joint gap between the substrate and the die decreases to the point that it may
Packaging Materials
become very difficult to flow underfill completely under the die. At 100-µm pitch the gap between the die and substrate could be significantly less than 25 µm, below the limit of underfill flow. For these very-finepitch applications an alternative underfill technique will need to be developed because flow under the chip will not be possible. One alternative would be to deposit the underfill material on the wafer immediately after flip-chip solder bumping then underfill cure would simultaneously occur during solder relfow. New underfill materials and processes must be developed to implement this process.
55.5.2 Flip Chip for RF
1277
55.5.3 Pb-Free The electronics industry extensively uses Pb–Sn solder alloys in flip-chip applications as well as in many other interconnects in the electronic package. However, medical studies have shown that Pb is a heavy-metal toxin that can damage the kidneys, liver, blood, and central nervous system. Less than one percent per year of global Pb consumption is used in solder alloys for electronic products but electronics and electrical systems make up an increasingly larger fraction of landfills [55.14]. The issue of Pb leaching from landfills into the water table has raised alarm as a potential source of long-term contamination of soil and ground water. Concerns about the presence of Pb in the environment and potential exposure scenarios that could result in the ingestion of Pb by humans and wildlife have prompted a concerted effort to limit the use of Pb in manufactured products (notably gasoline, plumbing solders, and paint). International laws have recently been proposed to expand Pb control laws to limit or ban the use of Pb in manufactured electronics products. The most aggressive and well known effort is the European Union’s Waste in Electrical and Electronic Equipment (WEEE) directive that proposes a ban on Pb in electronics by 2006. The Japanese Environmental Agency has proposed that Pbcontaining scrap must be disposed of in sealed landfills to prevent Pb leaching. Electronics manufacturers have responded to these proposed bans in a variety of ways. Many companies have not taken a stance, hoping that legislation will not be enacted. Other companies have aggressively pursued solutions to the proposed bans and are using Pb-free products as a green marketing strategy. Extensive research on Pb-free solders has been published. A comprehensive review of the status of Pb-free solders, primarily focused on carrier-to-board (surfacemount and through-hole) interconnects, can be found in the literature [55.15–19]. A growing requirement is Pb-free solders for flip-chip interconnects. One benefit of a Pb-free flip-chip interconnect is the reduction of Pb210 -created alpha-particle radiation. All mined Pb contains a small amount of radioactive Pb210 that decays and emits alpha particles. When an alpha particle enters an active element of the Si (such as a memory cell) it has sufficient energy to cause the stored charge to be released with the result of changing stored memory from a 1 to a 0 state. There is no permanent damage to the Si itself so this radiation-induced fault is termed a soft error. The alpha particles have a low energy that is dissipated over relatively short distances. However, due to their proximity to active
Part E 55.5
Flip chip provides substantial improvements in offcircuit RF performance because the inductance is decreased as the ball height and shape is very consistent and predictable. Wirebond lengths can vary (typically ±100 µm), resulting in parasitic variations not observed in flip-chip interconnects. The number of I/O on an RF circuit is generally small so the pitch of the interconnects is generally quite large. The die interconnects on GaAs are typically Au whereas on Si they are Al. A UBM is required for Si applications because solder does not wet Al. The solder would wet the Au of the GaAs but the Au layer is very thin and would dissolve the entire Au layer very quickly so a UBM is also required for GaAs. The UBMs available for Si can also be applied to GaAs but can be simpler because the adhesion of other metals to Au is easier to achieve than it is on Al. The solder alloys for GaAs flip-chip bumps tend to be similar to that for Si but with a greater emphasis on Au-based alloys because of the desire to use a highly conductive material such as Au for very-highspeed applications. Eutectic Au–Sn, Ag and In alloys have been commonly used but the wetting of these solders is typically poor. For finer-pitch applications on GaAs (due to the small die size, not large numbers of I/O) other materials with good wetting are needed. Conductive adhesives have been explored for GaAs bumps. Work by Lin et al. [55.13] found no significant difference in electrical performance up to 2 GHz between a conductive adhesive and Au–Sn solder. The use of underfill for flip-chip packages can cause a major loss in RF energy because the high dielectric constant of the underfill polymer is greater than that of air. With a conductive adhesive localized to just the bond pads, not the entire surface of the die, this RF loss will not be observed.
55.5 Solder Interconnects
1278
Part E
Novel Materials and Selected Applications
elements, the flip-chip solder interconnects have sufficient levels of alpha-particle radiation to induce soft errors in complementary metal–oxide–semiconductor (CMOS) technology, which become more critical as the cell size on the die is reduced [55.20, 21]. For Pb–Sn solders, one solution to alpha-particle radiation is to use elemental Pb that was mined many, many years ago where the majority of Pb210 has decayed. The source of this Pb is typically found as the ballast of shipping vessels that sank almost 2000 years ago and is relatively expensive. The elemental constituents of Pbfree solders (Sn, Cu, Ag, Bi, In, Sb), however, do not radioactively decompose so alpha-particle radiation is minimal. Flip-chip interconnects are the electrical and mechanical connections between the semiconductor integrated circuit and the package [or board for direct chip attach (DCA)]. These interconnects are formed on the periphery or in an area array on the top surface of an active die. Flip-chip interconnects are formed by depositing solder onto a metallized Si wafer in the form of discrete balls, solder paste or by directly plating onto the pads on the wafer. The solder must wet and join to the pads on the Si devices so an under-bump metallurgy (UBM) is typically deposited on the Al or Cu pads on the Si. The UBM typically consists of a barrier metal (e.g., Ti or W) followed by a solder-wettable layer (e.g., Cu or Ni). The top layer of the Ni metallization is covered with a noble metal, such as Au, to prevent oxidation that
would inhibit solder wetting. The UBM also acts as a diffusion barrier between the Si and the solder and must be thick enough to withstand interactions (intermetallic formation) between the solder and UBM. Flip-chip interconnects are smaller (on the order of 100 µm in diameter) than surface-mount joints and are projected to have pitches that will shrink below 150 µm. Flipchip interconnects have a unique set of requirements. These joints must be able to withstand a potentially high level of strain mismatch between Si and an organic substrate. Flip-chip technology has moved from ceramic packaging with high-Pb solder (97.5Pb–2.5Sn) to an organic package that requires lower-temperature reflow (< 260 ◦ C). This can be accomplished by bumping the die with high-Pb solder then joining it to an organic board with eutectic Sn–Pb but this is a cost increase that is eliminated with a monolithic solder. The joints must withstand board-level reflow environments compatible with joining to organic substrates that, again, have a maximum reflow temperature of 260 ◦ C. The Pb-free solder must meet these requirements and perform at, or above, the level of performance of the Sn–Pb solder it is intended to replace. The flip-chip solder alloy is typically deposited on Si wafers either as solder paste stencil-printed on the defined UBM pads or by direct plating on the UBMs. The simplicity and low cost of plating the solder for flip-chip interconnects makes electrochemical deposition the most attractive choice for flip-chip bumping.
55.6 Substrates
Part E 55.6
The move to organic substrates is the focus of extensive development effort worldwide by both printed circuit board manufacturers and traditional suppliers that requires significant amounts of capital. Cost savings are expected by replacing ceramic substrates with organic substrates. One stated reason for moving to an organic substrate is based on the belief that, in volume production, organic materials are less expensive than ceramics due to the nature of printed circuit board processing. The organic substrate also offers an increase in electrical performance due to its lower dielectric constant (therefore, less capacitance and better speed) of 3.5 for organic versus 5.0 for ceramics. Advanced substrates for flip chip must also provide increased wireability while delivering improved electrical performance with reliability levels at least equivalent to current surface-mount applications. The
board interconnect density for flip-chip substrates must accommodate the increasing density of off-chip interconnect, and cost-effective substrate capability that combines the necessary fine-line and micro-via features must be developed. Micro-vias are the metal-filled holes that provide a conduction path between copper lines in the multiple layers of an organic substrate. Micro-via capability must also scale with line width/spacing in order to provide the via in line structures which will be necessary to support bump pitch densification. At a pitch of 250 µm, micro-vias can be created using a photolithographic process. At finer pitch, laser drilling techniques are used to create the required small via size to support the smaller capture pads and facilitate the dense routing required for flip chip. Materials with dielectric constants approaching 2.0 with coefficients of thermal expansion approach-
Packaging Materials
is forced through channels and through a constriction (called the gate) that provides back-pressure and regulates the flow rate of the molten mold compound. After passing through the gate, the mold material flows across the component being encapsulated. The heat is turned off and upon cooling the polymer cross-links, with the use of a curing agent (typically an amine) that is included in the mold compound. The mold compound material has good adhesion, good stability with regards to temperature, chemicals, and the environment. It also cures rapidly, has low permeability to moisture and high dielectric strength. The transfer mold process has the advantage that part dimensions are very well controlled. The process and materials are low cost and high-volume manufacturing is possible. An issue with the mold compound encapsulation processing is wire sweep, where the force of the viscous encapsulant can push bond wires to short with one another. This has been addressed with optimized filler size and shape and mold temperature and pressure. After molding, the difference in thermal expansion between the cured mold compound and the rest of the package (the substrate, leadframe, semiconductor device, etc.) can result in considerable stresses at the interfaces. Furthermore, the CTE of mold compounds changes when the material goes above the glass-transition temperature, Tg . Tg is the temperature at which the polymer changes from a rigid structure to a softer, more glass-
55.8 Electrically Conductive Adhesives (ECAs)
1281
like structure. Below Tg , the CTE of typical mold compounds is 20 × 10−6 /◦ C but above Tg this can increase to 60–70 × 10−6 /◦ C. The Tg of mold compounds varies but is usually 175–200 ◦ C below the solder processing temperatures, which are typically in excess of 220 ◦ C. After cooling from mold processing, the mold compound forms a compression seal with the materials that expand less (leadframe, substrate, semiconductor). However, upon heating to solder process temperatures, the stress is reversed to tensile and can result in interfacial cracking, or delamination. The interfacial cracks provide a conduit for the ingress of water or ionic contaminants that could result in corrosion of metals in the package (particularly Al bond pads). This issue must be addressed by optimizing the mold compound to the package application (materials and size) to minimize strain and to maximize interlocking mechanisms between the mold compound and the substrate/leadframe. Mold compound encapsulation is generally detrimental to RF device performance. The encapsulant materials are nonuniform and degrade performance because the electric field generated by the RF circuit in the encapsulant is nonuniform, resulting in lossy behavior. Many high-performance RF packages are designed with a cavity and are made out of ceramic with a metal lid to form the cavity. However, plastic encapsulation is required to achieve the required low-cost reliability of the package, so a trade-off must be made for performance, reliability and cost.
55.8 Electrically Conductive Adhesives (ECAs)
55.8.1 Adhesive Polymers The polymer portion of the adhesive is available in two forms: thermoplastic and thermosetting. A schematic illustration of these two types of polymers is shown in Fig. 55.10. A thermoplastic polymer is a linear chain of linked monomers (nominally, hydrogen–carbon bonds). After curing, thermoplastic polymers becomes
more rigid, but remain flexible. As the temperature is increased, these polymers essentially become molten. This provides a method to repair or replace components with thermoplastic joint area-array joints. Thermosetting materials are similar to thermoplastics, except that monomer chains become cross-linked in three dimensions during the curing stage. The number of cross-links determines the rigidity and the glass-transition temperature (Tg ) of a thermoset polymer. In general, the more cross-links, the higher the Tg . A curing agent (e.g., a hardener) can be added to thermoplastic polymers to cause the cross-linking reaction in these materials as well. Curing can be performed using UV light, heat, or catalysts. Thermosetting materials are harder than thermoplastics, but can be processed and cured below their Tg and do not become molten above the Tg . However, the curing operation is not reversible, mak-
Part E 55.8
Electrically conductive adhesives (ECAs) are composite materials consisting of a dielectric curable polymer and metallic conductive particles. ECAs are low-processtemperature alternatives to solder alloys. The polymer is an adhesive material that reacts chemically with metals to form a bond. The metallic particles in the adhesive form a network in the cured joint that forms a conduction path in area-array applications.
1284
Part E
Novel Materials and Selected Applications
range of 50–100 ◦ C, a 5 ◦ C drop in operating temperature results in a 1% increase in CMOS switching speed. From a reliability perspective, each 10 ◦ C increase in operating temperature results in a twofold increase in the die failure rate. Therefore, packaging materials and processes that improve thermal performance are very important. New materials is the area where the most significant improvements in thermal performance is possible. Improved thermal interface materials (greases, adhesives, tapes, phase-change materials) will improve heat flow out of the package. Improved adhesives and compliant sheets inside the package will improve heat dissipation from the die and can better accommodate CTE mismatch strains within the package. Composite materials for package lids, heat spreaders and heat sinks are needed. Currently, these components face a dilemma of conflicting materials properties as good materials with good thermal conduction (e.g., Al) have very high CTE (e.g., Al = 25 × 10−6 /◦ C), which can result in poor reliability. Furthermore, most lowcost thermal conductors are also electrical conductors, which makes their use in dielectric packages problematic. The development of composite materials with anisotropic conductivities can provide excellent thermal conduction with matched CTE and the potential for good electrical isolation. At the die level, the use of low-cost thin-film diamond must increase. Diamond has excellent thermal conductivity and isolation but is currently too expensive for extensive use in microelectronic packaging.
55.9.2 Thermal Issues in RF Packaging RF die can generate a great deal of heat when operating at high frequencies. This is particularly an issue with GaAs die because it has poor thermal conductivity (30 W/mK). The generated heat must be dissipated through the package. The preferred method to remove heat from wirebonded GaAs die is to thin the GaAs wafer by back-grinding to 100–125 µm. The thinner the die, the easier it is to transmit heat through the GaAs. Through-die vias are also used to help dissipate heat. The vias are formed by laser-drilling holes through the GaAs then plating the side walls with Au then thinning the wafer. Thinning the wafer not only benefits heat dissipation but also reduces the distance from the conducting layer on the surface of the die to the ground plane on the die backside, which improves impedance match in microstrip designs. The problems with through-die vias and wafer thinning are cost and die breakage. Laser-drilling and thinning process increase the cost of the die. Furthermore, GaAs is a very brittle material and the presence of vias and thinning exacerbates the potential for cracking. One method to reduce the thermal and mechanical problems associated with thermal issues on GaAs is to use flip-chip interconnects. For flip chip, the bumps act as electrical, mechanical and thermal interconnects. The bumps are on the front side of the die so ful- thickness die can be used. The challenge with flip chip as a thermal conductor is to put sufficient numbers of bumps on the die to dissipate the heat.
55.10 Summary
Part E 55.10
Materials are one of the most important aspects of microelectronic packaging. There is a need for new materials to be developed to meet the ever-changing requirements of high-speed digital and RF applications. Considerable resources are required to develop and characterize these materials. These materials must also be tested to determine their compatibility with assembly processesand
device performance and to meet industry standards. The fundamental materials characteristics that must be understood, depending upon the material, include: the dielectric constant, dielectric loss, conductivity, resistivity, moisture absorption, glass-transition temperature, strength, time-dependent deformation (creep), and fracture toughness.
Packaging Materials
References
1285
References 55.1
55.2 55.3 55.4 55.5
55.6 55.7 55.8
55.9 55.10
55.11
55.12
55.13
55.14
D. R. Frear, W. B. Jones, K. R. Kinsman: Solder Mechanics: A State of the Art Assessment (TMS, Warrendale 1991) Area Array Packaging, ed. by K. Puttlitz, P. Totta (Kluwer, Dordrecht 2001) High Temperature Electronics, ed. by P. A. McCluskey (CRC, Boca Raton 1996) J. H. Lau: Ball Grid Array Technology (McGraw–Hill, New York 1995) G. Harmann: Wire Bonding in Microelectronics Materials, Processes, Reliability, and Yield (McGraw–Hill, New York 1997) R. J. Klein Wassink: Soldering in Electronics (Electrochem. Publ., Ayr, Scottland 1989) C. A. Harper: Electronic Packaging and Interconnection Handbook (McGraw–Hill, New York 1991) Electronic Materials Handbook, Vol. 1: Packaging, ed. by M. L. Minges (ASM-Int., Materials Park, OH 1989) E. Philofsky: Intermetallic formation in Au−Al systems, Solid State Electron. 13, 1391–99 (1970) C. Horsting: Purple Plague and Au Purity, Proceedings 10th Annual IRPS (IEEE, Westmoreland, NY 1972) pp. 155–8 L. Levine, M. Sheaffer: Wirebonding strategies to meet thin film packaging requirements – Part 1, Solid State Technol. 36, 63–70 (1993) L. S. Goldman: Geometric optimization of controlled collapse interconnects, IBM J. Res. Dev. 13, 251 (1969) J.-K. Lin, J. Drye, W. Lytle, T. Scharr, R. Sharma: Conductive Polymer Bump Interconnects, Proceedings of the 46th Electronic Components Technology Conference (IEEE, Piscataway, NJ 1996) pp. 1059–68 N. C. Lee: Pb-free soldering – Where the world is going, Adv. Microelectron (IEEE, Piscataway, NJ 1999) p. 29
55.15
55.16
55.17 55.18
55.19
55.20
55.21
55.22
55.23
55.24
J. Glazer: Microstructure and mechanical properties of Pb-free solder alloys for low-cost electronic assembly: A review, J. Electron. Mater. 23, 693–700 (1994) J. Glazer: Metallurgy of low temperature Pb-free solder for electronic assembly, Int. Mater. Rev. 40, 65–93 (1995) M. Abtew, G. Selvardery: Pb-free solder in microelectronics, Mater. Sci. Eng. 27, 95–141 (2000) H. K. Seelig, D. Suraski: The Status of Pb-free Solder Alloys, Proc. 50th Electron. Comp. Tech. Conf. (IEEE, Piscataway, NJ 2000) pp. 1405–9 K. G. Snowden, C. G. Tanner, J. R. Thompson: Pbfree Soldering Interconnects: Current Status and Future Developments, Proc. 50th Electron. Comp. Tech. Conf. (IEEE, Piscataway, NJ 2000) pp. 1416– 19 Z. Hasnain, A. Ditali: Building-in reliability: Soft errors – a case study, Ann. Proc. Reliab. Phys. (IEEE, Westmoreland, NY 1992) pp. 276–80 M. W. Roberson, P. A. Deane, S. Bonafede, A. Huffman, S. Nangalia: Conversion between standard and low-alpha Pb in solder bumping production lines, J. Electron. Mater. 29, 1274–7 (2000) H. L. Hvims: Conductive adhesives for SMT and potential applications, IEEE Trans. Components Hybrids Manuf. Technol. 18, 284–91 (1995) L. C. Li, H. Lizzul, I. Kim, J. E. Sacolick, J. E. Morris: Electrical, structural and processing properties of electronic conductive adhesives, IEEE Trans. Components Hybrids Manuf. Technol. 16, 843–51 (1993) D. D. L. Chang, J. A. Fulton, H. C. Ling, M. B. Schmidt, R. E. Sinitiski, C. P. Wong: Accelerated life test of zaxis conductive adhesives, IEEE Trans. Component Hybrids Manuf. Technol. 16, 836–42 (1993)
Part E 55
1287
1
Perspectives on Electronic and Optoelectronic Materials by Tim Smeeton, Colin Humphreys
Many thanks to Dr Ron Broom for his helpful comments on this manuscript. A.5
Defects in Monocrystalline Silicon by Wilfried von Ammon
The author is greatly indebted to W. Haeckl, E. Dornberger, D. Gräf and R. Schmolke for many helpful discussions and for providing some of the figures. A.7
Photoconductivity in Materials Research by Monica Brinza, Jan Willekens, Mohammed L. Benkhedir, Guy J. Adriaenssens
The authors are grateful to the Fonds voor Wetenschappelijk Onderzoek – Vlaanderen for its financial support of their research. A.9
Acknowl.
Acknowledgements
and underpinning characterisation research programmes drawn from to illustrate this chapter. University of Nottingham: with thanks to Tom Foxon, T.S. Cheng, Sergei Novikov and Chris Statton for the provision of MBE GaN samples and supporting XRD analysis; and to Mike Fay for GaAs CBED patterns. University of Cambridge: with thanks to Colin Humphreys for provision of instrumentation; Chris Boothroyd for EDX and HAADF data on the SiGe samples; Michael Natusch for GaN EELS data; Robin Taylor for RHEED stage development; David Tricker for the Si-doped GaN micrograph; and Yan Xin for the GaN images used for dislocation analysis. University of Warwick: with thanks to Richard Kubiak and E.H.C. Parker for supplying SiGe/Si samples. Polish academy of Sciences, Warsaw: with thanks to Jan Weyher for homoepitaxial GaN samples. With thanks also to the EPSRC for funding support.
Charge Transport in Disordered Materials by S. D. Baranovskii, O. Rubel
The authors are indebted to numerous colleagues for stimulating and enlightening discussions. Among those are Boris Shklovski (University of Minnesota), Alexei Efros and Michael Raikh (Utah University), Hellmut Fritzsche (Chicago University), Peter Thomas, Walther Fuhs and Heinz Bässler (Philipps-University Marburg), Igor Zvyagin (Moscow State University) and many other colleagues. Financial support of the Deutsche Forschungsgemeinschaft is gratefully acknowledged. A.11 Ionic Conduction and Applications by Harry L. Tuller
Support from the National Science Foundation (Grant Nos. DMR-0243993 and ECS-0428696) and AROMURI under grant DAAD-0101-0566 for topics related to this work are highly appreciated. In assembling this work, I drew on earlier journal and proceedings articles published by myself or in conjunction with colleagues. In particular, I wish to acknowledge my collaborator in Ref. 2, Prof. P. Knauth of the Université de Provence, Marseille, France. B.17 Structural Characterization by Paul D. Brown
As ever, there are many people one wishes to acknowledge for their involvement in the growth, processing
B.19 Thermal Properties and Thermal Analysis: Fundamentals, Experimental Techniques and Applications by S.O. Kasap
The authors thank NSERC for financial support. B.20 Electrical Characterization of Semiconductor Materials and Devices by M. Jamal Deen, Fabien Pascal
The authors are very grateful to Drs. O. Marinov and D. Landheer for their careful review of the manuscript and their assistance. They are also grateful to several previous students and researchers whose collaborative research is discussed here. Finally, they are grateful to NSREC of Canada, the Canada Research Chair program and the CNRS of France for supporting this research. C.23 Gallium Arsenide by Mike Brozel
The author is delighted to acknowledge the help given to him over many years by his colleagues and friends both at UMIST and in industry. Specifically, he wishes to thank R. Blunt, I. R. Grant, and R. H. Wallis for their careful and critical reading of this manuscript.
1288
Acknowledgements
Acknowl.
C.24 High-Temperature Electronic Materials: Silicon Carbide and Diamond by Magnus Willander, Milan Friesel, Qamar-ul Wahab, Boris Straumal
Magnus Willander and Milan Friesel would like to thank Dr. V. Narayan for checking the text, and Dr. A. Baranzahi for letting us use Figs. 24.2–24.3. Qamar-ul Wahab thanks Mr. Amir Karim for all his support. C.25 Amorphous Semiconductors: Structure, Optical, and Electrical Properties by Kazuo Morigaki, Chisato Ogihara
We wish to thank M. Ichihara, K. Suzuki and M. Yamaguchi, Institute for Solid State Physics, University of Tokyo, for providing us with their unpublished materials (Fig. 25.7a,b). Stimulating and helpful discussions were held with Prof. S. Kugler during the stay of one of us (K.M.) at the Budapest University of Technology and Economics, for which K.M. is grateful. C.28 Dielectric Materials for Microelectronics by Robert M. Wallace
RMW gratefully acknowledges the many discussions and hard work of his colleagues and students engaged in gate-stack research. This work is supported in part by the Texas Advanced Technology Program and the Semiconductor Research Corporation. C.29 Thin Films by Robert D. Gould†
The author wishes to acknowledge the general support and encouragement of Prof. C. A. Hogarth, Department of Physics, Brunel University, and of Prof. W. Fuller, Department of Physics, Keele University. Particular thanks are also due to Prof. E. W. Williams, Electronic Engineering Group, Keele University for collaborative work and permission to reproduce Figs. 29.6 and 29.7.
D.36 II–VI Narrow-Bandgap Semiconductors for Optoelectronics by Ian M. Baker
The author wishes to express his gratitude to Mike Kinch of DRS Technologies, Kadri Vural and Jose Arias of Rockwell/Boeing and Marion Reine and coworkers at BAE SYSTEMS, Lexington for supplying material for this chapter and valuable advice. Also the advice and support from my technical colleagues, particularly: Peter Capper, Chris Maxey, Chris Jones and Les Hipwood, and my management here at SELEX Infrared, particularly Graham Hall. Thanks also to my wife, Lesley, for help with the English. D.37 Optoelectronic Devices and Materials by Stephen Sweeney, Alfred Adams
It is a pleasure to acknowledge the many people with whom the authors have worked with over the years. In particular, we would like to thank the staff and students, past and present, at the University of Surrey for their wide-ranging contributions to this work. We would also like to thank the editor, Safa Kasap, for his support and encouragement in preparing this chapter. On a personal note, SJS would like to thank his wife for her support whilst writing this chapter. D.44 Optical Nonlinearity in Photonic Glasses by Keiji Tanaka
The author would like to thank his students, K. Sugawara and N. Minamikawa, for preparing illustrations and giving comments. E.48 Photoconductors for X-Ray Image Detectors by M. Zahangir Kabir, Safa Kasap, John Rowlands
We would like to thank Dr. Randy Luhta for useful discussions. We acknowledge financial support from NSERC. E.52 High-Temperature Superconductors by Rainer Wesche
D.33 Electron Transport Within the III–V Nitride Semiconductors, GaN, AlN, and InN: A Monte Carlo Analysis by Brian E. Foutz, Stephen K. O’Leary, Michael Shur, Lester F. Eastman
Financial support from the Office of Naval Research and the Natural Sciences and Engineering Research Council of Canada is gratefully acknowledged. The use of equipment granted from the Canada Foundation for Innovation, and equipment loaned from the Canadian Microelectronics Corporation, is also acknowledged.
I wish to thank P. Bruzzone for his support and encouragement. The careful reviewing and many constructive suggestions on the manuscript by J. F. Crawford are especially appreciated. E.53 Molecular Electronics by Michael Petty
The author would like to thank the staff and students of, and visitors to, the Durham Centre for Molecular and Nanoscale Electronics, who have contributed to some of work described in this chapter. Particular thanks are due
Acknowledgements
E.54 Organic Materials for Chemical Sensing by Asim Kumar Ray
The authors are grateful to the Engineering & Physical Research Council (UK) and the European Union for financial support of their work over the last 20 years.
Gratitude is also due to Dr. S. C. Thrope of the Health Safety Executive (UK), Dr. M. Hofton of TQ Environmental Plc., Prof. K. C. Thompson of ALControl and Dr. F. A. Grunfeld of NIMA Technology for their collaboration and support. Prof. M. J. Cook of the University of East Anglia remains a generous provider of novel phthalocyanine compounds for sensing experiments over the years. Above all, the contributions from Drs. R. Capan, O. Omar and A. V. Nabok are acknowledged with gratitude.
Acknowl.
to Fraser Stoddart for useful discussions on the rotaxane switching devices and for providing Fig. 53.17a. Thanks are also due to Karl Coleman for providing the drawings of carbon nanotubes, Fig. 53.7.
1289
1291
About the Authors
Chapter D.39
Webster, NY, USA [email protected], [email protected]
Martin A. Abkowitz received his Ph.D. in Physics from Syracuse University in 1964. During the period 1964–65, Abkowitz was Andrew Mellon Postdoctoral Fellow in Physics at the University of Pittsburgh. In 1965, Abkowitz joined the Webster Research Center (now the Wilson Center for Research and Technology) of Xerox Corporation where he was a Principal Scientist until retirement in 1999. Abkowitz is currently a Visiting Scientist at the University of Rochester. He is a fellow of the American Physical Society. He has 174 publications including 35 US patents. Abkowitz has made over 250 contributed and invited presentations at international conferences.
Sadao Adachi
Chapter D.31
Gunma University Department of Electronic Engineering, Faculty of Engineering Gunma, Japan [email protected]
Sadao Adachi received his Ph.D. from Osaka University and is Professor of Electrical Engineering at Gunma University. From 1980 to 1988 he was with NTT Electrical Communication Laboratories, Japan. He has published and presented over 200 technical papers and 20 textbooks on semiconductor physics and technology. His current research interests include physical properties of semiconductors and new functional materials.
Alfred Adams
Chapter D.37
University of Surrey Advanced Technology Institute Surrey, UK [email protected]
Alfred Adams studied at Leicester University, UK, and in 1964 embarked on postdoctoral research at the University of Karlsruhe, Germany. His work on III–V semiconductors started in 1967 at the University of Surrey where he is now a Distinguished Professor. He received the Duddell medal from the Institute of Physics in 1995 for proposing the use of strained quantum wells in III–V lasers and was elected Fellow of the Royal Society in 1996.
Guy J. Adriaenssens
Chapter A.7
University of Leuven Laboratorium voor Halfgeleiderfysica Leuven, Belgium [email protected]
Guy Adriaenssens received his Ph.D. from the University of Washington, Seattle, in 1971. After a postdoctoral stay at the University of the Saarland, Germany, he joined the University of Leuven, Belgium, in 1973. His current research interests center on transport properties and the electronic density of states of amorphous semiconductors and chalcogenide glasses.
Wilfried von Ammon
Chapter A.5
Siltronic AG Research and Development Burghausen, Germany [email protected]
Dr. von Ammon studied physics at the Technical University of Munich and the University of Regensburg. In 1981, he received his Ph D. in physics from the University of Regensburg. In 1982, he joined Wacker Siltronic and has been working in research and development since then. His main focus was on silicon crystal growth (floating zone and Czochralski method), silicon-layer growth by chemical vapor deposition, neutron transmutation doping, thermal treatment of silicon and behavior of defects in silicon. In 1994, he managed a research project in collaboration with Sematech, USA, to assess 400 mm technology for the decision on the next-generation wafer. During recent years he has primarily been working on the development and improvement of 300 mm crystal-growth processes and on defect engineering of the silicon bulk.
Authors
Martin Abkowitz
1292
About the Authors
Chapter C.22
University of Southampton School of Electronics and Computer Science Southampton, UK [email protected]
Peter Ashburn received a Ph.D. degree in electrical and electronic engineering in 1974 from the University of Leeds and then joined the Philips Research Laboratories. In 1978 he joined the University of Southampton and is currently a Professor of Microelectronics. His research interests include SiGe heterojunction bipolar transistors (HBTs), ultimate CMOS and carbon nanotubes. He has published over 200 papers in the scientific literature and has authored two books on bipolar transistors.
Authors
Peter Ashburn
Mark Auslender
Chapter C.21
Ben-Gurion University of the Negev Beer Sheva Department of Electrical and Computer Engineering Beer Sheva, Israel [email protected]
Mark Auslender received a Ph.D in solid-state theory in 1977. He was a senior researcher at Institute of Metal Physics, Ural Branch of the Academy of Sciences of the USSR. In 1991 he joined the Microelectronics Laboratory at the Department of Electrical and Computer Engineering, Ben-Gurion University of the Negev, Israel as a grade A researcher. His present interests focus on micro- and nanometer-scale diffraction gratings, optical coherence in regular and disordered media.
Darren M. Bagnall
Chapter C.22
University of Southampton School of Electronics and Computer Science Southampton, UK [email protected]
Dr. Darren Bagnall is a senior lecturer in the School of Electronics and Computer Science at Southampton University. His research has included pioneering work on molecular-beam epitaxy (MBE) and chemical vapor deposition (CVD) for the development of new nano and quantum devices based on ZnO, silicon and silicon germanium. He has published over 50 refereed papers.
Ian M. Baker
Chapter D.36
SELEX Sensors and Airborne Systems Infrared Ltd. Southampton, Hampshire, UK [email protected]
Ian Baker received a Ph.D. in solid-state imaging devices at Southampton University in 1973. His career in solid-state imaging has spanned the development of visible imaging charge-coupled devices (CCDs) within Philips and second- and third-generation infrared detectors at various companies. He has published over 75 papers and has over 30 patents in the field of electro-optics. His current interest is the development of advanced third-generation infrared detectors, including 3D imaging.
Sergei Baranovskii
Chapter A.9
Philipps University Marburg Department of Physics Marburg, Germany [email protected]
Professor Sergei Baranovskii received his Ph.D. (1981) in theoretical physics from the Ioffe Physical-Technical Institute of the Russian Academy of Sciences in St. Petersburg, where he worked as a senior researcher until 1990. Since 1990 he has been working at the Philipps University Marburg, Germany, where he got a Habilitation in Theoretical Physics in 1995. His research interests are devoted to charge transport and optical properties of organic and inorganic disordered solids.
Mark Baxendale
Chapter E.50
Queen Mary, University of London Department of Physics London, UK [email protected]
Mark Baxendale is a Reader in Nanotechnology at Queen Mary, University of London since 2002. The focus of his research is the physics and applications of carbon nanotubes. These applications include molecular quantum electronic devices, probes for scanning probe microscopy and single-molecule detection.
About the Authors
Mohammed L. Benkhedir
Chapter A.7
University of Leuven Laboratorium voor Halfgeleiderfysica Leuven, Belgium MohammedLoufti.Benkhedir @fys.kuleuven.ac.be
Mohammed Benkhedir received an M.S. in Physics from the University of Annaba, Algeria, and holds a Lectureship at the Centre Universitaire de Tebessa, Algeria. He is currently studying the electronic properties and density of states in amorphous selenium by means of photoconductivity techniques at the University of Leuven, Belgium.
1293
Authors
Monica Brinza
Chapter A.7
University of Leuven Laboratorium voor Halfgeleiderfysica Leuven, Belgium [email protected]
Monica Brinza received an M.S. in Physics from the University of Bucharest, Romania and a Ph.D. from the University of Leuven, Belgium. Her current research interests focus on the transport properties of materials for photovoltaic applications and the electronic density of states of amorphous semiconductors and chalcogenide glasses.
Paul D. Brown
Chapter B.17
University of Nottingham School of Mechanical, Materials and Manufacturing Engineering Nottingham, UK [email protected]
Paul D. Brown received a Ph.D. in Applied Physics from the University of Durham in 1989. He is presently Reader in Materials Characterisation at the University of Nottingham. His present research interests are concerned with the interrelationship between the structure, property and processing of structural, functional and biomedical materials, assessed using a broad range of characterisation techniques, with emphasis on novel variants of electron microscopy.
Mike Brozel
Chapter C.23
University of Glasgow Department of Physics and Astronomy Glasgow, UK [email protected]
For most of his career, Mike Brozel has worked on the growth and assessment of semiconductors. Present investigations include instrumentation for the mapping of bulk GaAs and novel structures for silicon nuclear particle detectors. An author of over 100 papers, he was the co-author with G. E. Stillman of Properties of Gallium Arsenide, 3rd ed. and recently co-authored a chapter on GaAs in Bulk Crystal Growth.
Lukasz Brzozowski
Chapter D.45
University of Toronto Sunnybrook and Women’s Research Institute, Imaging Research/ Department of Medical Biophysics Toronto, ON, Canada [email protected]
Lukasz Brzozowski has extensive experience in optics, medical imaging, nanotechnology and product development. He is currently leading research on the development of a combined X-ray/magnetic resonance imaging (MRI) system, and on the application of photo-excitable quantum dots for the treatment of cardiovascular disease. Dr. Brzozowski was awarded the Governor General’s Gold Medal for the best applied Ph.D. from the University of Toronto in the year 2003.
Peter Capper
Chapters B.12, B.14, B.15
SELEX Sensors and Airborne Systems Infrared Ltd. Materials Team Leader Southampton, Hampshire, UK [email protected]
Peter Capper has spent some 30 years in the infrared industry growing and characterising cadmium mercury telluride (CMT) and other tellurium-based materials. He holds a patent in the bulk growth of CMT, has authored/co-authored over 100 papers and given several invited talks at international crystal growth/infrared (IR) conferences. He has edited/co-edited five books in IR materials and devices and crystal growth.
1294
About the Authors
Chapter E.51
San Jose State University San Jose, CA, USA [email protected]
R. Lawrence Comstock, Ph.D, has over 28 years of experience in computer storage system development. He was an IBM engineering manager for 25 years and was Vice President, Advanced Recording Technology at Maxtor Corporation. He received his Ph.D. in electrical engineering from Stanford University, and is the author of several books and publications. Larry has been teaching courses to graduate students at institutions such as Stanford University since 1993. He received the IEEE Fellow Award for Developments in Magnetic Storage and Magnetic Microwave Devices.
Authors
Larry Comstock
Ray DeCorby
Chapter D.43
University of Alberta Department of Electrical and Computer Engineering Edmonton, Alberta, Canada [email protected]
Ray DeCorby received his M.Sc. degree in Electrical Engineering from the University of Saskatchewan in 1995, and his Ph.D. degree in Electrical and Computer Engineering from the University of Alberta in 1998. Currently, he is an Associate Professor of Electrical and Computer Engineering at the University of Alberta. His research interests include integrated optics on silicon platforms, photonic glasses and polymers, and integrated nonlinear optics.
M. Jamal Deen
Chapter B.20
McMaster University Department of Electrical and Computer Engineering (CRL 226) Hamilton, Canada [email protected]
M. Jamal Deen is Professor and Senior Canada Research Chair in Information Technology at McMaster University, Canada. His research work is documented in 14 invited book chapters, six awarded patents, and more that 300 peer-reviewed articles. His current research interests are in microelectronics and optoelectronics. Dr. Deen was a Fulbright–Laspau Scholar, an American Vacuum Scholar, won the Electrochemical Society (ECS) Callinan Award and the Distinguished Researcher Award from the Province of Ontario. He is a fellow of the IEEE, fellow of the EIC (Engineering Institute of Canada) and a fellow of the ECS.
Leonard Dissado
Chapter A.10
The University of Leicester Department of Engineering Leicester, UK [email protected]
Professor Dissado was awarded a D.Sc. in 1990 by The University of London for his work on the theory of dielectric response and electrical breakdown phenomena. His research interests in dielectric response covers a wide range of materials from glasses and high voltage insulators, to bio-tissues. He is also co-author of the book Electrical Degradation and Breakdown in Polymers.
David Dunmur
Chapter D.38
University of Southampton School of Chemistry Southampton, UK [email protected]
David Dunmur received his D.Phil. degree from the University of Oxford in 1965. His research over more than 30 years has been concerned with the physical properties of liquid crystals, specifically their dielectric, optical, electro-optical and elastic properties. He was founding editor of Liquid Crystals Today, and the 1999 recipient of the G. W. Gray Medal of the British Liquid Crystal Society. Professor Dunmur is an Emeritus Professor of Chemistry at the University of Sheffield, and currently a Visiting Professor at the University of Southampton.
About the Authors
Chapter D.33
Cornell University Department of Electrical and Computer Engineering Ithaca, NY, USA [email protected]
Lester F. Eastman is presently the John L. Given Foundation Chair Professor of Engineering at Cornell University. He joined the faculty of Electrical Engineering at Cornell in 1957, and also serves as a member of the graduate fields of Applied Physics and Materials Science. Since 1965 he has been doing research on compound semiconductor materials, high-speed devices and circuits, and has been active in organizing workshops and conferences on these subjects elsewhere since 1965 and at Cornell from 1967. He has supervised over 100 Ph.D. theses, over 50 M.S. theses, and over 50 postdoctoral studies. In his research group effort is underway on molecular-beam epitaxy, microwave transistors, high-speed semiconductor lasers, and fundamental phenomena in compound semiconductor quantum electron and optical devices. In 1991 he was awarded the GaAs Symposium Award and the Heinrich Welker medal. He was awarded the Alexander von Humboldt Senior Fellowship in 1994, and the Aldert van der Ziel Award in 1995. The IEEE honored him with their 1999 Graduate Teaching Award and Third Millennium Medal 2000. He was recently elected Fellow of the American Physical Society, 2001 and received the University of Michigan’s William Gould Dow Lectureship award the same year. The biennial IEEE Cornell Conference on High-Performance Devices was renamed the IEEE Lester Eastman Conference in 2002. The Electron Devices Society has selected him for the 2002 recipient of the IEEE Electron Devices Society J. J. Ebers. Award. Distinguished Educator Award, 2003, for IEEE Microwave Theory and Technique Society.
Andy Edgar
Chapter D.40
Victoria University School of Chemical and Physical Sciences SCPS Wellington, New Zealand [email protected]
Dr. Edgar is an Associate Professor in the School of Chemical and Physical Sciences at Victoria University, Wellington, New Zealand, and a Principal Investigator of the MacDiarmid Institute. His current research interests are storage phosphors for radiation imaging, and glass ceramics for optoelectronic applications.
Brian E. Foutz
Chapter D.33
Cadence Design Systems Endicott, NY, USA [email protected]
Brian E. Foutz is currently a Senior Member of Consulting Staff with Cadence Design Systems. Dr. Foutz’s current research focuses on ASIC design-for-test strategies. Dr. Foutz is currently a member of the Institute of Electrical and Electronics Engineers.
Mark Fox
Chapter D.42
University of Sheffield Department of Physics and Astronomy Sheffield, UK [email protected]
Dr. Fox obtained his D.Phil. degree in physics from Oxford University in 1987. After post-doctoral work at AT&T Bell Laboratories, he was a Royal Society University Research Fellow at Oxford until 1998, when he took up his present post as a Reader in Physics at Sheffield University. His research interests include low-dimensional semiconductor structures, quantum optics and ultrafast laser spectroscopy.
Darrel Frear
Chapter E.55
RF and Power Packaging Technology Development, Freescale Semiconductor Tempe, AZ, USA [email protected]
Darrel Frear has an A.B. in Engineering Science from Dartmouth College and M.S. and Ph.D. degrees in Materials Science from the University of California, Berkeley. He is manager of Radio-frequency (RF) and Power Packaging Technology Development at Freescale Semiconductor. Previously, Darrel was at Sandia National Labs. Darrel’s background includes materials research and development to enhance processing and reliability of electronic components.
Authors
Lester F. Eastman
1295
1296
About the Authors
Chapter C.24
Chalmers University of Technology Department of Physics Göteborg, Sweden [email protected]
Milan Friesel obtained his Ph.D. in Experimental High-Pressure Physics from Chalmers University of Technology in Göteborg in 1987. From 1988 to1991 he was guest researcher at the Max Planck Institute for Metal Research in Stuttgart. Since 1994 he is Associate Professor in physics at the SIMS laboratory, Chalmers University of Technology. His research interests are superionic conductors, metals and alloys, semiconductors and characterization by the SIMS technique.
Authors
Milan Friesel
Jacek Gieraltowski
Chapter A.4
Université de Bretagne Occidentale 29285 Brest Cedex, France [email protected]
Professor Gieraltowski holds a DSc. from Warsaw University (Poland) on the basis of research done in a French CNRS laboratory in Meudon, Bellevue (Paris, France). He has been involved in research on magnetic materials (ferrites, thin films and nanowires) for more than 30 years with numerous journal papers. After several years as a senior researcher in the Res. Lab. of Polfa in Warsaw associated with the Polish Academy of Science in Warsaw, he joined the department of Physics, in Brest (France) as an assistant professor working on the physics of ferrites and magnetic materials for microwave devices. Presently, he is full professor of Physics in Brest, working on fast switching magnetization problems for high density magnetic recording, giant magnetoimpedance sensors and the application of magnetism to biological and medical applications.
Yinyan Gong
Chapter D.35
Columbia University Department of Applied Physics and Applied Mathematics New York, NY, USA [email protected]
Yinyan Gong is graduate student of Columbia University. Her Current research interests are optical and electrical properties as well as microstructures of wide-band-gap materials. She received a Guanhua fellowship from Shanghai Jiao Tong University in 1997/1998.
Robert D. Gould† Keele University Thin Films Laboratory, Department of Physics, School of Chemistry and Physics Keele, Staffordshire, UK
Chapter C.29
Dr. Robert Gould has received two doctorates from Brunel University, a Ph.D. degree in 1973, and a D.Sc. degree in 2000 for his contribution to knowledge in the physics and technology of thin films. He spent four years lecturing in Physics at the University of Science, Malaysia, before joining Keele University in 1980, where he is currently a Senior Lecturer in Physics. He has published extensive review articles on electrical conduction in both phthalocyanines and cadmium compounds, and also on high-field conduction in nanostructures. He also has research interests in insulating nitride films prepared by sputtering. Dr. Robert Gould died suddenly on March 16, 2006 and will be greatly missed by the scientific community. Editors.
Shlomo Hava
Chapter C.21
Ben-Gurion University of the Negev Beer Sheva Department of Electrical and Computer Engineering Beer Sheva, Israel [email protected]
Shlomo Hava received a Ph.D. in Electrical Engineering from the University of Delaware in 1982. Since then he has worked at the Department of Electrical and Computer Engineering at Ben-Gurion University of the Negev, Israel. Currently he is a faculty professor and head of the Microelectronics Laboratory at this department. His present research interests include micro- and nanometer-scaled diffraction gratings in optical elements and light emitters, and the effects of gamma radiation and vacuum on optoelectronic devices.
About the Authors
Colin Humphreys
Chapter .1
University of Cambridge Department of Materials Science and Metallurgy Cambridge, UK [email protected]
Colin Humphreys is the Goldsmiths’ Professor of Materials Science at Cambridge University. He is the Director of the Cambridge Centre for Gallium Nitride and has published over 500 research papers, mainly on electron microscopy and analysis, semiconductors, superconductors and high-temperature alloys. He has received various national and international medals and awards for his research.
Chapters B.14, E.46
University of Wales, Bangor Department of Chemistry Gwynedd, UK [email protected]
Professor Irvine is a Chartered Physicist and received his B.Sc degree in Physics from Loughborough University of Technology, Ph.D. in Physical Metallurgy and Science of Materials, and D.Sc. in Physics, both from the University of Birmingham. His research covers various aspects of metalorganic chemical vapor deposition (MOCVD) of compound semiconductor and oxide materials for applications such as solar cells, detectors and displays. This research has led to the development of new optical in situ monitoring devices that are now manufactured and sold worldwide. Previous appointments include Rockwell Science Centre and the Royal Signals and Radar Establishment, Malvern where he carried out pioneering research in MOCVD of II–VI semiconductors.
Minoru Isshiki
Chapters B.16, D.34
Tohoku University Institute of Multidisciplinary Research for Advanced Materials Sendai, Japan [email protected]
Minoru Isshiki is a Professor at the Tohoku University in the Institute of Multidisciplinary Research for Advanced Materials. He earned his Ph. D. in materials science at Tohoku University in 1976. Dr. Isshiki is working on the purification of metals, single-crystal growth of bulk and thin-film compound semiconductors and impurity effects on their properties.
Robert Johanson
Chapter A.2
University of Saskatchewan Department of Electrical Engineering Saskatoon, SK, Canada [email protected]
Robert Johanson is currently an Associate Professor in the Department of Electrical Engineering at the University of Saskatchewan, Canada. He obtained his A.B. (honors) (1981), M.Sc. (1983) and Ph.D. (1991) from the University of Chicago, and subsequently worked as a postdoctoral research fellow and a research associate at the University of Saskatchewan. His research interests include electrical and optical properties of amorphous semiconductors, and glasses. Dr. Johanson has published numerous journal and conference papers in this field, and is a member of the American Physical Society and the IEEE.
Tim Joyce
Chapter B.14
University of Liverpool Functional Materials Research Centre, Department of Engineering Liverpool, UK [email protected]
Tim Joyce has worked on semiconductor epitaxy since obtaining his B.Sc. in 1981 and was awarded a Ph.D. in Materials Science in 1991 at the University of Liverpool for research in metalorganic molecular-beam epitaxy (MOMBE). Current research interests include MBE of group III–N and group III–V–N semiconductors. He is currently chairman of the British Association of Crystal Growth.
M. Zahangir Kabir
Chapter E.48
Concordia University Department of Electrical and Computer Engineering Montreal, Quebec, Canada [email protected]
Zahangir Kabir received the B.Sc. and M.Sc. degrees in Electrical and Electronic Engineering from Bangladesh University of Engineering and Technology (BUET), Bangladesh, in 1994 and 1996, respectively. From 1996 to 2000 he was an Assistant Professor with the Electrical and Electronic Engineering department at BUET. He recieved his PhD from the University of Saskatchewan in 2005, and is currently Assistant Professor at Concordia Unversity in Montreal. His research interests are in the areas of imaging sensors, disordered semiconductors, and electronic materials and devices.
Authors
Stuart Irvine
1297
1298
About the Authors
Chapters A.2, A.3, B.19, E.48
University of Saskatchewan Department of Electrical Engineering Saskatoon, SK, Canada [email protected]
Safa Kasap is currently a Professor and Canada Research Chair in Electronic Materials and Devices in the Electrical Engineering Department at the University of Saskatchewan, Canada. He obtained his B Sc. (1976), M.Sc. (1978) and Ph.D. (1983) degrees from the Imperial College of Science, Technology and Medicine, University of London, specializing in amorphous semiconductors and chalcogenide glasses. In 1996 he was awarded the D.Sc. (Engineering) from London University for his research contributions to materials science in electrical engineering. He is a fellow of the Institution of Electrical Engineers, the Institute of Physics and the Institute of Materials. His research interests are in amorphous semiconductors, glasses for photonics, photoconductors, electrical, optical and thermal properties of materials, and related topics, with more than one hundred refereed journal papers in these areas. He is the author of Optoelectronics and Photonics: Principles and Practices and Principles of Electronic Materials and Devices, both textbooks are widely used by many major universities and professionals.
Authors
Safa Kasap
Alexander Kolobov
Chapter E.49
National Institute of Advanced Industrial Science and Technology Center for Applied Near-Field Optics Research Ibaraki, Japan [email protected]
Dr. A. V. Kolobov obtained his Ph.D. from the A. F. Ioffe Physico-Technical Institute at St. Petersburg, Russia. Since 1994 he has been a senior researcher at the National Institute of Advanced Industrial Science and Technology (AIST) in Japan. His current interests include, but are not limited to, amorphous semiconductors, photoinduced phenomena in solids, optical data storage and use of synchrotron radiation in materials science.
Cyril Koughia
Chapters A.2, A.3
University of Saskatchewan Department of Electrical Engineering Saskatoon, SK, Canada [email protected]
Cyril Koughia received his Ph.D. from the A. F. Ioffe Physico-Technical Institute, St. Petersburg, Russia and is a Research Associate at the University of Saskatchewan, Canada. His current research interests include the physical properties of amorphous semiconductors and glasses used in photonics and electronics.
Igor L. Kuskovsky
Chapter D.35
Queens College, City University of New York (CUNY) Department of Physics Flushing, NY, USA [email protected]
Igor L. Kuskovsky is an Assistant Professor of Physics at Queens College, CUNY. Research interests include optical and magneto-optical properties of quantum dots and nanowires, applications of low dimensional systems for bio-imaging, and microstructural, electrical and electro-optical properties of wide-band-gap semiconductors. Previously, he has done extensive work on optical properties of II–VI wide-band-gap semiconductors.
Geoffrey Luckhurst
Chapter D.38
University of Southampton School of Chemistry Southampton, UK [email protected]
Geoffrey Luckhurst was awarded his Ph.D. degree in 1965 from the University of Cambridge having studied for his B.Sc. at the University of Hull. His research into liquid crystals started in Cambridge and continues at Southampton where he is the Emeritus Professor of Chemical Physics. His work is concerned with many aspects of this interdisciplinary field including the design of novel materials, their molecular orientational order, the molecular dynamics, their macroscopic order and dynamics using both experimental and theoretical techniques. He is co-founder of the international journal, Liquid Crystals, was the recipient of the G. W. Gray Medal of the British Liquid Crystal Society in 2002 and was elected President of the International Liquid Crystal Society of which he is an Honoured Member.
About the Authors
Chapter C.26
Tokyo University of Science Research Institute for Science and Technology Chiba, Japan [email protected], a. [email protected]
Dr. Akihisa. Matsuda has an M.S. degree from Waseda University, a Ph. D. from the Tokyo Institute of Technology, and is Chief Senior Researcher at ETL MITI Japan. He is also the Director of the Research Initiative for Thin-Film Silicon Solar-Cells AIST Japan.
Authors
Akihisa Matsuda
1299
Naomi Matsuura
Chapter D.41
Sunnybrook Health Sciences Centre Department of Medical Biophysics, Imaging Research Toronto, ON, Canada [email protected]
Naomi Matsuura received her Ph.D. from the University of Toronto in 2003 for the development of 2D and 3D periodic, three-dimensional nanoscale architectures using non-lithographic parallel patterning technologies. Dr. Matsuura is currently a Canadian Institutes of Health Research (CIHR) Strategic Training Fellow in the EIRR21st Program at Toronto’s Sunnybrook Health Sciences Centre, and is investigating the development of novel nanostructures for cancer imaging and therapy.
Kazuo Morigaki
Chapter C.25
University of Tokyo Tokyo, Japan [email protected]
Kazuo Morigaki received his Ph.D. in physics from Osaka University in 1959. Since then, he has joined Osaka University, Sony Corporation Research Laboratory, Centre d’Etudes Nucléares de Saclay, University of Tokyo (Institute for Solid State Physics), Yamaguchi University, and Hiroshima Institute of Technology. He is now Professor emeritus at the University of Tokyo. His current area of interest are light-induced phenomena and the electronic states of defects in amorphous and microcrystalline silicon.
Hadis Morkoç
Chapter D.32
Virginia Commonwealth University Department of Electrical and Computer Engineering Richmond, VA, USA [email protected]
Professor Hadis Morkoc received his Ph.D. from Cornell University, and is currently the Founders Professor of Electrical Engineering and Physics at the Commonwealth Virginia University in Richmond, Virginia, where his research interest cover GaN and related III–nitride semiconductors, ZnO and perovskite oxides, and quantum wells and quantum-dot structures. Professor Morkoç has authored and coauthored a number of books on optoelectronic materials and devices, such as Nitride Semiconductors and Devices, Advanced Semiconductor and Organic Nano-Techniques, Principles and Technology of MODFETS. He has published some 1300 technical articles in electronic and optoelectronic materials and devices from fundamental materials issues to device applications. Professor Morkoç is a Fellow of IEEE, Fellow of American Association for the Advancement of Science and a Life Fellow of the American Physics Society.
Winfried Mönch
Chapter A.8
Universität Duisburg-Essen Duisburg, Germany [email protected]
Winfried Mönch received a Dr. rer. nat. degree from the Universität Göttingen in 1961. He spent three years at the AEG-Forschungsinstitut before joining the RWTH Aachen. There he qualified as university lecturer in 1968 and became Associate Professor two years later. In 1974 he was appointed Professor at the Universität Duisburg and retired in 1999. He was Walter Schottky Visiting Professor at Stanford University in 1981 and received the E. W. Müller Award 1984 of the University of Wisconsin–Milwaukee. He has authored two monographs on semiconductor surfaces and interfaces.
1300
About the Authors
Arokia Nathan
Chapter E.47
University of Waterloo Department of Electrical and Computer Engineering Waterloo, Ontario, Canada [email protected]
Arokia Nathan is a Professor in Electrical and Computer Engineering at the University of Waterloo, Canada. He held the DALSA/Natural Sciences and Engineering Research Council of Canada (NSERC) industrial research chair in sensor technology, and was a recipient of the 2001 NSERC E. W. R. Steacie Fellowship. He currently holds the Canada Research Chair in Nanoscale Elastic Circuits. His research interests lie in materials devices, and circuits pertinent to large-area electronics.
Authors
Gertrude F. Neumark
Chapter D.35
Columbia University Department of Applied Physics and Applied Mathematics New York, NY, USA [email protected]
Gertrude F. Neumark is Howe Professor of Materials Science. Current research interests are electrical and optical properties of wide bandgap semiconductors, mainly ZnSe and III–nitrides. She is Fellow of the American Physical Society and has a listing by the American Physical Society on the archival web site for Notable Women in Physics. She was awarded by the National Science Foundation (NSF) as Visiting Professor at Columbia University (1982/83), and is Panelist for the National Research Council (NRC) and NSF.
Stephen K. O’Leary
Chapters A.3, D.33
University of Regina Faculty of Engineering Regina, SK, Canada [email protected]
Stephen K. O’Leary is currently an Associate Professor in the Faculty of Engineering at the University of Regina. Professor O’Leary’s research focuses on novel electronic materials and the electron devices that use such materials. He is currently a member of the Institute of Electrical and Electronics Engineers and the Materials Research Society.
Chisato Ogihara
Chapter C.25
Yamaguchi University Department of Applied Science Ube, Japan [email protected]
Dr. C. Ogihara received his Ph.D. degree in physics from University of Tokyo in 1988. He joined the University of Strathclyde in 1989, Gifu University in 1991 and Yamaguchi University in 1993. His current research focuses on photoluminescence and light-induced creation of defects in hydrogenated amorphous silicon.
Fabien Pascal
Chapter B.20
Université Montpellier 2/CEM2-cc084 Centre d’Electronique et de Microoptoélectronique de Montpellier Montpellier, France [email protected]
Fabien Pascal is involved in a research group working in electronic devices (MOS, MODFET, MESFET, TBH Si–Ge, TBH III–V) by means of their proper background I–V /C–V characteristics and noise spectral analysis. He is also working on the technological qualification of contacts, semiconductor materials and carbon nanotubes based devices by the use of noise spectroscopy. He is author and co-author of more than 70 publications in international journals and conferences.
Michael Petty
Chapter E.53
University of Durham Department School of Engineering Durham, UK [email protected]
Michael Petty obtained his Ph.D. from Imperial College, London for work on semiconducting II–VI thin films. His current research activities encompass nanoscale architectures of organic materials, e.g. build up by techniques such as Langmuir–Blodgett deposition, self-assembly, and thermal evaporation. He has a special interest in the application of such thin layers to electronic and optoelectronic devices. He is currently Co-Director of the Durham Centre for Molecular and Nanoscale Electronics.
About the Authors
Chapter E.54
Queen Mary, University of London Department of Materials London, UK [email protected]
Asim K. Ray B Sc., M.Sc., Ph.D., D.Sc, FRSA holds the Chair in Functional Materials at Queen Mary, University of London. His research interests lie in thin-film technologies for fabrication of nanostructures and chemical and biosensors. He is a fellow of the Institution of Electrical Engineers, UK and the Institute of Physics (UK). He is a college member of the Engineering and Physical Science Research Council, UK and an honorary editor of the Proc. IEE – Circuits, Devices, and Systems.
John Rowlands
Chapter E.48
University of Toronto Department of Medical Biophysics Sunnybrook and Women’s College Health Sciences Centre Toronto, Canada [email protected]
John Rowlands is a Professor of Medical Biophysics at the University of Toronto. His research laboratories devoted to the study of X-ray imaging and positron emission tomography are located in the Research Institute of Sunnybrook and Women’s Health Sciences Centre. His research interests are the fundamental limitations of image quality in radiation imaging.
Oleg Rubel
Chapter A.9
Philipps University Marburg Department of Physics and Material Sciences Center Marburg, Germany [email protected]
Dr. Oleg Rubel received his Ph.D. in material sciences from the Zaporozhye National Technical University in Ukraine in 2001. Since 2003 he has been working as a member of the Central Technological Laboratory and of the Semiconductor Theory Group at the Philipps University Marburg. His current research activities include theoretical aspects of transport and optical properties of crystalline, amorphous and organic semiconductors where carrier localization plays a dominant role, as well as atomic-scale characterization techniques for semiconductor heterostructures.
Harry Ruda
Chapters A.2, A.3, D.41
University of Toronto Materials Science and Engineering, Electrical and Computer Engineering Toronto, Canada [email protected]
Professor Harry Ruda received his Ph.D. from MIT in 1982 in Materials Physics, and worked as an IBM postdoctoral fellow from 1982 to 1984, developing one of first theories for electron transport in selectively doped heterostructures. From 1984 to 1989 he was a senior scientist at 3M Corporation, developed some of first models for electronic transport and optical properties of wide-band-gap II–VI semiconductors. Currently he is a full professor and chair in nanotechnology at the University of Toronto, and Director of the Centre for Nanotechnology. He has published over 170 papers in international refereed journals and holds 14 patents. His current research focuses on the fabrication and modeling of quantum functional nanostructures with applications in the fields of nanoelectronics and nanophotonics.
Edward Sargent
Chapter D.45
University of Toronto Department of Electrical and Computer Engineering Toronto, Canada [email protected]
Ted Sargent is 2004-5 Visiting Professor, Nanotechnology and Photonics, in the Microphotonics Center at MIT. In 2003 he was named one of the world’s top young innovators by MIT’s Technology Review. In 2002 he was honoured by the Canadian Institute for Advanced Research as one of Canada’s top 20 researchers under the age of 40. In his research he innovates devices for networking, energy, and medicine by applying colloidal quantum dots, photonic crystals, and other advanced nanomaterials.
Authors
Asim Kumar Ray
1301
1302
About the Authors
Chapter E.47
Ignis Innovation Inc. Waterloo, Ontario, Canada [email protected]
Peyman Servati received the B.Sc. degree from the University of Tehran in 1998, and his M A.Sc. and Ph.D. degrees from the University of Waterloo, Ontario, Canada, in 2000 and 2004, respectively. His present research interest lies in disordered organic and silicon thin-film transistors (TFTs) and nanostructured materials. He was a recipient of the 2005 NSERC Doctoral Prize, NSERC Postgraduate Scholarship, and the Bronze Medal in the XXV I.Ph.O. competitions held in Beijing, China, 1994.
Authors
Peyman Servati
Derek Shaw
Chapter A.6
Hull University Hull, UK [email protected]
Dr. Derek Shaw received his B.Sc. in physics from the University of Manchester in 1950 and his Ph.D. in meteorology form Imperial College London in 1955. After spending several years in industry working on thermionic emitters and photoconductors he joined the Physics Department of Hull University in 1963. There he initiated research in semiconductor diffusion with specific interest in Si, Ge, AlSb, GaAs, GaSb, CdTe, CdS, HgCdTe and PbSnTe. In retirement his interest in HgCdTe continues in collaboration with SELEX Sensors & Airborne Systems.
Fumio Shimura
Chapter B.13
Shizuoka Institute of Science and Technology Department of Materials and Life Science Fukuroi, Shizuoka, Japan [email protected]
Dr. Shimura has been engaged in both fundamental and practical science and engineering related to semiconductor crystal technology and semiconductor device processing, and is the author of Semiconductor Silicon Crystal Technology. Fumio Shimura received his Ph.D. degree in Applied Physics from Nagoya University, Japan in 1982. He is currently a professor at the Sizuoka Institute of Science and Technology and Adjunct Professor, North Carolina State University.
Michael Shur
Chapter D.33
Renssellaer Polytechnic Institute Department of Electrical, Computer, and Systems Engineering Troy, NY, USA [email protected]
Dr. Shur is Patricia and Sheldon Roberts Professor, Professor of Physics, and Director of Broadband Center at RPI. He is fellow of the IEEE, APS, ECS, World Innovation Foundation, and a winner of van der Ziel Award and of several best conference paper awards. He holds over 30 patents on solid-state devices and was listed by the ISA as a highly quoted researcher.
Jai Singh
Chapter A.3
Charles Darwin University School of Engineering and Logistics, Faculty of Technology, B-41 Darwin, NT, Australia [email protected]
Jai Singh is Professor of Physics at the Charles Darwin University, Darwin, Australia and Fellow of the Australian Institute of Physics. He has held several visiting fellowships, including the Max Planck Institute (Germany), Visiting Professor (USA), JSPS Fellow (Japan), and Guest Professor (Denmark). His research interests are in condensed-matter theory, covering areas of excitonic processes in crystalline and amorphous semiconductors, nanostructures, and designing of solar cells. He has written two books, edited one book and four conference proceedings, and published 140 research papers.
Tim Smeeton
Chapter .1
Sharp Laboratories of Europe Oxford, UK [email protected]
Tim Smeeton received his Ph.D. from the University of Cambridge in 2005 following research into the nanostructures of InGaN quantum wells using transmission electron microscopy and X-ray scattering techniques. He is now with Sharp Laboratories of Europe, where he is researching group III–nitride quantum-dot structures and devices.
About the Authors
Chapter C.24
Russian Academy of Sciences Institute of Sold State Physics Chernogolovka, Russia [email protected]
Professor Straumal is Head of the Laboratory for Interfaces in Metals at the Institute for Solid-State Physics of the Russian Academy of Sciences at Chernogolovka, Russia, and a Full Professor at the Moscow State Institute of Steel and Alloys (Technological University) in the Faculty of Physical Chemistry. His research interests focus on grain boundaries, phase transformations, diffusion, thermodynamics, coating technologies, properties of coatings, crystal growth, and nanomaterials.
Stephen Sweeney
Chapter D.37
University of Surrey Advanced Technology Institute Guildford, Surrey, UK [email protected]
Stephen John Sweeney is a Senior Lecturer (Associate Professor) in Semiconductor Laser Physics at the Advanced Technology Institute, University of Surrey, UK. He holds a B.Sc. (hons.) in Physics and a Ph. D. in Semiconductor Laser Physics. His research interests are in low-dimensional semiconductors for use in lasers and other photonic devices with applications including communications, bio-sensing and solid-state lighting.
David Sykes
Chapter B.18
Loughborough Surface Analysis Ltd. Loughborough, UK [email protected]
David Sykes graduated from the University of Warwick in 1970 with a B.Sc. in Physics and obtained his Ph.D. from the University of Aston in 1975. Following postdoctoral positions at the Universities of Birmingham and York he moved to Loughborough University in 1978 where he remained until setting up LSA Ltd. in 1997. He is Director of Loughborough Surface Analysis Ltd. in Loughborough, UK which provides responsive, confidential, professional contract surface analysis service to industry and universities. Presently he is Chairman of the UK Surface Analysis Forum (UKSAF), Vice Chairman of the British Vacuum Council (BVC), Chairman of the ISO Technical Committee 201 Subcommittee on Data Management and Treatment in Surface Chemical Analysis (ISO TC201SC3), and Recording Secretary of the International Union for Vacuum Science, Technique and Application (IUVSTA).
Keiji Tanaka
Chapter D.44
Hokkaido University Department of Applied Physics, Graduate School of Engineering Sapporo, Japan [email protected]
After graduating from a master course at Hokkaido University in 1972, Professor Keiji Tanaka worked on photoreceptors at Canon Co. Ltd. for two years. Then, coming back to the university, and he was promoted to a professor in 1991. He is also head of the research group on Photoelectronics in Disordered Materials of the Japan Society of Applied Physics. He is interested in the optoelectronic properties of amorphous materials, specifically chalcogenide glasses, and applications. He received the first Ovshinsky Award in 2001.
Charbel Tannous
Chapter A.4
Université de Bretagne Occidentale LMB, CNRS FRE 2697 Brest Cedex, France [email protected]
Charbel Tannous holds a D.Sc. from Joseph Fourier University (Grenoble, France) and a Ph.D. from the University of Sherbrooke (Quebec, Canada). After being a Postdoctoral Fellow at Cornell University (Ithaca, USA), he joined the Department of Engineering Physics, Montreal, where he worked on 1/ f noise in fractal circuits and microelectronic device simulation. Later he joined the AGT research and development department in Calgary as a Senior Researcher working on wireless communications and nonlinear signal processing. After being at TRLabs and simultaneously Associate Professor of Electrical Engineering at the University of Saskatchewan, he joined the Université de Bretagne Occidentale in Brest, France, as a Full Professor of Physics. Presently he is working on fast switching magnetization problems for high-density magnetic recording and giant magnetoimpedance sensors.
Authors
Boris Straumal
1303
1304
About the Authors
Chapter D.32
Balikesir University Department of Physics, Faculty of Art and Science Balikesir, Turkey [email protected]
Ali Teke is currently an Associate Professor in the Physics Department at the Balıkesir University, Turkey. He received his B.Sc. (1992) and Ph. D. (1997) degrees in the Physics Departments of the Middle East Technical University, Turkey and Essex University, UK, respectively. His current research activities include the investigation of the electronic and optical properties of wide-band-gap semiconductors such as GaN and ZnO and exploring new devices for electronic and optoelectronic applications. He has published more than 30 journal and conference papers and chapters in books.
Authors
Ali Teke
Junji Tominaga
Chapter E.49
National Institute of Advanced Industrial Science and Technology, AIST Center for Applied Near-Field Optics Research, CAN-FOR Tsukuba, Japan [email protected]
Junji Tominaga received his Ph.D. in Materials Science from Cranfield Institute of Technology, UK, in 1991. After completing his Ph.D., he was a senior member of research staff at TDK Corporation and developed optical phase-change materials for rewritable CD and DVD. He joined AIST in 1997. Now, he is Director of the Center for Applied Near-Field Optics Research, CAN-FOR, Japan. His current research interests are high-density optical data storage and nanophotonics in nanotechnology.
Dan Tonchev
Chapter B.19
University of Saskatchewan Department of Electrical Engineering Saskatoon, SK, Canada [email protected]
Dan Tonchev is currently a Research Associate in Electronic and Photonic Materials in the Electrical Engineering Department at the University of Saskatchewan, Canada. He is also a Professor in Chemical Technology at the Plovdiv University in Bulgaria. He obtained his M.Sc. (1981) from the Moscow State University, and Ph.D. (1990) in materials engineering from the University of Chemical Technology and Metallurgy, Sofia, Bulgaria. His research interests are in the preparation and characterization of glasses and polymers. He has published more than 40 journal and conference papers and chapters in books, and has 14 patents (US and European). He is a member of the American Chemical Society.
Harry L. Tuller
Chapter A.11
Massachusetts Institute of Technology Department of Materials Science and Engineering, Crystal Physics and Electroceramics Laboratory Cambridge, MA, USA [email protected]
Harry Tuller received his Eng.Sc.D. from Columbia University in 1973 and joined the MIT faculty in 1975. Current research focuses on functional materials/MEMS integration and solid-state ionic-device optimization. He is Fellow of the American Ceramic Society, a von Humboldt awardee and recipient of docteur honoris causa of the Université de Provence, Marseille, France. He co-founded Boston MicroSystems and is a pioneer in micromachined SiC-based sensor arrays.
Qamar-ul Wahab
Chapter C.24
Linköping University Department of Physics, Chemistry, and Biology (IFM) Linköping, Sweden [email protected]
Qamar-ul Wahab has a Master and M.Phil. degree in Physics from Quaid-i-Azam University, Islamabad, Pakistan. He earned his PhD in 1994 from Linköping University, Sweden in the area of silicon carbide materials and devices. Currently he is working as an Associate Professor at IFM, Linköping University and Senior Researcher at Swedish Defence Research (FOI). He worked with ABB Corp. Res. from 1995–1998 in the SiC power-device program designing and fabricating 3 kV Schottky barrier diode in 4H-SiC. Current research interests are in modelling and simulations of microwave power transistors and amplifiers.
About the Authors
Chapter C.28
University of Texas at Dallas Department of Electrical Engineering Richardson, TX, USA [email protected]
Robert M. Wallace earned his Ph.D. in Physics from the University of Pittsburgh in 1988. In 1990, Wallace joined Texas Instruments and was appointed (1997) to manage materials research on advanced device concepts and the associated integration issues. In 2003, he joined the University of Texas at Dallas as a Professor of Electrical Engineering and Physics. He has over 85 publications and 65 US and international patents.
Jifeng Wang
Chapters B.16, D.34
Tohoku University Institute of Multidisciplinary Research for Advanced Materials Sendai, Japan [email protected]
Jifeng Wang received his Ph.D. degree from the Chinese Academy of Sciences in 1992. After a postdoctoral fellowship at Nagaoka University of Technology he became an Assistant Professor at the same University. From 1995 until now he has been working at Tohoku University. His current research focuses on the growth of II–VI compound semiconductors and environmental semiconductors.
David S. Weiss
Chapter D.39
NexPress Solutions, Inc. Rochester, NY, USA [email protected]
David S. Weiss is a Scientist Fellow at NexPress Solutions, Inc. (a Kodak Company), in Rochester, New York. He received his Ph.D. in chemistry from Columbia University, New York in 1969. His research interests focus on electrophotographic technologies with emphasis on organic photoreceptors. He holds 16 U.S. patents and is author on over 70 publications. He is co-author of Organic Photoreceptors for Imaging Systems.
Rainer Wesche
Chapter E.52
Swiss Federal Institute of Technology Centre de Recherches en Physique des Plasmas Lausanne, Switzerland [email protected]
Rainer Wesche studied physics at the University of Constance, Germany. After completing his diploma in 1984, he was assistant from 1985 to 1989 (Ph.D. in 1988). From 1989 to 1993 he was a research scientist at the Paul Scherrer Institute in Switzerland, where he led an experimental study of high-current applications of high-Tc superconductors funded by the Swiss National Science Foundation. Since 1994 he has been a research scientist at the Swiss Federal Institute of Technology Lausanne. His present research is in the field of applied superconductivity.
Roger Whatmore
Chapter C.27
Tyndall National Institute Lee Maltings, Cork, Ireland [email protected]
Roger Whatmore is Professor of Engineering Nanotechnology, researching the use of ferroelectrics in microsystems and nanotechnology. He has published over 200 papers and 30 patents in the field. He is a Nelson Gold Medal and Griffith Medal winner, a fellow of the Royal Academy of Engineering and a fellow of the Institute of Materials, Minerals and Mining.
Neil White
Chapter C.30
University of Southampton School of Electronics and Computer Science Highfield, Southampton, UK [email protected]
Neil White is Professor of Intelligent Sensor Systems in the School of Electronics and Computer Science at the University of Southampton, UK. He is a fellow of the Institution of Electrical Engineers (IEE) and the Institute of Physics (IOP) as well as a Senior Member of the IEEE. Professor White has published extensively in the field of sensor technology and novel sensing materials.
Authors
Robert M. Wallace
1305
1306
About the Authors
Magnus Willander
Chapter C.24
University of Gothenburg Department of Physics Göteborg, Sweden [email protected]
Magnus Willander is chaired professor in physics at Gothenburg University in Sweden. He did pioneering works on Si/SiGe heterojunction bipolar transistors (HBTs), polymer transistors, and laser transistors. His interest in soft materials in the last five to six years led to the demonstration of the water transistor and its applications. Professor Willander combines experimental and theoretical research.
Authors
Jan Willekens
Chapter A.7
University of Leuven Laboratorium voor Halfgeleiderfysica Leuven, Belgium [email protected]
Jan Willekens studied Physics at the University of Leuven, Belgium, and is currently a Physics Tutor at the Faculty of Engineering of the same University. He is using steady-state and transient photoconductivity techniques to study photogeneration and electronic transport in disordered semiconductor structures with special emphasis on polymeric blends for photovoltaic applications.
1307
Detailed Contents
List of Abbreviations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
3 4 4 4 6 8 9 10 12 14 14
Part A Fundamental Properties 2 Electrical Conduction in Metals and Semiconductors Safa Kasap, Cyril Koughia, Harry Ruda, Robert Johanson . . . . . . . . . . . . . . . . . . . . 2.1 Fundamentals: Drift Velocity, Mobility and Conductivity . . . . . . . . . . . . . 2.2 Matthiessen’s Rule . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2.3 Resistivity of Metals . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2.3.1 General Characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2.3.2 Fermi Electrons . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2.4 Solid Solutions and Nordheim’s Rule . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2.5 Carrier Scattering in Semiconductors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2.6 The Boltzmann Transport Equation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2.7 Resistivity of Thin Polycrystalline Films . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2.8 Inhomogeneous Media. Effective Media Approximation . . . . . . . . . . . . . . 2.9 The Hall Effect . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2.10 High Electric Field Transport . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2.11 Avalanche . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2.12 Two-Dimensional Electron Gas . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2.13 One Dimensional Conductance . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2.14 The Quantum Hall Effect . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
19 20 22 23 23 25 26 28 29 30 32 35 37 38 39 41 42 44
Detailed Cont.
1 Perspectives on Electronic and Optoelectronic Materials Tim Smeeton, Colin Humphreys . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1.1 The Early Years . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1.2 The Silicon Age . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1.2.1 The Transistor and Early Semiconductor Materials Development . . . . . . . . . . . . . 1.2.2 The Integrated Circuit . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1.3 The Compound Semiconductors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1.3.1 High Speed Electronics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1.3.2 Light Emitting Devices . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1.3.3 The III-Nitrides . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1.4 From Faraday to Today . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
XXIX
1308
Detailed Contents
3 Optical Properties of Electronic Materials:
Fundamentals and Characterization
Detailed Cont.
Safa Kasap, Cyril Koughia, Jai Singh, Harry Ruda, Stephen K. O’Leary . . . . . . 3.1 Optical Constants . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3.1.1 Refractive Index and Extinction Coefficient . . . . . . . . . . . . . . . . . . . . 3.1.2 Kramers–Kronig Relations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3.2 Refractive Index . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3.2.1 Cauchy Dispersion Equation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3.2.2 Sellmeier Dispersion Equation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3.2.3 Gladstone–Dale Formula . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3.2.4 Wemple–Di Dominico Dispersion Relation . . . . . . . . . . . . . . . . . . . . . 3.2.5 Group Index (N ) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3.3 Optical Absorption . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3.3.1 Lattice or Reststrahlen Absorption and Infrared Reflection . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3.3.2 Free Carrier Absorption (FCA) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3.3.3 Band-to-Band or Fundamental Absorption . . . . . . . . . . . . . . . . . . . 3.3.4 Exciton Absorption . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3.3.5 Impurity Absorption . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3.3.6 Effects of External Fields . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3.4 Thin Film Optics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3.4.1 Swanepoel’s Analysis of Optical Transmission Spectra . . . . . . . . 3.4.2 Ellipsometry . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3.5 Optical Materials . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3.5.1 Abbe Number or Constringence . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3.5.2 Optical Materials . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3.5.3 Optical Glasses . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
4 Magnetic Properties of Electronic Materials Charbel Tannous, Jacek Gieraltowski . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4.1 Traditional Magnetism . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4.1.1 Fundamental Magnetic Quantities . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4.1.2 The Hysteresis Loop . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4.1.3 Intrinsic Magnetic Properties . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4.1.4 Traditional Types of Magnetism and Classes of Magnetic Materials . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4.2 Unconventional Magnetism . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4.2.1 Conventional and Unconventional Types of Exchange and Coupling in Magnetic Materials . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4.2.2 Engineering and Growth of Thin Magnetic Films . . . . . . . . . . . . . . 4.2.3 Electronic Properties: Localized, Free and Itinerant Magnetism and Spin-Polarised Band Structure . . . . . . . . . . . . . . . . 4.2.4 Prospects for Spintronics and Quantum Information Devices . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
47 47 47 49 50 50 51 51 52 53 53 54 55 57 63 66 69 70 71 72 74 74 74 76 76
79 81 81 83 87 90 93 93 94 95 98 99
Detailed Contents
6 Diffusion in Semiconductors Derek Shaw . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6.1 Basic Concepts . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6.2 Diffusion Mechanisms . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6.2.1 Vacancy and Interstitial Diffusion Mechanisms . . . . . . . . . . . . . . . . 6.2.2 The Interstitial–Substitutional Mechanism: Dissociative and Kick-Out Mechanisms . . . . . . . . . . . . . . . . . . . . . . . . . 6.2.3 The Percolation Mechanism . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6.3 Diffusion Regimes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6.3.1 Chemical Equilibrium: Self- and Isoconcentration Diffusion . . . . . . . . . . . . . . . . . . . . . . . . . . . 6.3.2 Chemical Diffusion (or Diffusion Under Nonequilibrium Conditions) . . . . . . . . . . . . . . . 6.3.3 Recombination-Enhanced Diffusion . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6.3.4 Surface Effects . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6.3.5 Short Circuit Paths . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6.4 Internal Electric Fields . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6.5 Measurement of Diffusion Coefficients . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6.5.1 Anneal Conditions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6.5.2 Diffusion Sources . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6.5.3 Profiling Techniques . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6.5.4 Calculating the Diffusion Coefficient . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6.6 Hydrogen in Semiconductors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6.7 Diffusion in Group IV Semiconductors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6.7.1 Germanium . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6.7.2 Silicon . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6.7.3 Si1−x Gex Alloys . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6.7.4 Silicon Carbide . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6.8 Diffusion in III–V Compounds . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6.8.1 Self-Diffusion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6.8.2 Dopant Diffusion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6.8.3 Compositional Interdiffusion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
101 102 103 104 104 107 109 112 115 117
121 122 122 122 122 123 123 123 123 125 125 125 126 126 126 126 126 127 127 128 128 128 129 129 130 130 130 131
Detailed Cont.
5 Defects in Monocrystalline Silicon Wilfried von Ammon . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5.1 Technological Impact of Intrinsic Point Defects Aggregates . . . . . . . . . . . 5.2 Thermophysical Properties of Intrinsic Point Defects . . . . . . . . . . . . . . . . . . 5.3 Aggregates of Intrinsic Point Defects . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5.3.1 Experimental Observations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5.3.2 Theoretical Model: Incorporation of Intrinsic Point Defects . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5.3.3 Theoretical Model: Aggregation of Intrinsic Point Defects . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5.3.4 Effect of Impurities on Intrinsic Point Defect Aggregation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5.4 Formation of OSF Ring . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
1309
1310
Detailed Contents
6.9
Detailed Cont.
Diffusion in II–VI Compounds . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6.9.1 Self-Diffusion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6.9.2 Chemical Self-Diffusion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6.9.3 Dopant Diffusion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6.9.4 Compositional Interdiffusion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6.10 Conclusions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6.11 General Reading and References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
131 132 132 132 132 133 133 133
7 Photoconductivity in Materials Research Monica Brinza, Jan Willekens, Mohammed L. Benkhedir, Guy J. Adriaenssens . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. . . . . . . . . . . . . . . . . . . . . . . . . . 7.1 Steady State Photoconductivity Methods . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7.1.1 The Basic Single-Beam Experiment . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7.1.2 The Constant Photocurrent Method (CPM) . . . . . . . . . . . . . . . . . . . . . . 7.1.3 Dual-Beam Photoconductivity (DBP) . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7.1.4 Modulated Photoconductivity (MPC) . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7.2 Transient Photoconductivity Experiments . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7.2.1 Current Relaxation from the Steady State . . . . . . . . . . . . . . . . . . . . . . 7.2.2 Transient Photoconductivity (TPC) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7.2.3 Time-of-Flight Measurements (TOF) . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7.2.4 Interrupted Field Time-of-Flight (IFTOF) . . . . . . . . . . . . . . . . . . . . . . . . References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
137 138 138 141 141 141 142 143 143 144 145 146
8 Electronic Properties of Semiconductor Interfaces Winfried Mönch . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8.1 Experimental Database . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8.1.1 Barrier Heights of Laterally Homogeneous Schottky Contacts . 8.1.2 Band Offsets of Semiconductor Heterostructures . . . . . . . . . . . . . . 8.2 IFIGS-and-Electronegativity Theory . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8.3 Comparison of Experiment and Theory . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8.3.1 Barrier Heights of Schottky Contacts . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8.3.2 Band Offsets of Semiconductor Heterostructures . . . . . . . . . . . . . . 8.3.3 Band-Structure Lineup at Insulator Interfaces . . . . . . . . . . . . . . . . . 8.4 Final Remarks . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
147 149 149 152 153 155 155 156 158 159 159
9 Charge Transport in Disordered Materials Sergei Baranovskii, Oleg Rubel . . . . . . . . . . . . . . . . . . . . . . . .. . . . . . . . . . . . . . . . . . . . . . . . . . 9.1 General Remarks on Charge Transport in Disordered Materials . . . . . . 9.2 Charge Transport in Disordered Materials via Extended States . . . . . . . 9.3 Hopping Charge Transport in Disordered Materials via Localized States . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9.3.1 Nearest-Neighbor Hopping . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9.3.2 Variable-Range Hopping . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
161 163 167 169 170 172
Detailed Contents
9.3.3 Description of Charge-Carrier Energy Relaxation and Hopping Conduction in Inorganic Noncrystalline Materials . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9.3.4 Description of Charge Carrier Energy Relaxation and Hopping Conduction in Organic Noncrystalline Materials . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9.4 Concluding Remarks . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
180 184 185
10 Dielectric Response Leonard Dissado . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10.1 Definition of Dielectric Response . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10.1.1 Relationship to Capacitance . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10.1.2 Frequency-Dependent Susceptibility . . . . . . . . . . . . . . . . . . . . . . . . . . 10.1.3 Relationship to Refractive Index . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10.2 Frequency-Dependent Linear Responses . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10.2.1 Resonance Response . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10.2.2 Relaxation Response . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10.3 Information Contained in the Relaxation Response . . . . . . . . . . . . . . . . . . . 10.3.1 The Dielectric Increment for a Linear Response χ0 . . . . . . . . . . . 10.3.2 The Characteristic Relaxation Time (Frequency) . . . . . . . . . . . . . . 10.3.3 The Relaxation Peak Shape . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10.4 Charge Transport . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10.5 A Few Final Comments . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
187 188 188 188 189 190 190 192 196 196 199 205 208 211 211
11 Ionic Conduction and Applications Harry L. Tuller . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11.1 Conduction in Ionic Solids . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11.2 Fast Ion Conduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11.2.1 Structurally Disordered Crystalline Solids . . . . . . . . . . . . . . . . . . . . . 11.2.2 Amorphous Solids . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11.2.3 Heavily Doped Defective Solids . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11.2.4 Interfacial Ionic Conduction and Nanostructural Effects . . . . . 11.3 Mixed Ionic–Electronic Conduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11.3.1 Defect Equilibria . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11.3.2 Electrolytic Domain Boundaries . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11.4 Applications . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11.4.1 Sensors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11.4.2 Solid Oxide Fuel Cells (SOFC) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11.4.3 Membranes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11.4.4 Batteries . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11.4.5 Electrochromic Windows . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11.5 Future Trends . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
213 214 216 216 219 219 220 221 221 222 223 223 224 225 225 226 226 226
1311
173
Detailed Cont.
1312
Detailed Contents
Part B Growth and Characterization
Detailed Cont.
12 Bulk Crystal Growth – Methods and Materials Peter Capper . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12.1 History . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12.2 Techniques . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12.2.1 Verneuil . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12.2.2 Czochralski . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12.2.3 Kyropoulos . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12.2.4 Stepanov . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12.2.5 Edge-Defined Film Growth . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12.2.6 Bridgman . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12.2.7 Vertical Gradient Freeze . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12.2.8 Float Zone . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12.2.9 Travelling Heater Method (THM) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12.2.10 Low-Temperature Solution Growth . . . . . . . . . . . . . . . . . . . . . . . . . . 12.2.11 High-Temperature Solution Growth (Flux) . . . . . . . . . . . . . . . . . . 12.2.12 Hydrothermal . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12.2.13 Growth from the Vapor . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12.3 Materials Grown . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12.3.1 Group IV . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12.3.2 Groups III–V . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12.3.3 Groups II–VI . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12.3.4 Oxides/Halides/Phosphates/Borates . . . . . . . . . . . . . . . . . . . . . . . . . . . 12.4 Conclusions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
231 232 233 233 233 234 235 235 236 237 237 237 238 238 239 240 240 240 242 245 249 251 251
13 Single-Crystal Silicon: Growth and Properties Fumio Shimura . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13.1 Overview . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13.2 Starting Materials . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13.2.1 Metallurgical-Grade Silicon . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13.2.2 Polycrystalline Silicon . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13.3 Single-Crystal Growth . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13.3.1 Floating-Zone Method . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13.3.2 Czochralski Method . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13.3.3 Impurities in Czochralski Silicon . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13.4 New Crystal Growth Methods . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13.4.1 Czochralski Growth with an Applied Magnetic Field (MCZ) . . . 13.4.2 Continuous Czochralski Method (CCZ) . . . . . . . . . . . . . . . . . . . . . . . . . . 13.4.3 Neckingless Growth Method . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
255 256 257 257 257 258 259 261 264 266 266 267 267 268
14 Epitaxial Crystal Growth: Methods and Materials Peter Capper, Stuart Irvine, Tim Joyce . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14.1 Liquid-Phase Epitaxy (LPE) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14.1.1 Introduction and Background . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
271 271 271
Detailed Contents
272 272 273 275 276 278 280 280 280 280 281 283 284 286 288 290 290 290 291 293 295 296 299 299
15 Narrow-Bandgap II–VI Semiconductors: Growth Peter Capper . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15.1 Bulk Growth Techniques . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15.1.1 Phase Equilibria . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15.1.2 Crystal Growth . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15.1.3 Material Characterization . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15.2 Liquid-Phase Epitaxy (LPE) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15.2.1 Hg-Rich Growth . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15.2.2 Te-Rich Growth . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15.2.3 Material Characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15.3 Metalorganic Vapor Phase Epitaxy (MOVPE) . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15.3.1 Substrate Type and Orientation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15.3.2 Doping . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15.3.3 In Situ Monitoring . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15.4 Molecular Beam Epitaxy (MBE) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15.4.1 Double-Layer Heterojunction Structures . . . . . . . . . . . . . . . . . . . . . . 15.4.2 Multilayer Heterojunction Structures . . . . . . . . . . . . . . . . . . . . . . . . . . 15.4.3 CMT and CdZnTe Growth on Silicon . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15.5 Alternatives to CMT . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
303 304 304 304 306 308 309 309 311 312 315 316 317 317 319 319 319 320 321
Detailed Cont.
14.1.2 History and Status . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14.1.3 Characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14.1.4 Apparatus and Techniques . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14.1.5 Group IV . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14.1.6 Group III–V . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14.1.7 Group II–VI . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14.1.8 Atomically Flat Surfaces . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14.1.9 Conclusions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14.2 Metalorganic Chemical Vapor Deposition (MOCVD) . . . . . . . . . . . . . . . . . . . . . 14.2.1 Introduction and Background . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14.2.2 Basic Reaction Kinetics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14.2.3 Precursors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14.2.4 Reactor Cells . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14.2.5 III–V MOCVD . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14.2.6 II–VI MOCVD . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14.2.7 Conclusions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14.3 Molecular Beam Epitaxy (MBE) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14.3.1 Introduction and Background . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14.3.2 Reaction Mechanisms . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14.3.3 MBE Growth Systems . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14.3.4 Gas Sources in MBE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14.3.5 Growth of III–V Materials by MBE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14.3.6 Conclusions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
1313
1314
Detailed Contents
16 Wide-Bandgap II–VI Semiconductors:
Growth and Properties
Detailed Cont.
Jifeng Wang, Minoru Isshiki . . . . . . . . . . . . . . . . . . . . . . . . . . .. . . . . . . . . . . . . . . . . . . . . . . . . . 16.1 Crystal Properties . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16.1.1 Basic Properties . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16.1.2 Phase Diagram . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16.2 Epitaxial Growth . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16.2.1 The LPE Technique . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16.2.2 Vapor-Phase Epitaxy Techniques . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16.3 Bulk Crystal Growth . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16.3.1 The CVT and PVT Techniques . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16.3.2 Hydrothermal Growth . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16.3.3 Bridgman and Gradient Freezing (GF) Method . . . . . . . . . . . . . . . 16.3.4 The Traveling Heater Method (THM) . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16.3.5 Other Methods . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16.4 Conclusions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17 Structural Characterization Paul D. Brown . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17.1 Radiation–Material Interactions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17.2 Particle–Material Interactions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17.3 X-Ray Diffraction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17.4 Optics, Imaging and Electron Diffraction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17.4.1 Electron Diffraction and Image Contrast Analysis . . . . . . . . . . . . 17.4.2 Microdiffraction and Polarity . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17.4.3 Reflection High-Energy Electron Diffraction . . . . . . . . . . . . . . . . . . 17.5 Characterizing Functional Activity . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17.6 Sample Preparation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17.7 Case Studies – Complementary Characterization of Electronic and Optoelectronic Materials . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17.7.1 Identifying Defect Sources Within Homoepitaxial GaN . . . . . . . 17.7.2 Cathodoluminescence/Correlated TEM Investigation of Epitaxial GaN . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17.7.3 Scanning Transmission Electron Beam Induced Conductivity of Si/Si1−x Gex /Si(001) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17.8 Concluding Remarks . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18 Surface Chemical Analysis David Sykes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18.1 Electron Spectroscopy . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18.1.1 Auger Electron Spectroscopy . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18.1.2 X-Ray Photoelectron Spectroscopy (XPS) . . . . . . . . . . . . . . . . . . . . . . 18.2 Glow-Discharge Spectroscopies (GDOES and GDMS) . . . . . . . . . . . . . . . . . . . . 18.3 Secondary Ion Mass Spectrometry (SIMS) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18.4 Conclusion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
325 326 326 326 328 329 330 333 333 336 337 338 338 339 340
343 344 345 348 351 355 358 359 362 362 364 366 367 367 370 370
373 373 373 375 376 377 384
Detailed Contents
1315
19 Thermal Properties and Thermal Analysis:
Fundamentals, Experimental Techniques and Applications Safa Kasap, Dan Tonchev . . . . . . . . . . . . . . . . . . . . . . . . . .. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19.1
385 386 386 388 391 391 391 393 395 396 396 398 398 398 400 403 403 404 405 406
20 Electrical Characterization of Semiconductor Materials
and Devices M. Jamal Deen, Fabien Pascal . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 20.1 Resistivity . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 20.1.1 Bulk Resistivity . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 20.1.2 Contact Resistivity . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 20.2 Hall Effect . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 20.2.1 Physical Principles . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 20.2.2 Hall Scattering Factor . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 20.3 Capacitance–Voltage Measurements . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 20.3.1 Average Doping Density by Maximum–Minimum High-Frequency Capacitance Method . . . . . . . . . . . . . . . . . . . . . . . . . 20.3.2 Doping Profile by High-Frequency and High–Low Frequency Capacitance Methods . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 20.3.3 Density of Interface States . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 20.4 Current–Voltage Measurements . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 20.4.1 I–V Measurements on a Simple Diode . . . . . . . . . . . . . . . . . . . . . . . . 20.4.2 I–V Measurements on a Simple MOSFET . . . . . . . . . . . . . . . . . . . . . . . 20.4.3 Floating Gate Measurements . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 20.5 Charge Pumping . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 20.6 Low-Frequency Noise . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 20.6.1 Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 20.6.2 Noise from the Interfacial Oxide Layer . . . . . . . . . . . . . . . . . . . . . . . .
409 410 410 415 418 419 420 421 421 422 424 426 426 426 427 428 430 430 431
Detailed Cont.
Heat Capacity . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19.1.1 Fundamental Debye Heat Capacity of Crystals . . . . . . . . . . . . . . . . 19.1.2 Specific Heat Capacity of Selected Groups of Materials . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19.2 Thermal Conductivity . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19.2.1 Definition and Typical Values . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19.2.2 Thermal Conductivity of Crystalline Insulators . . . . . . . . . . . . . . . . 19.2.3 Thermal Conductivity of Noncrystalline Insulators . . . . . . . . . . . 19.2.4 Thermal Conductivity of Metals . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19.3 Thermal Expansion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19.3.1 Grüneisen’s Law and Anharmonicity . . . . . . . . . . . . . . . . . . . . . . . . . . 19.3.2 Thermal Expansion Coefficient α . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19.4 Enthalpic Thermal Properties . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19.4.1 Enthalpy, Heat Capacity and Physical Transformations . . . . . . 19.4.2 Conventional Differential Scanning Calorimetry (DSC) . . . . . . . . 19.5 Temperature-Modulated DSC (TMDSC) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19.5.1 TMDSC Principles . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19.5.2 TMDSC Applications . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19.5.3 Tzero Technology . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
1316
Detailed Contents
20.6.3 Impedance Considerations During Noise Measurement . . . . . . 20.7 Deep-Level Transient Spectroscopy . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
432 434 436
Part C Materials for Electronics
Detailed Cont.
21 Single-Crystal Silicon: Electrical and Optical Properties Shlomo Hava, Mark Auslender . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21.1 Silicon Basics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21.1.1 Structure and Energy Bands . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21.1.2 Impurity Levels and Charge-Carrier Population . . . . . . . . . . . . . . 21.1.3 Carrier Concentration, Electrical and Optical Properties . . . . . 21.1.4 Theory of Electrical and Optical Properties . . . . . . . . . . . . . . . . . . . . 21.2 Electrical Properties . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21.2.1 Ohm’s Law Regime . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21.2.2 High-Electric-Field Effects . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21.2.3 Review Material . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21.3 Optical Properties . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21.3.1 Diversity of Silicon as an Optical Material . . . . . . . . . . . . . . . . . . . . . 21.3.2 Measurements of Optical Constants . . . . . . . . . . . . . . . . . . . . . . . . . . . 21.3.3 Modeling of Optical Constants . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21.3.4 Electric-Field and Temperature Effects on Optical Constants . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 22 Silicon–Germanium: Properties, Growth and Applications Peter Ashburn, Darren M. Bagnall . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 22.1 Physical Properties of Silicon–Germanium . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 22.1.1 Critical Thickness . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 22.1.2 Band Structure . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 22.1.3 Dielectric Constant . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 22.1.4 Density of States . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 22.1.5 Majority-Carrier Mobility in Strained Si1−x Gex . . . . . . . . . . . . . . . . 22.1.6 Majority-Carrier Mobility in Tensile-Strained Si on Relaxed Si1−x Gex . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 22.1.7 Minority-Carrier Mobility in Strained Si1−x Gex . . . . . . . . . . . . . . . 22.1.8 Apparent Band-Gap Narrowing in Si1−x Gex HBTs . . . . . . . . . . . . 22.2 Optical Properties of SiGe . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 22.2.1 Dielectric Functions and Interband Transitions . . . . . . . . . . . . . . . 22.2.2 Photoluminescence . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 22.2.3 SiGe Quantum Wells . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 22.3 Growth of Silicon–Germanium . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 22.3.1 In-Situ Hydrogen Bake . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 22.3.2 Hydrogen Passivation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 22.3.3 Ultra-Clean Epitaxy Systems . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
441 441 441 443 446 447 451 451 465 471 472 472 472 474 477 478
481 482 482 483 484 484 486 486 486 487 488 488 489 490 492 492 492 492
Detailed Contents
22.3.4 Si1−x Gex Epitaxy . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 22.3.5 Selective Si1−x Gex Epitaxy . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 22.4 Polycrystalline Silicon–Germanium . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 22.4.1 Electrical Properties of Polycrystalline Si1−x Gex . . . . . . . . . . . . . . References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
492 492 494 496 497
499 502 502 503 504 506 507 507 508 509 511 511 512 513 513 513 514 514 516 517 517 518 518 521 521 522 522 522 524 524 524 524 524 525 526 526
Detailed Cont.
23 Gallium Arsenide Mike Brozel . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 23.1 Bulk Growth of GaAs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 23.1.1 Doping Considerations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 23.1.2 Horizontal Bridgman and Horizontal Gradient Freeze Techniques . . . . . . . . . . . . . . . . . . 23.1.3 Liquid-Encapsulated Czochralski (LEC) Technique . . . . . . . . . . . . 23.1.4 Vertical Gradient Freeze (VGF) Technique . . . . . . . . . . . . . . . . . . . . . 23.2 Epitaxial Growth of GaAs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 23.2.1 Liquid-Phase Epitaxy (LPE) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 23.2.2 Vapour-Phase Epitaxy (VPE) Technologies . . . . . . . . . . . . . . . . . . . . . 23.2.3 Molecular-Beam Epitaxy (MBE) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 23.2.4 Growth of Epitaxial and Pseudomorphic Structures . . . . . . . . . . 23.3 Diffusion in Gallium Arsenide . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 23.3.1 Shallow Acceptors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 23.3.2 Shallow Donors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 23.3.3 Transition Metals . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 23.4 Ion Implantation into GaAs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 23.5 Crystalline Defects in GaAs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 23.5.1 Defects in Melt-Grown GaAs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 23.5.2 Epitaxial GaAs (not Low Temperature MBE GaAs) . . . . . . . . . . . . . 23.5.3 LTMBE GaAs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 23.6 Impurity and Defect Analysis of GaAs (Chemical) . . . . . . . . . . . . . . . . . . . . . . 23.7 Impurity and Defect Analysis of GaAs (Electrical) . . . . . . . . . . . . . . . . . . . . . . 23.7.1 Introduction to Electrical Analysis of Defects in GaAs . . . . . . . . 23.8 Impurity and Defect Analysis of GaAs (Optical) . . . . . . . . . . . . . . . . . . . . . . . . . 23.8.1 Optical Analysis of Defects in GaAs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 23.9 Assessment of Complex Heterostructures . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 23.9.1 Carrier Concentration Measurements in Heterostructures . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 23.9.2 Layer Thickness and Composition Measurements . . . . . . . . . . . . 23.10 Electrical Contacts to GaAs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 23.10.1 Ohmic Contacts . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 23.10.2 Schottky Contacts . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 23.11 Devices Based on GaAs (Microwave) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 23.11.1 The Gunn Diode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 23.11.2 The Metal–Semiconductor Field-Effect Transistor (MESFET) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 23.11.3 The High-Electron-Mobility Transistor (HEMT) or Modulation Doped FET (MODFET) . . . . . . . . . . . . . . . . . . . . . . . . . . . 23.11.4 The Heterojunction Bipolar Transistor (HBT) . . . . . . . . . . . . . . . . .
1317
1318
Detailed Contents
23.12 Devices based on GaAs (Electro-optical) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 23.12.1 GaAs Emitters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 23.12.2 GaAs Modulators . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 23.12.3 GaAs Photodetectors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 23.13 Other Uses for GaAs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 23.14 Conclusions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
527 527 531 531 532 532 533
24 High-Temperature Electronic Materials:
Silicon Carbide and Diamond Detailed Cont.
Magnus Willander, Milan Friesel, Qamar-ul Wahab, Boris Straumal . . . . . . . . 24.1 Material Properties and Preparation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 24.1.1 Silicon Carbide . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 24.1.2 Diamond . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 24.2 Electronic Devices . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 24.2.1 Silicon Carbide . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 24.2.2 Diamond . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 24.3 Summary . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
537 540 540 544 547 547 551 557 558
25 Amorphous Semiconductors: Structure, Optical,
and Electrical Properties Kazuo Morigaki, Chisato Ogihara . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 25.1 Electronic States . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 25.2 Structural Properties . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 25.2.1 General Aspects . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 25.2.2 a-Si:H and Related Materials . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 25.2.3 Chalcogenide Glasses . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 25.3 Optical Properties . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 25.3.1 General Aspects . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 25.3.2 a-Si:H and Related Materials . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 25.3.3 Chalcogenide Glasses . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 25.4 Electrical Properties . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 25.4.1 General Aspects . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 25.4.2 a-Si:H and Related Materials . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 25.4.3 Chalcogenide Glasses . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 25.5 Light-Induced Phenomena . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 25.6 Nanosized Amorphous Structure . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
565 565 568 568 568 569 570 570 571 572 573 573 574 575 575 577 578
26 Amorphous and Microcrystalline Silicon Akihisa Matsuda . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 26.1 Reactions in SiH4 and SiH4 /H2 Plasmas . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 26.2 Film Growth on a Surface . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 26.2.1 Growth of a-Si:H . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 26.2.2 Growth of µc-Si:H . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
581 581 583 583 584
Detailed Contents
Defect Density Determination for a-Si:H and µc-Si:H . . . . . . . . . . . . . . . . . 26.3.1 Dangling Bond Defects . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 26.3.2 Dangling Bond Defect Density in µc-Si:H . . . . . . . . . . . . . . . . . . . . . 26.4 Device Applications . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 26.5 Recent Progress in Material Issues Related to Thin-Film Silicon Solar Cells . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 26.5.1 Controlling Photoinduced Degradation in a-Si:H . . . . . . . . . . . . 26.5.2 High Growth Rates of Device-Grade µc-Si:H . . . . . . . . . . . . . . . . . . 26.6 Summary . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
591 591 592 594 594
27 Ferroelectric Materials Roger Whatmore . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 27.0.1 Definitions and Background . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 27.0.2 Basic Ferroelectric Characteristics and Models . . . . . . . . . . . . . . . . 27.1 Ferroelectric Materials . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 27.1.1 Ferroelectric Oxides . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 27.1.2 Triglycine Sulphate (TGS) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 27.1.3 Polymeric Ferroelectrics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 27.2 Ferroelectric Materials Fabrication Technology . . . . . . . . . . . . . . . . . . . . . . . . 27.2.1 Single Crystals . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 27.2.2 Ceramics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 27.2.3 Thick Films . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 27.2.4 Thin Films . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 27.3 Ferroelectric Applications . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 27.3.1 Dielectrics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 27.3.2 Computer Memories . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 27.3.3 Piezoelectrics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 27.3.4 Pyroelectrics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
597 597 599 601 601 607 607 608 608 609 613 613 616 616 616 617 620 622
28 Dielectric Materials for Microelectronics Robert M. Wallace . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 28.0.1 The Scaling of Integrated Circuits . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 28.0.2 Role of Dielectrics for ICs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 28.1 Gate Dielectrics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 28.1.1 Transistor Structure . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 28.1.2 Transistor Dielectric Requirements in View of Scaling . . . . . . . . 28.1.3 Silicon Dioxide . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 28.1.4 Silicon Oxynitride: SiOx Ny . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 28.1.5 High-κ Dielectrics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 28.2 Isolation Dielectrics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 28.3 Capacitor Dielectrics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 28.3.1 Types of IC Memory . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 28.3.2 Capacitor Dielectric Requirements in View of Scaling . . . . . . . . 28.3.3 Dielectrics for Volatile Memory Capacitors . . . . . . . . . . . . . . . . . . . . 28.3.4 Dielectrics for Nonvolatile Memory . . . . . . . . . . . . . . . . . . . . . . . . . . . .
625 625 629 630 630 630 635 641 643 647 647 647 648 648 649
26.3
1319
589 589 590 590
Detailed Cont.
1320
Detailed Contents
28.4
Detailed Cont.
Interconnect Dielectrics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 28.4.1 Tetraethoxysilane (TEOS) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 28.4.2 Low-κ Dielectrics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 28.5 Summary . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
651 651 651 653 653
29 Thin Films Robert D. Gould† . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 29.1 Deposition Methods . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 29.1.1 Physical Deposition Methods . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 29.1.2 Chemical Deposition Methods . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 29.2 Structure . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 29.2.1 Crystallography . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 29.2.2 Film Structure . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 29.2.3 Morphology . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 29.3 Properties . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 29.3.1 Optical Properties . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 29.3.2 Electrical Properties . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 29.4 Concluding Remarks . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
659 661 661 677 682 682 683 688 692 692 696 708 711
30 Thick Films Neil White . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 30.1 Thick Film Processing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 30.1.1 Screen Printing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 30.1.2 The Drying and Firing Process . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 30.2 Substrates . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 30.2.1 Alumina . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 30.2.2 Stainless Steel . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 30.2.3 Polymer Substrates . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 30.3 Thick Film Materials . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 30.3.1 Conductors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 30.3.2 Resistors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 30.3.3 Dielectrics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 30.3.4 Polymer Thick Films . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 30.4 Components and Assembly . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 30.4.1 Passive Components . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 30.4.2 Active Components . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 30.4.3 Trimming . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 30.4.4 Wire Bonding . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 30.4.5 Soldering of Surface-Mounted Components . . . . . . . . . . . . . . . . . . 30.4.6 Packaging and Testing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 30.5 Sensors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 30.5.1 Mechanical . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 30.5.2 Thermal . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 30.5.3 Optical . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
717 718 718 719 720 720 720 720 721 721 722 723 723 724 724 725 725 726 727 727 728 728 729 730
Detailed Contents
30.5.4 Chemical . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 30.5.5 Magnetic . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 30.5.6 Actuators . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
1321
730 730 731 731
Part D Materials for Optoelectronics and Photonics
737 737 739 739 739 739 741 741 741 741 743 743 744 746 748 748 749 750 751
32 Group III Nitrides Ali Teke, Hadis Morkoç . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 32.1 Crystal Structures of Nitrides . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 32.2 Lattice Parameters of Nitrides . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 32.3 Mechanical Properties of Nitrides . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 32.4 Thermal Properties of Nitrides . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 32.4.1 Thermal Expansion Coefficients . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 32.4.2 Thermal Conductivity . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 32.4.3 Specific Heat . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 32.5 Electrical Properties of Nitrides . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 32.5.1 Low-Field Transport . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 32.5.2 High-Field Transport . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
753 755 756 757 761 761 762 764 766 766 775
735 735 736 737
Detailed Cont.
31 III-V Ternary and Quaternary Compounds Sadao Adachi . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 31.1 Introduction to III–V Ternary and Quaternary Compounds . . . . . . . . . . . 31.2 Interpolation Scheme . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 31.3 Structural Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 31.3.1 Lattice Parameters and Lattice-Matching Conditions Between III–V Quaternaries and Binary Substrates . . . . . . . . . . 31.3.2 Molecular and Crystal Densities . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 31.4 Mechanical, Elastic and Lattice Vibronic Properties . . . . . . . . . . . . . . . . . . . 31.4.1 Microhardness . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 31.4.2 Elastic Constants and Related Moduli . . . . . . . . . . . . . . . . . . . . . . . . . 31.4.3 Long-Wavelength Phonons . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 31.5 Thermal Properties . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 31.5.1 Specific Heat and Debye Temperature . . . . . . . . . . . . . . . . . . . . . . . . . 31.5.2 Thermal Expansion Coefficient . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 31.5.3 Thermal Conductivity . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 31.6 Energy Band Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 31.6.1 Bandgap Energy . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 31.6.2 Carrier Effective Mass . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 31.6.3 Deformation Potential . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 31.7 Optical Properties . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 31.7.1 The Reststrahlen Region . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 31.7.2 The Interband Transition Region . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 31.8 Carrier Transport Properties . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
1322
Detailed Contents
32.6
Optical Properties of Nitrides . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 32.6.1 Gallium Nitride . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 32.6.2 Aluminium Nitride . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 32.6.3 Indium Nitride . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 32.7 Properties of Nitride Alloys . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 32.8 Summary and Conclusions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
777 778 786 789 791 794 795
33 Electron Transport Within the III–V Nitride Semiconductors,
GaN, AlN, and InN: A Monte Carlo Analysis Detailed Cont.
Brian E. Foutz, Stephen K. O’Leary, Michael Shur, Lester F. Eastman . . . . . . . . 33.1 Electron Transport Within Semiconductors and the Monte Carlo Simulation Approach . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 33.1.1 The Boltzmann Transport Equation . . . . . . . . . . . . . . . . . . . . . . . . . . . . 33.1.2 Our Ensemble Semi-Classical Monte Carlo Simulation Approach . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 33.1.3 Parameter Selections for Bulk Wurtzite GaN, AlN, and InN . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 33.2 Steady-State and Transient Electron Transport Within Bulk Wurtzite GaN, AlN, and InN . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 33.2.1 Steady-State Electron Transport Within Bulk Wurtzite GaN . . 33.2.2 Steady-State Electron Transport: A Comparison of the III–V Nitride Semiconductors with GaAs . . . . . . . . . . . . . . . 33.2.3 Influence of Temperature on the Electron Drift Velocities Within GaN and GaAs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 33.2.4 Influence of Doping on the Electron Drift Velocities Within GaN and GaAs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 33.2.5 Electron Transport in AlN . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 33.2.6 Electron Transport in InN . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 33.2.7 Transient Electron Transport . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 33.2.8 Electron Transport: Conclusions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 33.3 Electron Transport Within III–V Nitride Semiconductors: A Review . . . 33.3.1 Evolution of the Field . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 33.3.2 Recent Developments . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 33.3.3 Future Perspectives . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 33.4 Conclusions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
815 816 818 820 822 822 822 824 825 826 826
34 II–IV Semiconductors for Optoelectronics: CdS, CdSe, CdTe Jifeng Wang, Minoru Isshiki . . . . . . . . . . . . . . . . . . . . . . . . . . .. . . . . . . . . . . . . . . . . . . . . . . . . . 34.1 Background . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 34.2 Solar Cells . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 34.2.1 Basic Description of Solar Cells . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 34.2.2 Design of Cd-Based Solar Cells . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 34.2.3 Development of CdS/CdTe Solar Cells . . . . . . . . . . . . . . . . . . . . . . . . . . . 34.2.4 CdZnTe Solar Cells . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 34.2.5 The Future of Cd-Based Solar Cells . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
829 829 829 829 830 831 834 834
805 806 807 808 808 810 811 812 812
Detailed Contents
34.3
834 835 835 836 839 840 840
35 Doping Aspects of Zn-Based Wide-Band-Gap Semiconductors Gertrude F. Neumark, Yinyan Gong, Igor L. Kuskovsky .. . . . . . . . . . . . . . . . . . . . . . . 35.1 ZnSe . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 35.1.1 Doping – Overview . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 35.1.2 Results on p-Type Material with N as the Primary Dopant . . 35.2 ZnBeSe . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 35.3 ZnO . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 35.3.1 Doping . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 35.3.2 Optical Properties . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
843 843 843 845 848 849 849 850 851
36 II–VI Narrow-Bandgap Semiconductors for Optoelectronics Ian M. Baker . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 36.0.1 Historical Perspective and Early Detectors . . . . . . . . . . . . . . . . . . . . 36.0.2 Introduction to HgCdTe . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 36.0.3 Introduction to Device Types . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 36.1 Applications and Sensor Design . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 36.2 Photoconductive Detectors in HgCdTe and Related Alloys . . . . . . . . . . . . . 36.2.1 Introduction to the Technologyof Photoconductor Arrays . . . 36.2.2 Theoretical Fundamentals for Long-Wavelength Arrays . . . . . 36.2.3 Special Case of Medium-Wavelength Arrays . . . . . . . . . . . . . . . . . . 36.2.4 Nonequilibrium Effectsin Photoconductors . . . . . . . . . . . . . . . . . . . 36.3 SPRITE Detectors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 36.4 Photoconductive Detectors in Closely Related Alloys . . . . . . . . . . . . . . . . . . 36.5 Conclusions on Photoconductive HgCdTe Detectors . . . . . . . . . . . . . . . . . . . . 36.6 Photovoltaic Devices in HgCdTe . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 36.6.1 Ideal Photovoltaic Devices . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 36.6.2 Nonideal Behavior in HgCdTe Diodes . . . . . . . . . . . . . . . . . . . . . . . . . . 36.6.3 Theoretical Foundations of HgCdTe Array Technology . . . . . . . . 36.6.4 Manufacturing Technologyfor HgCdTe Arrays . . . . . . . . . . . . . . . . . . 36.6.5 HgCdTe 2-D Arrays for the 3–5 µm (MW) Band . . . . . . . . . . . . . . . . 36.6.6 HgCdTe 2-D Arrays for the 8–12 µm (LW) Band . . . . . . . . . . . . . . . . 36.6.7 HgCdTe 2-D Arrays for the 1–3 µm (SW) Band . . . . . . . . . . . . . . . . . 36.6.8 Towards “GEN III Detectors” . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 36.6.9 Conclusions and Future Trends for Photovoltaic HgCdTe Arrays . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 36.7 Emission Devices in II–VI Semiconductors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 36.8 Potential for Reduced-Dimensionality HgTe–CdTe . . . . . . . . . . . . . . . . . . . . References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
855 856 857 857 858 860 860 861 863 863 864 866 867 867 868 869 870 873 878 879 879 880 882 882 883 883
Detailed Cont.
Radiation Detectors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 34.3.1 Basic Description of Semiconductor Radiation Detectors . . . . 34.3.2 CdTe and CdZnTe Radiation Detectors . . . . . . . . . . . . . . . . . . . . . . . . . 34.3.3 Performance of CdTe and CdZnTe Detectors . . . . . . . . . . . . . . . . . . . 34.3.4 Applications of CdTe and CdZnTe Detectors . . . . . . . . . . . . . . . . . . . . 34.4 Conclusions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
1323
1324
Detailed Contents
Detailed Cont.
37 Optoelectronic Devices and Materials Stephen Sweeney, Alfred Adams . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 37.1 Introduction to Optoelectronic Devices . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 37.1.1 Historical Perspective . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 37.2 Light-Emitting Diodes and Semiconductor Lasers . . . . . . . . . . . . . . . . . . . . . 37.2.1 Carrier–Photon Interactions in Semiconductors . . . . . . . . . . . . . . 37.2.2 Direct- and Indirect-Gap Semiconductors . . . . . . . . . . . . . . . . . . . . 37.2.3 Emission and Absorption Rates and the Einstein Relations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 37.2.4 Population Inversion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 37.2.5 Gain in Semiconductors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 37.2.6 Density of States . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 37.2.7 Optical Feedback in a Fabry–Perot Laser Cavity . . . . . . . . . . . . . . 37.2.8 Wave-Guiding . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 37.2.9 Carrier Confinement . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 37.2.10 Current Confinement . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 37.2.11 Laser Threshold and Efficiency . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 37.2.12 Carrier Recombination Processes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 37.2.13 Temperature Sensitivity and T0 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 37.3 Single-Mode Lasers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 37.3.1 DFB lasers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 37.3.2 VCSELs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 37.4 Optical Amplifiers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 37.4.1 An Introduction to Optical Amplification . . . . . . . . . . . . . . . . . . . . . . 37.4.2 Semiconductor Optical Amplifiers (SOAs) . . . . . . . . . . . . . . . . . . . . . . 37.5 Modulators . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 37.5.1 Modulator Theory . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 37.5.2 Polarisation-Insensitive Modulators . . . . . . . . . . . . . . . . . . . . . . . . . . 37.5.3 High-Speed High-Power QCSE Modulators . . . . . . . . . . . . . . . . . . . . 37.5.4 The Electro-Optic Effect . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 37.6 Photodetectors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 37.6.1 Photodetector Requirements . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 37.6.2 Photodetection Theory . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 37.6.3 Detectors with Internal Gain . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 37.6.4 Avalanche Photodetectors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 37.7 Conclusions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
891 892 892 893 896 897 898 898 899 900 903 904 904 905 906 906 907 907 907 909 910 911 911 912 912 913 913 914 915
38 Liquid Crystals David Dunmur, Geoffrey Luckhurst . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 38.1 Introduction to Liquid Crystals . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 38.1.1 Calamitic Liquid Crystals . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 38.1.2 Chiral Liquid Crystals . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 38.1.3 Discotic Liquid Crystals . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 38.2 The Basic Physics of Liquid Crystals . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 38.2.1 Orientational Order . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 38.2.2 Director Alignment . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
917 917 919 921 923 924 924 925
887 888 888 890 890 890
Detailed Contents
38.2.3 Elasticity . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 38.2.4 Flexoelectricity . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 38.2.5 Viscosity . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 38.3 Liquid-Crystal Devices . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 38.3.1 A Model Liquid-Crystal Display: Electrically Controlled Birefringence Mode (ECB) . . . . . . . . . . . . . . 38.3.2 High-Volume Commercial Displays: The Twisted Nematic (TN) and Super-Twisted Nematic (STN) Displays . . . . 38.3.3 Complex LC Displays and Other Cell Configurations . . . . . . . . . . . 38.4 Materials for Displays . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 38.4.1 Chemical Structure and Liquid-Crystal Phase Behaviour . . . . 38.4.2 The Formulation of Liquid-Crystal Display Mixtures . . . . . . . . . . 38.4.3 Relationships Between Physical Properties and Chemical Structures of Mesogens . . . . . . . . . . . . . . . . . . . . . . . . . References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
943 949
39 Organic Photoconductors David S. Weiss, Martin Abkowitz . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 39.1 Chester Carlson and Xerography . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 39.2 Operational Considerations and Critical Materials Properties . . . . . . . . . 39.2.1 Dark Conductivity . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 39.2.2 Photodischarge–Charge Transport . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 39.2.3 Photogeneration . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 39.3 OPC Characterization . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 39.3.1 Dark Decay . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 39.3.2 Photosensitivity . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 39.3.3 Electrical-Only Cycling . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 39.4 OPC Architecture and Composition . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 39.4.1 OPC Architecture . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 39.4.2 Coating Technologies . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 39.4.3 Substrate and Conductive Layer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 39.4.4 Smoothing Layer and Charge-Blocking Layer . . . . . . . . . . . . . . . . . 39.4.5 Charge-Generation Layer (CGL) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 39.4.6 Charge-Transport Layer (CTL) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 39.4.7 Backing Layer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 39.4.8 Overcoat Layer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 39.5 Photoreceptor Fabrication . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 39.6 Summary . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
953 954 956 956 957 963 965 965 965 966 967 967 968 969 969 970 974 975 975 976 977 978
40 Luminescent Materials Andy Edgar . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 40.1 Luminescent Centres . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 40.1.1 Rare-Earth Ions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 40.1.2 Transition-Metal Ions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 40.1.3 s2 Ions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 40.1.4 Semiconductors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
983 985 985 986 987 987
1325
926 928 929 931 932 935 937 940 942 942
Detailed Cont.
1326
Detailed Contents
40.2 40.3 40.4 40.5 40.6
Interaction with the Lattice . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Thermally Stimulated Luminescence . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Optically (Photo-)Stimulated Luminescence . . . . . . . . . . . . . . . . . . . . . . . . . . . Experimental Techniques – Photoluminescence . . . . . . . . . . . . . . . . . . . . . . Applications . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 40.6.1 White Light-Emitting Diodes (LEDs) . . . . . . . . . . . . . . . . . . . . . . . . . . . . 40.6.2 Long-Persistence Phosphors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 40.6.3 X-Ray Storage Phosphors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 40.6.4 Phosphors for Optical Displays . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 40.6.5 Scintillators . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 40.7 Representative Phosphors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
987 989 990 991 992 992 992 993 994 994 995 995
Detailed Cont.
41 Nano-Engineered Tunable Photonic Crystals in the Near-IR
and Visible Electromagnetic Spectrum Harry Ruda, Naomi Matsuura . . . . . . . . . . . . . . . . . . . . . . . . .. . . . . . . . . . . . . . . . . . . . . . . . . . 41.1
PC Overview . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 41.1.1 Introduction to PCs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 41.1.2 Nano-Engineering of PC Architectures . . . . . . . . . . . . . . . . . . . . . . . . 41.1.3 Materials Selection for PCs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 41.2 Traditional Fabrication Methodologies for Static PCs . . . . . . . . . . . . . . . . . . 41.2.1 2-D PC Structures . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 41.2.2 3-D PC Structures . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 41.3 Tunable PCs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 41.3.1 Tuning the PC Response by Changing the Refractive Index of the Constituent Materials . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 41.3.2 Tuning PC Response by Altering the Physical Structure of the PC . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 41.4 Summary and Conclusions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 42 Quantum Wells, Superlattices, and Band-Gap Engineering Mark Fox . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 42.1 Principles of Band-Gap Engineering and Quantum Confinement . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 42.1.1 Lattice Matching . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 42.1.2 Quantum-Confined Structures . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 42.2 Optoelectronic Properties of Quantum-Confined Structures . . . . . . . . . . 42.2.1 Electronic States in Quantum Wells and Superlattices . . . . . . . 42.2.2 Interband Optical Transitions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 42.2.3 The Quantum-Confined Stark Effect . . . . . . . . . . . . . . . . . . . . . . . . . . . 42.2.4 Inter-Sub-Band Transitions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 42.2.5 Vertical Transport . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 42.2.6 Carrier Capture and Relaxation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 42.3 Emitters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 42.3.1 Interband Light-Emitting Diodes and Lasers . . . . . . . . . . . . . . . . . 42.3.2 Quantum Cascade Lasers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
997 998 998 999 1000 1001 1001 1007 1011 1011 1012 1014 1015
1021 1022 1022 1023 1024 1024 1026 1028 1029 1030 1031 1032 1032 1033
Detailed Contents
42.4
1034 1034 1034 1035 1035 1036 1037 1038 1038
43 Glasses for Photonic Integration Ray DeCorby . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 43.1 Main Attributes of Glasses as Photonic Materials . . . . . . . . . . . . . . . . . . . . . . 43.1.1 The Glass Transition as Enabler . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 43.1.2 Metastability . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 43.1.3 Glass as Host Material . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 43.2 Glasses for Integrated Optics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 43.2.1 Low Index Glassy Films . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 43.2.2 Medium Index Glassy Films . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 43.2.3 High Index Glassy Films . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 43.3 Laser Glasses for Integrated Light Sources . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 43.3.1 Advantages of Glass-based Light Sources . . . . . . . . . . . . . . . . . . . . . 43.3.2 Alternative Glass Hosts . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 43.3.3 Progress Towards Integrated Light Sources in Glass . . . . . . . . . . 43.4 Summary . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
1041 1042 1043 1046 1049 1050 1050 1051 1051 1053 1053 1054 1056 1057 1059
44 Optical Nonlinearity in Photonic Glasses Keiji Tanaka . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 44.1 Third-Order Nonlinearity in Homogeneous Glass . . . . . . . . . . . . . . . . . . . . . . 44.1.1 Experimental . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 44.1.2 Theoretical Treatment . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 44.1.3 Stimulated Light Scattering and Supercontinuum Generation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 44.2 Second-Order Nonlinearity in Poled Glass . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 44.3 Particle-Embedded Systems . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 44.4 Photoinduced Phenomena . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 44.5 Summary . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 45 Nonlinear Optoelectronic Materials Lukasz Brzozowski, Edward Sargent . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 45.1 Background . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 45.1.1 Signal Processing in Optical Networks . . . . . . . . . . . . . . . . . . . . . . . . . 45.1.2 Optical Signal Processing Using Nonlinear Optics . . . . . . . . . . . . . 45.1.3 The Approach Taken During this Survey of Nonlinear Optoelectronic Materials . . . . . . . . . . . . . . . . . . . . . . . . .
1063 1064 1064 1065 1068 1069 1070 1071 1072 1072
1075 1075 1075 1076 1076
Detailed Cont.
Detectors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 42.4.1 Solar Cells . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 42.4.2 Avalanche Photodiodes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 42.4.3 Inter-Sub-Band Detectors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 42.4.4 Unipolar Avalanche Photodiodes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 42.5 Modulators . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 42.6 Future Directions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 42.7 Conclusions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
1327
1328
Detailed Contents
45.2
Detailed Cont.
Illumination-Dependent Refractive Index and Nonlinear Figures of Merit (FOM) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 45.2.1 Ultrafast Response . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 45.2.2 Ultrafast Nonlinear Material Figures of Merit . . . . . . . . . . . . . . . . . 45.2.3 Resonant Response . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 45.2.4 Resonant Nonlinear Material Figures of Merit . . . . . . . . . . . . . . . . 45.3 Bulk and Multi-Quantum-Well (MQW) Inorganic Crystalline Semiconductors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 45.3.1 Resonant Nonlinearities . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 45.3.2 Nonresonant Nonlinearities in Inorganic Crystalline Semiconductors . . . . . . . . . . . . . . . . . . . . . . 45.4 Organic Materials . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 45.4.1 Resonant Nonlinear Response of Organic Materials . . . . . . . . . . 45.4.2 Nonresonant Nonlinear Response of Organic Materials . . . . . . 45.5 Nanocrystals . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 45.6 Other Nonlinear Materials . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 45.7 Conclusions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
1077 1077 1078 1079 1079 1080 1080 1083 1084 1085 1086 1087 1088 1089 1089
Part E Novel Materials and Selected Applications 46 Solar Cells and Photovoltaics Stuart Irvine . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 46.1 Figures of Merit for Solar Cells . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 46.2 Crystalline Silicon . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 46.3 Amorphous Silicon . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 46.4 GaAs Solar Cells . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 46.5 CdTe Thin-Film Solar Cells . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 46.6 CuInGaSe2 (CIGS) Thin-Film Solar Cells . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 46.7 Conclusions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
1095 1096 1098 1100 1101 1102 1103 1104 1105
47 Silicon on Mechanically Flexible Substrates for Large-Area
Electronics Peyman Servati, Arokia Nathan . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 47.1 a-Si:H TFTs on Flexible Substrates . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 47.2 Field-Effect Transport in Amorphous Films . . . . . . . . . . . . . . . . . . . . . . . . . . . . 47.2.1 Localized and Extended States . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 47.2.2 Density of States (DOS) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 47.2.3 Effective Carrier Mobility . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 47.3 Electronic Transport Under Mechanical Stress . . . . . . . . . . . . . . . . . . . . . . . . . . 47.3.1 Thin-Film Strain Gauges . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 47.3.2 Strained Amorphous-Silicon Transistors . . . . . . . . . . . . . . . . . . . . . . References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
1107 1108 1108 1109 1110 1110 1113 1114 1116 1118
Detailed Contents
48 Photoconductors for X-Ray Image Detectors M. Zahangir Kabir, Safa Kasap, John Rowlands . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 48.1 X-Ray Photoconductors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 48.1.1 Ideal Photoconductor Properties . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 48.1.2 Potential Photoconductors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 48.1.3 Summary and the Future . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 48.2 Metrics of Detector Performance . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 48.2.1 X-Ray Sensitivity . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 48.2.2 Detective Quantum Efficiency . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 48.2.3 Modulation Transfer Function (MTF) . . . . . . . . . . . . . . . . . . . . . . . . . . . 48.3 Conclusion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
1329
1121 1123 1123 1124 1130 1131 1131 1133 1134 1136 1136
1140 1143 1144 1145 1145
50 Carbon Nanotubes and Bucky Materials Mark Baxendale . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 50.1 Carbon Nanotubes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 50.1.1 General . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 50.1.2 Geometry . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 50.1.3 Synthesis and Chemistry . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 50.1.4 Electronic Structure and Transport . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 50.1.5 Nanoelectronic Devices . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 50.1.6 Other Electronic Applications . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 50.2 Bucky Materials . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
1147 1147 1147 1149 1149 1150 1151 1152 1153 1153
51 Magnetic Information-Storage Materials Larry Comstock . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 51.1 Magnetic Recording Technology . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 51.1.1 Magnetic Thin Films . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 51.1.2 The Write Head . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 51.1.3 Spin Valve Read Head . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 51.1.4 Longitudinal Recording Media . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 51.1.5 Perpendicular Recording . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 51.2 Magnetic Random-Access Memory . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 51.2.1 Tunneling Magnetoresistive Heads . . . . . . . . . . . . . . . . . . . . . . . . . . . .
1155 1156 1157 1158 1162 1169 1175 1185 1188
1139 1140 1140
Detailed Cont.
49 Phase-Change Optical Recording Alexander Kolobov, Junji Tominaga . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 49.1 Digital Versatile Discs (DVDs) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 49.1.1 Questions Pertaining to DVD Recording . . . . . . . . . . . . . . . . . . . . . . . 49.1.2 Local Structure and its Changes During the Phase Transition . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 49.1.3 Related Issues . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 49.2 Super-RENS Discs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 49.3 In Lieu of Conclusion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
1330
Detailed Contents
51.3 Extraordinary Magnetoresistance (EMR) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1189 51.4 Summary . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1189 References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1189
Detailed Cont.
52 High-Temperature Superconductors Rainer Wesche . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 52.1 The Superconducting State . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 52.1.1 Characteristic Properties of Superconductors . . . . . . . . . . . . . . . . . 52.1.2 Superconductor Electrodynamics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 52.1.3 Superconductivity: A Macroscopic Quantum Phenomenon . . 52.1.4 Type II Superconductors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 52.2 Cuprate High-Tc Superconductors: An Overview . . . . . . . . . . . . . . . . . . . . . . . 52.2.1 Major Families of Cuprate Superconductors . . . . . . . . . . . . . . . . . . . 52.2.2 Generic Phase Diagram of Cuprate Superconductors . . . . . . . . . 52.2.3 Crystal Structures . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 52.2.4 Critical Temperatures . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 52.3 Physical Properties of Cuprate Superconductors . . . . . . . . . . . . . . . . . . . . . . . 52.3.1 Anisotropic Superconductors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 52.3.2 Irreversibility Line . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 52.3.3 Limitations of the Transport Critical Current . . . . . . . . . . . . . . . . . . 52.4 Superconducting Films . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 52.5 The Special Case of MgB2 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 52.6 Summary . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
1193 1195 1195 1197 1198 1200 1202 1202 1202 1204 1205 1207 1207 1208 1209 1212 1214 1216 1216
53 Molecular Electronics Michael Petty . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 53.1 Electrically Conductive Organic Compounds . . . . . . . . . . . . . . . . . . . . . . . . . . . . 53.1.1 Orbitals and Chemical Bonding . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 53.1.2 Band Theory . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 53.1.3 Electrical Conductivity . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 53.2 Materials . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 53.3 Plastic Electronics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 53.3.1 Diodes and Transistors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 53.3.2 Organic Light-Emitting Structures . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 53.3.3 Photovoltaic Devices . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 53.3.4 Chemical Sensors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 53.4 Molecular-Scale Electronics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 53.4.1 Moore’s Laws . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 53.4.2 Nanoscale Organic Films . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 53.4.3 Patterning Technologies . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 53.4.4 Molecular Device Architectures . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 53.5 DNA Electronics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 53.6 Conclusions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
1219 1220 1220 1221 1222 1223 1225 1225 1226 1227 1228 1229 1229 1230 1232 1233 1235 1236 1237
Detailed Contents
1241 1242 1243 1245 1245 1248 1250 1250 1251 1254 1255 1255 1257 1257 1259 1261 1262
55 Packaging Materials Darrel Frear . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 55.1 Package Applications . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 55.2 The Materials Challenge of Electronic Packaging . . . . . . . . . . . . . . . . . . . . . . 55.2.1 Materials Issues in High-Speed Digital Packaging . . . . . . . . . . . . 55.2.2 RF Packaging Materials Issues . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 55.3 Materials Coefficient of Thermal Expansion . . . . . . . . . . . . . . . . . . . . . . . . . . . . 55.4 Wirebond Materials . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 55.4.1 Wirebonds for Digital Applications . . . . . . . . . . . . . . . . . . . . . . . . . . . . 55.4.2 Wirebonds for RF . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 55.5 Solder Interconnects . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 55.5.1 Flip-Chip Interconnects . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 55.5.2 Flip Chip for RF . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 55.5.3 Pb-Free . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 55.6 Substrates . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 55.6.1 RF Substrate Materials . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 55.7 Underfill and Encapsulants . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 55.7.1 Underfill . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 55.7.2 Encapsulation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 55.8 Electrically Conductive Adhesives (ECAs) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 55.8.1 Adhesive Polymers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 55.8.2 Metal Fillers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 55.8.3 Conduction Mechanisms . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 55.8.4 Isotropic Versus Anisotropic Conduction . . . . . . . . . . . . . . . . . . . . . . 55.8.5 Rework . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 55.9 Thermal Issues . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 55.9.1 Thermal Issues in Digital Packaging . . . . . . . . . . . . . . . . . . . . . . . . . . . 55.9.2 Thermal Issues in RF Packaging . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
1267 1268 1269 1270 1271 1272 1272 1272 1273 1273 1276 1277 1277 1278 1279 1280 1280 1280 1281 1281 1282 1282 1282 1283 1283 1283 1284
Detailed Cont.
54 Organic Materials for Chemical Sensing Asim Kumar Ray . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 54.1 Analyte Requirements . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 54.2 Brief Review of Inorganic Materials . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 54.3 Macrocylic Compounds for Sensing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 54.3.1 Preparation of Sensing Membranes . . . . . . . . . . . . . . . . . . . . . . . . . . . 54.3.2 Thin-Film Properties . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 54.4 Sensing with Phthalocyanine and Porphyrin . . . . . . . . . . . . . . . . . . . . . . . . . . 54.4.1 Amperometric Sensor . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 54.4.2 Optical Sensors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 54.4.3 Detection of Volatile Organic Vapour Compounds . . . . . . . . . . . . 54.5 Polymeric Materials . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 54.5.1 Conducting Polymers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 54.5.2 Ion Sensing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 54.5.3 Examples of Other Polymeric Sensors . . . . . . . . . . . . . . . . . . . . . . . . . . 54.6 Cavitand Molecules . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 54.7 Concluding Remarks . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
1331
1332
Detailed Contents
55.10 Summary . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1284 References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1285
Acknowledgements . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . About the Authors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Detailed Contents . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Glossary of Defining Terms . . . . . . . . . . . . . . . . . . . . . . . . . . . .. . . . . . . . . . . . . . . . . . . . . . . . . . Subject Index . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
1287 1291 1307 1333 1367
Detailed Cont.
1333
Glossary of Defining Terms
π Band Equivalent of the valence band in an organic semiconductive material σ Bond Bond formed along the internuclear axis, between the nuclei of the atoms. In ethylene, a σ bond is formed by the overlap of two carbon sp2 hybrid orbitals π Bond Chemical bond formed between the nuclei of atoms. There are two bonding regions above and below a nodal plane containing the nuclei. In ethylene, a π-bond is formed by the overlap of p orbitals on the carbon atoms π ∗ Band Equivalent of the conduction band in an organic semiconductive material
A Abbe Number (νd ) a measure of the dispersion (wavelength dependence) of the refractive index n of transparent materials at visible wavelengths. νd = (n d − 1)/(n F − n C ), where d = 587 nm, F = 486 nm, and C = 656 nm. Typically νd takes values of 80–20, which decreases with increasing n d from 1.5 to 1.9 Absorption Coefficient (α) The absorption coefficient represents the logarithmic decrement of the incident light intensity per unit length in the material Acceptor Impurity in a semiconductor or any other electroluminescent device capable of inducing hole conduction and accepting a valence-band electron to produce an acceptor energy level Acceptor Level A level with energy E a that acquires electron captured from the valence band to an orbit near the acceptor’s atom Acceptor Concentration Number of acceptors cm−3 . It refers to the total concentration Na and the concentration of ionized acceptors Na− Accumulation The condition in which, for an MIS structure, the applied voltage to the gate electrode VG results in an increase in the density of majority carriers near the surface of the underlying semiconductor. The applied voltage is less than the flatband voltage, VG < VFB
ACRT Accelerated crucible rotation technique: a means to stir liquids in sealed ampoules, leading to improvements in crystal properties Active Material The main functional component of the thick-film paste, usually in the form of a finely divided powder Active Matrix Addressing The technique used to write and change images on complex liquid-crystal displays. Each display consists of thousands of picture elements (pixels) arranged as a matrix of columns and rows. Each pixel has a transistor switch [thin-film transistor (TFT)], which has been deposited onto one plate of the liquid-crystal display. A voltage is applied sequentially to the rows of pixels, and selected pixels within each row are switched on by data voltages on the columns. As the next row in the sequence is activated, the elements of the previous row are switched off from the data line by the TFT, but hold their charge as an electrical capacitor until the row is addressed next time in the sequence, after a full frame time. Thus the image is built up line by line, and individual pixels must hold their charge for the period of the full frame-address time Air Mass Number This is often abbreviated to AM followed by a number and characterizes the intensity and spectrum of light (modified by absorption in the atmosphere). The AM number is 0 for no air mass (i. e. for a satellite solar array), 1 for the sun directly overhead and 1.5 for the sun at 45◦ to the horizon All-Optical Switch Optical inputs are switched by other optical signals. The switching is produced in many cases by refractive-index changes. Comparing electronic devices such as transistors, in which an electrical input is controlled by an electrical current, we expect that the all-optical switch can work faster, being free from electrical noises. However, at present, in contrast to the µm scale of a transistor, an all-optical switch may have cm scales Amorphous Metaloxides Glassy alloys of a transition metal with oxygen, typical examples being TiO2 , Ta2 O5 , Nb2 O5 , and Y2 O3 . In bulk form, these materials are typically polycrystalline or crystalline ceramics. However, amorphous thin films can be deposited with relative ease, and they have been widely used as high-index layers in optical filter design and as dielectric layers in the microelectronics industry
1334
Glossary of Defining Terms
Amorphous Network Network consisting of atoms distributed randomly with a short-range order, i. e., holding a regular coordination of atoms Amorphous Semiconductors Semiconducting materials having a random network without long-range order Amorphous Silicon Thin films of silicon with a structure that is noncrystalline but which have the advantage of a higher absorption coefficient compared to crystalline silicon Amphiphilic An organic molecule possessing both polar (hydrophilic) and nonpolar (hydrophobic) chemical groups. The classic examples are fatty acids, which consist of a nonpolar (−CH2 −) chain terminating in a polar −COOH acid group Amphoteric Dopants Dopants that may serve both as acceptors and donors Amphotericity This occurs when an impurity can act either as an acceptor or a donor. In GaAs, a Si atom can take up a site on either sublattice and can act as a shallow donor or shallow acceptor Analytical Electron Microscopy The application of transmission and/or scanning electron microscopy for the purpose of structural and/or chemical microanalysis Anion The electronegative atomic component in a compound, e.g. As in GaAs, Se in ZnSe Annealing Low-temperature heat treatment, normally in the presence of Hg, with the aim of adjusting the electrical properties of materials. The process of heating and slowly cooling semiconductor materials to reverse lattice damage. As a metallurgical term annealing describes the use of heat to reduce the internal energy of a crystal. This energy may be mechanical (strain) or may represent variations in concentration, which can be reduced by diffusion, etc. Antiferromagnetically Coupled Media (AFM) See synthetic ferrimagnetic media (SFM) Anti-site Defect Occurs in semiconductor compounds and is an anion (cation) replacing a cation (anion) on a regular cation (anion) lattice site e.g. AsGa (GaAs ). The anion AsGa (cation GaAs ) anti-site is expected to be a donor (acceptor) in the III–Vs and similarly in the II–VIs Apparent Bandgap Narrowing Definition of apparent bandgap seen in bipolar transistors due to the combined effects of bandgap narrowing due to heavy doping and the use of Fermi–Dirac statistics
Areal Density Number of bits per unit area stored on a recording medium. In disk drives, it is the product of the bits/unit length times the number of tracks per unit length (usually inches and the areal density is frequently quoted in Gbits/in2 ) Arrhenius Relation A general expression connecting the value of a parameter in a thermally activated process to the absolute temperature T . So a diffusivity D = D0 exp(−Q/kB T ) where D0 , Q and kB are the pre-exponential factor, the activation energy for diffusion and Boltzmann’s constant, respectively Astigmatism A defect in the performance of a lens arising from the lens asymmetry about the optic axis, leading to loss of sharpness of an image at focus A-Swirl A network of dislocations loops. The origin is a stacking fault of Si interstitials. The size of the dislocation loops can be many µm Attenuated Total Reflection (ATR) Technique to measure infrared absorption spectra of thin films on a prism with enhanced sensitivity utilizing multiple reflections of an infrared beam in the prism Auger Electron Spectroscopy (AES) A method in which an electron spectrometer is used to measure the energy distribution of Auger electrons emitted from a surface Auto-compensation Often associated with amphotericity, auto-compensation occurs when the incorporation of electrically active impurities of one type leads to the automatic incorporation of electrically active defects of the opposite type. As an example, the doping of melt-grown GaAs with donors always leads to inadvertent incorporation of acceptors at about one tenth of the donor concentration
B Back End of Line (BEOL) A term generally describing the final points in the IC fabrication process line, such as the interconnection and packaging fabrication steps. These process steps generally require lower thermal budgets Backscattered Electrons Incident electrons that have interacted with atomic nuclei and scattered backwards with comparable incident energy. They may be used for sample imaging with contrast dependent on the local averaged atomic number Baldereschi Concept Mean-value k-points in the Brillouin zone are defined such that the value that any given periodic function of
Glossary of Defining Terms
the wavevector assumes at these special k-points is an excellent approximation to the average value of the same function throughout the Brillouin zone Band Offset The valence- and conduction-band offsets describe the corresponding band-edge discontinuities at intimate and abrupt semiconductor–semiconductor interfaces Band Alignment Type I: electrons and holes are confined within the same layer. Type II: electrons and holes are confined in different (adjacent) layers Band Filling An effect that takes place when a semiconductor is illuminated with light at a frequency within the absorbing region. Upon absorption of the incident photons, the electrons undergo a transition from an occupied band (VB) to the empty band (CB), thereby partially populating the empty band Bandgap (BG), Band Gap or Energy Gap The forbidden energy gap between the valence band, normally filled with electrons, and the conduction band, normally empty of electrons. The bandgap energy measures the energy difference between the top of the valence band and the bottom of the conduction band. The optical BG is the photon energy above which semiconductor absorbs energy of incident EM radiation. It may be direct or indirect, depending on type of electron transition from VB to CB the radiation induces; in Si, the optical BG is indirect with E g = 1.17 eV at 4.2 K Bandgap Engineering The science of tailoring the semiconductor band gap to control the electrical and optical properties of the material Barrier Height The barrier height of a Schottky contact is the energy distance right at the metal–semiconductor interface from the Fermi level to the majority-carrier band-edge, i. e., the conduction-band maximum in n-type and the valence-band maximum in p-type contacts Beam Effective Pressure Ratio In molecular beam epitaxy, this is the ratio between the partial pressures of the various components of the molecular source beams BEEM (Ballistic-Electron-Emission Spectroscopy) Technique A method to determine the barrier heights of Schottky contacts by tunnel injection of almost monoenergetic electrons from a biased metal tip into metal–semiconductor contacts that pass the metal films as ballistic electrons and are collected by the semiconductors, provided their energy is high enough to overcome the interfacial barriers Birefringent Crystals Crystals such as calcite that are optically anisotropic, which leads to an incident light beam becoming
separated into ordinary (o-) and extraordinary (e-) waves with orthogonal polarizations; incident light becomes doubly refracted because these two waves experience different refractive indices n o and n e BLIP Abbreviation for background-limited performance, which describes the best signal-to-noise performance that can be achieved with a detector. In this condition the only noise stems from the random arrival rate of photons and is therefore at a theoretical minimum Blocking Temperature Temperature where the magnetic exchange coupling between an antiferromagnet and a ferromagnet vanishes Boltzmann Equation (BE) Generally an integro-differential equation that governs the carriers’ distribution function. At equilibrium the BE is automatically satisfied by a Fermi distribution. The generalized BE is a version revised to include quantum effects in the carrier’s scattering and interaction with EM fields. Methods for the solution of the BE include the relaxation time, variational, displaced Maxwellian and Monte Carlo methods Boule There are many terms used for large crystals. These include boule, ingot and crystal. The top of the crystal is called the seed end, the first to solidify, etc. The bottom is called the tail or tang end Bragg’s Law Can be summarized by the defining equation λ = 2dhkl sin θ, which must be satisfied for constructive interference to occur, corresponding to maxima in the positions of diffracted intensity Bravais Lattice A repetitive arrangement of points in space such that the environment of each point is identically similar to that of every other point. 14 such arrangements are used to describe crystal systems Bremsstrahlung Electromagnetic radiation produced by the rapid deceleration of an electron in the vicinity of an atomic nucleus Bridgman Growth (Technique) Technique developed in order to grow compounds where one component is volatile at the growth temperature. The growth is carried out by physically withdrawing the melt from its furnace, while the melt crystallizes on a seed. The volatile component is replenished from a reservoir in a separate furnace. The arrangement of the equipment can be horizontal or vertical, the former offering the possibility of viewing the top of the solid/liquid interface and giving visual feedback of the growth process Brillouin Zone (BZ) A primitive cell in the reciprocal-space lattice, which proves to be body-centered cubic (BCC) for Si. The
1335
1336
Glossary of Defining Terms
BZ provides the domain of wavevectors k, which relate to the electron momentum in crystal p = k. Broadband Sensitizer Typically a species that is co-doped along with rare-earth ions into a glass host to increase the pumping efficiency or radiative efficiency of the rare-earth ions. Various sensitizers have been demonstrated, including silicon nanoclusters, silver ions, and other rare-earth ions (such as in the sensitization of erbium by ytterbium) Brooks–Herring (BH) Formula Celebrated equation for the carrier’s momentum relaxation time due to scattering by ionized impurities Bulk Modulus Ratio of the compressive or tensile force applied to a substance per unit surface area to the change in volume of the substance per unit volume
C C/V Technique (Capacitance–Voltage Technique) The C/V technique is a method to determine barrier heights of Schottky contacts from the variation of their capacitance as a function of applied voltage Calamitic Denotes the rod-like shape of the molecules forming the majority of liquid-crystal phases that, therefore, are known as calamitic liquid crystals Capacitance Equivalent Thickness (CET) Theoretical thickness of SiO2 that would be required to achieve the same capacitance density as an alternative dielectric material. This is extracted directly from, for example, the accumulation region of a C–V curve and has no further correction Capacitance–Voltage (C–V) C–V measurements of a MOS capacitor can be used to determine the oxide thickness and the amount of electrically active defects (fixed charge, trapped charge, mobile charge, and interface trapped charge) and mobile contaminants in the oxide. It can also be used to determine the oxide thickness and the profile of dopants at the semiconductor surface. It is a common characterization technique used in wafer fabrication facilities Carrier Mobility Measure of the effect of applying an electric field to charge carriers (electrons or holes). It is the additional velocity acquired by the carriers (drift velocity) divided by the electric field Carrier Range Determines how far an injected carrier moves per unit field before becoming immobilized in a deep trap. Sometimes, it is calculated as the product of the drift mobility and lifetime Carrier Concentration Number of the current carriers per cm−3 . It can be
electron concentration n and/or holes concentration p. The intrinsic carrier concentration (n i ) is n = p in a perfect crystal semiconductor that has not been doped (i. e., an intrinsic semiconductor). The value of n i for Si is approximately to 1.08 × 1010 cm−3 at 300 K Carrier Velocity, Microscopic Gradient of specific band spectrum E s (k) with respect to p Cascaded Second-Order Materials Materials that exhibit second-order nonlinear properties that act as an effective third-order nonlinear material Cathodoluminescence Luminescence stimulated by energetic electrons Cation Electropositive atomic component in a compound, e.g. Ga in GaAs, Cd in CdS Cd-Based Compound Semiconductor Semiconductor that contains the element Cd Centro-symmetric A crystal structure in which a center of inversion exists is called centro-symmetric. There are 32 crystal classes, among which 11 are centro-symmetric Cermets Standard form of thick films requiring exposure to a high-temperature firing cycle, typically in the range 700–1000 ◦ C Chalcogenide Glasses Glasses that are amorphous alloys containing S, Se, and/or Te. Typical examples include Se, GeS2 , GeSe2 , As2 S3 , As2 Se3 , and As2 Te3 . By intermixing these and other binary chalcogenide glasses, a wide variety of multicomponent glasses can be formed. Further, a wide range of nonstoichiometric compositions is possible. Several compositions have become standard industrial materials, including Ge33 As12 Se55 and Ge28 Sb12 Se60 . The chalcogenide glasses are characterized by narrow bandgaps and good transparency in the mid- to far-infrared wavelength range Characteristic X-Ray An X-ray photon generated by the transition of an outer-shell electron to an inner-shell vacancy of an atom, with energy characteristic of the element Charge-Blocking Layer A layer that is sometimes placed between the conductive layer and the subsequently coated layer (usually the charge-generation layer) to prevent charge injection in the dark Charge Carriers Generally current-carrying (free) electrons and holes in conduction and valence bands, respectively, and electrons trapped by nearby impurity atoms Charge Generation Conversion of absorbed light into charges that are injected into, and subsequently transported through,
Glossary of Defining Terms
the charge-transport layer of an organic photoconductor Charge-Generation Layer (CGL) A layer in an organic photoconductor in which light is absorbed and converted into electrically charged species Charge-Generation Material (CGM) The light-absorbing material in the charge-generation layer Charge Pumping Electrical technique used to determine the interface state density in MOSFETs. In its simplest implementation, voltage pulses of well-defined properties of amplitude, rise and fall times and period are applied to the gate terminal of a MOSFET with the source and drain terminals grounded, and the substrate current is measured as the base level of the gate pulse is swept from below accumulation to above threshold voltage. The resulting substrate current is then used to extract the interface trap charge density (cm−3 ) Charge Transport involves electron hopping through a polymer matrix containing charge-transport moieties (see MDP). The charge carrier for hole transport is the radical cation and that for electron transport is the radical anion of the charge-transport moiety Charge-Transport Layer (CTL) The layer in an organic photoconductor through which charges generated in the CGL are transported Charge-Transport Material (CTM) The charge-transport layer dopant that transports charge injected from the charge-generation material Chemical Annealing A concept to explain crystal formation by the assistance of chemical species such as atomic hydrogen during film growth Chemical Vapor Deposition (CVD) Technique for the growth of thin solid films on a crystalline substrate as the result of thermochemical vapor-phase reactions. This reaction occurs above a solid surface, e.g. a diamond single crystal, which causes deposition onto that surface. In the CVD technique the thin films are obtained under equilibrium conditions. The gas-phase reaction is activated by temperature in order to create condensable species that lead to film growth. Different CVD techniques have been developed (See: Mercury-sensitized photo-CVD, Hot-wire CVD, MOCVD, MPCVD, PECDV). All techniques used for the production of diamond require a means of activating gas-phase carbon-containing precursor molecules, usually CH4 , diluted by hydrogen Chiral Nematic Liquid-crystal phase in which the director is twisted into a helical arrangement; the phase is, therefore, not
superimposable on its mirror image and so exhibits macroscopic chirality. The chirality of the phase originates from that of the constituent molecules. The chiral nematic is sometimes referred to as a cholesteric phase Chromatic Aberration A blurring of resolution due to the differing focal lengths of a lens when acting on electrons of differing energy Cleave and Stain This is a rapid, though destructive, technique for assessing semiconductor structures. Particular chemical mixtures affect the surfaces of different semiconductor types in different ways, giving rise to effects that can be seen in a simple optical microscope. The sample is first cleaved to produce a clean surface, preferably at an angle that tends to magnify the scale of the structure, and then stained CMT A narrow-bandgap II–VI semiconductor compound, Hg1−x Cdx Te, which is still the preeminent infrared (IR) material Coefficient of Thermal Expansion (CTE) Increase in the length of a macroscopic material for a given temperature increase. The units of CTE are 1/◦ C Coercive Field The electric field required to reduce the polarization in a ferroelectric material to zero in a fully saturated ferroelectric hysteresis loop Coercive Squareness Parameter (S ∗ ) The slope of the major hysteresis curve of a ferromagnetic recording layer normalized to the ratio of the remanent magnetization to the coercive field S∗ = 1 − Mr /Hc dM/ dH(H = −Hc ) Coherence Length ξ is the shortest distance within which a considerable change of the Cooper-pair density is possible Cohesive Energy The energy required to dissociate the atoms of a solid into isolated atomic constituents Columnar Phase A liquid-crystal phase in which the constituent molecules, usually disc-like, are stacked into columns; these are arranged parallel to each other in either a hexagonal or rectangular array Complementary Metal Oxide Semiconductor (CMOS) An MOS device technology consisting of nMOS and pMOS transistor pairs Complex A bound state between two or more adjacent, like or unlike species, e.g. an anti-site and a lattice vacancy at a nearest-neighbor site on the same sublattice such as AsGa VGa Compositional Uniformity A critical parameter in all ternary alloy systems that
1337
1338
Glossary of Defining Terms
determines a material’s usefulness in device applications Compound Semiconductor Semiconductor crystals composed of two or more atomic elements from different groups of the periodic chart Compressive Strain Type of strain obtained when a strained Si1−x Gex layer is grown on a silicon substrate Compton Scattering Transfer of energy from a photon to an electron, leading to the scattering of a longer-wavelength photon Concentration Quenching the reduction in luminescence efficiency and luminescence lifetime of a laser glass when the rare-earth dopant concentration is high. Quenching is due to interactions between closely spaced rare-earth ions at high concentrations. These interactions create new pathways, other than the desired radiative decay, for the ions to relax to the ground state after they have been raised to a desired lasing level by pump energy Conduction Band (CB) Band of energies allowed for electrons in a semiconductor, which is empty in undoped semiconductors. There are many CBs, separated by band gaps. However, CB term usually refers to the conduction band that has the lowest energies, or closest to the valence band (VB). It is seperated from the VB by a band gap Conductive Layer The layer on which the charge-generation layer and charge-transport layer are coated. It is connected to ground in the electrophotographic process Conductivity Mass Used for electron or hole conductivity (mobility) calculation Conductivity Product of the number of electrons or holes per unit volume, the electronic charge and the mobility of the carrier σ = neµe,h Configuration Coordinate Model Model of interaction between electronic system of a luminescent center and the vibrational system of the surrounding atoms and ions Converse Piezoelectric Effect The generation of mechanical strain in a material in response to an applied electric field, where the strain is linearly proportional to the applied field Conversion Efficiency Ratio of incident photon energy and output electricity energy Cooper Pairs Bound electron pairs formed of electrons with opposite spins and momenta (in their ground state with zero electric field)
COP A crystal-originated particle (COP) is a small aggregate or cluster of vacancies. Usually, it is of octahedral shape with (111) facets, but in the case of nitrogen doping, it can also adopt the form of a platelet or a rod-like cluster. The usual size is 70–200 nm Corona Discharge When a high voltage of 5–10 kV is applied to sharp edges or fine wires of metals, the gas such as air surrounding the metal is ionized and discharged. If the voltage is DC, ions having the same polarity with the DC voltage move toward a grounded electrode Correlation Factor ( f ) The jumps of a diffusing particle are generally not random but correlated, i. e. successive jumps are not independent of each other; f (always ≤ 1) is the ratio of the jump rate of correlated jumps to the jump rate if the jumps were random Cotterell Atmosphere The stress field around a dislocation can be reduced if defects congregate around them. This results in defect atmospheres, called Cotterell atmospheres, which are commonly observed even when the dislocation itself would not provide an observable signature. EL2 defects aggregate in this way in SI substrate material Coupled Granular Continuous (CGC) Recording Media In perpendicular recording media: a recording surface consisting of two layers: the first being granular and the second consisting of multilayers of typically cobalt and palladium Critical (Transition) Temperature The Tc is a characteristic property of the superconductor in question. At Tc the resistance drops abruptly to an unmeasurably small value Critical Field Bc is the magnetic field sufficient to destroy the superconducting state in a type I superconductor Critical Fluctuations Variations of the superconducting order parameter 1/2 ψ = n C exp(iϕ) (where n C is the Cooper-pair density) around the thermal equilibrium values Critical Thickness Maximum thickness of a strained layer that can be grown on a substrate before relaxation of the layer occurs Cryopanel Liquid-nitrogen-cooled surface within the growth chamber arranged to minimize contamination of the wafer surface by impurities Current In the Plane (CIP) Magnetoresistive device with the sense current flowing in the plane of the films
Glossary of Defining Terms
Current Perpendicular to the Plane (CPP) Magnetoresistive device with the sense current flowing perpendicular to the plane of the films CZ Crystals Crystals grown according to the so-called Czochralski technique Czochralski and Liquid-Encapsulated Czochralski (LEC) growth Czochralski growth pulls a crystal from the melt. The seed is held in a holder vertically above the melt and rotated. After partial immersion into the melt, it is slowly withdrawn and the crystal forms on the seed. The growth takes place because of the increased heat loss from the crystal compared to the melt. Rotation of the seed and growing crystal leads naturally to a near-cylindrical crystal from which circular substrates can be sawn. The GaAs melt must be protected against As loss if this method is to be used. Boric oxide (see liquid encapsulation) liquifies on the surface of the melt and, to some extent, on the crystal and acts as a barrier against As loss. The boric oxide also insulates the melt from the crucible; reducing the rates at which impurities from the crucible are introduced into the melt and aiding withdrawal of the exhausted melt from the crucible after Growth
D Dangling Bonds (Broken Bonds) Point-like defects that are produced by breaking covalent bonds. The dangling bond may have an unpaired electron, two paired electrons, or no electrons. These dangling bonds were denoted as D0 , D− , and D+ by Mott, the superscript representing the charge state Dark Decay Rate of decrease of the surface potential of a charged organic photoconductor Data Rate Rate at which the digital data, recorded or read back in a digital storage device, is transferred. Characterized by Mbytes/s or MB/s, where a byte (B) is eight bits Debye Length A measure of the distance beyond which the electric field due to a point charge (e.g. an ionized dopant atom) is screened by the free carriers (electrons or holes) and becomes increasingly negligible Deep-Energy-Level Impurities Doping impurities or other impurities whose energy level lies toward the center of the bandgap Deep-Level Transient Spectroscopy (DLTS) DLTS is a useful technique for measuring concentrations of deep levels in conducting semiconductors. Deep levels within the depletion layer of a pn junction are filled by putting the junction into forward bias. On applying a reverse bias, these
levels are allowed to empty thermally. This produces a change in junction capacitance consisting of a series of exponentials. From the temperature spectra generated for different time windows of the transient, Arrhenius plots can be made to determine the energy level (eV) and capture cross section (cm2 ) of the defects Defect Chemistry Representation of interactions between defect species and free carriers (dopant, impurity, native defect, electrons and holes) in terms of chemical-style equations from which the concentrations of the various species concerned can be obtained Deformation Potential Effective electric potential describing interaction of carriers with the lattice deformation irrespective of what is the cause of the deformation. It describes the phenomenology of the interaction between carriers and phonons of deformation types Deformation Potential Parameters There are two and four such parameters for electrons and holes in Si, respectively. For holes the parameter set includes one describing interaction with anti-phase deformation of atoms in the nonequivalent primitive cell’s positions Density of States Widely abbreviated as DOS, the density of states is the number of energy states per unit energy interval at the energy E. It stands for the density distribution of allowed electronic energies in a material and is widely used with respect to this distribution across the bandgap of disordered semiconductors Density-of-States Mass Used for electron or hole density-of-states calculations Depletion The condition in which, for a MIS structure, the applied voltage to the gate VG electrode results in a reduction of majority carriers near the surface of the underlying semiconductor. This region is referred to as the depletion layer. Charge remaining in this near-surface region is due to ionized dopants present in the channel region. This condition occurs for applied voltages between the flatband and threshold voltages, i. e. VFB < VG < Vthr Depth of Field The distance along an optic axis that an object can be moved without noticeably reducing the resolution Depth of Focus Maximum spacing between an imaging screen and a photographic plate (or CCD) that allows a recorded image to be retained in focus Depth Profiling Monitoring of signal intensity as a function of a variable that can be related to distance normal to the surface, cf. compositional depth profile. Note: the
1339
1340
Glossary of Defining Terms
signal intensity is usually measured as a function of sputtering time Depth Resolution Depth range over which a signal changes by a specified quantity when reconstructing the profile of an ideally sharp interface between two media or a delta layer in one medium Detection Efficiency Percentage of radiation incident on a detector system that is actually detected Detective Quantum Efficiency (DQE) The ratio of the square of the signal-to-noise ratio at the output of the detector to that at the input. The relative increase in image noise due to an imaging system as a function of the spatial frequency, f , is expressed quantitatively as DQE( f ), which represents the signal-to-noise transfer efficiency for different frequencies of information in an image Detectivity A signal-to-noise parameter, normalized to area and bandwidth, often used for photoconductive detectors or single-element photovoltaic detectors Devitrification The transition of a glassy material to its lower-energy crystalline state. This process is usually driven by thermal energy, such as if the material is held at some characteristic temperature above its glass-transition temperature. The difference between the crystallization temperature and the glass-transition temperature for a particular glass is one measure of its stability DFT (Density-Functional Theory) DFT is a quantum-mechanical approach to many-body electronic structure calculations of molecular and condensed-matter systems. The many-electron wave-function is written in terms of the electron density. The major problem with DFT is that the exact functionals for exchange and correlation are not known. The widespread approximations are the local-density approximation (LDA) and GW approximation (GWA). The LDA assumes the functionals for exchange and correlation to depend only on the density at the coordinate where the functional is evaluated. LDA DFT calculations dramatically underestimate the gaps of sp3 -bonded semiconductors and insulators. In the GW approximation, the exchange and correlation is expressed as the product of a single-particle propagator G and a screened interaction W. GWA DFT calculations yield the gaps of sp3 -bonded semiconductors and insulators generally to within a few tenths of an eV Diamond Structure A structure in which each atom lies at the center of a tetrahedron surrounded by four nearest neighbors located at the points of the tetrahedron. In such a structure diffusion is isotropic
Dielectric Constant/Susceptibility (DC/DS) Basic material optical tensor property that linearly connects the electric displacement to the electric-field vector inside the material. For Si it is scalar. At optical frequency DC/DS is a complex quantity Dielectric Materials A class of materials that are insulators or nonconductors where charge imparted to one part of the material is not communicated to any other part Diffraction The process of scattering and reconstruction of radiation in specific directions as a consequence of interaction with a periodic structure, e.g. light interacting with a grating, or X-rays interacting with a crystal lattice Diffusion Coefficient or Diffusivity The macroscopic parameter that characterizes the jump rate or jump frequency of a species at the atomic level. It is normally obtained by matching experimental profiles to solutions of the appropriate diffusion equation, e.g. Fick’s second law. The diffusion coefficient measurement techniques include Haynes–Shockley, time-of-flight and noise-measurement-based methods Diffusion Length Measure of the spatial extent of a diffusion region. Typically the concentration of a diffusant can be expected to fall by more than a factor of 103 over three diffusion lengths Digital Printing Technologies in which the image is comprised of a pattern of pixels. In electrophotographic digital printing the electrostatic latent image is written on a charged photoreceptor using a computer-controlled laser or LED bar Dipping Liquid-phase epitaxy technique in which the substrate is lowered into the melt in a vertical orientation Direct Piezoelectric Effect The generation of an electric polarization change or a charge separation in a material in response to an applied stress, where the polarization change is linearly proportional to the applied stress Director Symmetry axis for properties such as the refractive index or dielectric tensor of a liquid-crystal phase; at a molecular level it is commonly associated with the preferred orientation of the unique axis of the constituent molecules, either rod-like or disc-like Discotic Indicates the disc-like shape of the anisotropic molecules that constitute a class of liquid-crystal phases known as discotic liquid crystals Disorder Model Derived from Monte Carlo simulation studies, this
Glossary of Defining Terms
model describes electronic transport in random media in terms of disorder-induced fluctuations of both hopping site energy and relative orientation Distribution Function A function of p (impulse) and the carrier’s coordinate, which obeys Boltzmann’s equation and presents a semiclassical probability description of a carrier’s statistics and dynamics. At equilibrium, it converts to the Fermi function over the carrier’s energy, which in turn reduces to a Maxwell–Boltzmann distribution for lightly doped semiconductors Donor An impurity in a material that is capable of inducing electrical conduction in that material by transferring an electron to the conduction band. A donor’s level is the energy E d of an electron in an orbit near the donor atom. The donor concentration is the number of donors cm−3 , referring to either the total concentration Nd and the concentration of ionized donors Nd+ Dopant An atom from a different group of the periodic table from the host atom that substitutes for it. It can be a donor, acceptor or amphoteric and is deliberately incorporated to give n- or p-type conductivity Doped Semiconductor A semiconductor that contains donors and/or acceptors. Lightly and moderately doped semiconductors contain impurities with energy levels that are well separated from the CB and VB. Heavily doped semiconductors (n+ - or p+ -type) contain so many dopants that their energy levels merge with CB or/and VB, which leads to metallic conduction at low temperatures. For n-Si, the critical donor concentration of this, Mott’s insulator–metal transition, proves to be about 3 × 1018 cm−3 . Fermi–Dirac statistics must be used for carriers in n+ - or p+ -type semiconductor, but in n+ - or p+ -Si full degeneracy of carriers is met only well below room temperature DRAM Dynamic random-access memory Drift Mobility Velocity per unit of applied field imparted to a charge carrier by the electric field. In the presence of carrier traps it is reduced from the free-carrier velocity by the fraction of time a carrier spends in the trapping centers Drude Formula Phenomenological equation for the free-carrier contribution to the dielectric constant/susceptibility. It contains two parameters: the effective plasma frequency and the relaxation time Drum Photoreceptors Devices where the layers are coated sequentially on a metal drum substrate Dual-Layer Organic Photoconductor A photoconductor with an architecture where the
charge-generation and charge-transport functions are carried out in separate layers (see charge-generation layer and charge-transport layer, respectively) Dynamic Coercivity The change of coercivity of small ferromagnetic particles with switching time under thermal excitation
E E Center A kind of unpaired-electron dangling bond in oxide glasses. In SiO2 , an Si atom that is bonded to three O atoms may have one E center. The center, which may be produced by radiation, gives ESR signals and optical absorption at ω ≈ 6 eV E Layer Thin ferromagnetic cobalt alloy film added to one or two sides of the Ru layer in a synthetic ferrimagnetic media to increase the exchange coupling Easy Axis Direction in a ferromagnetic sample along which the magnetization is oriented in the absence of an external magnetic field. In thin films, the easy axis is the direction in a substrate surface along which the director tends to align; it is determined by the nature of the surface treatment Edge-Defined Film-Growth Technique Shaped crystals, including tubes, sheets, etc., are grown through a die placed on the melt surface Effective Mass Generally a set of parameters describing the dynamics of the current carriers, which may deviate drastically from the free-electron mass. For Si, it includes the effective mass tensor of electron in the CB (m t , m t , m l ) and the masses of heavy (m 1 ), light (m 2 ) of spin orbital split-off (m 3 ) holes in the VB. Generally, m 1,2 depend on the direction of hole’s momentum. Different averages over this direction define masses that enter the conductivity and the DOS Einstein Relation An equation connecting the mobility µ, (speed per unit electric field) of a charged particle to its diffusivity D. Specifically µ = qD/kT , where q is the electric charge on the particle. This expression is only valid for nondegenerate material EL(2) Electron level number 2. The deep levels in most semiconductors were labeled according to their observation during DLTS measurements. EL levels are donors (they are neutral when they have their electron). HL levels are acceptors (they are neutral when they have their hole). EL2 is the most important deep donor level (actually, a double donor) and is either the As anti-site defect or contains the As anti-site as a component
1341
1342
Glossary of Defining Terms
Elastic Compliance Constant (C) Defined from Hooke’s law by X = Ce, where X is the stress and e is the strain. It has units of pressure Elastic Deformation Deformation of a body in which the applied stress is small enough that the object retains its original dimensions once the stress is released Elastic Stiffness Constant (S) Defined from Hooke’s law by e = SX, where e is the strain and X is the stress. It has units of inverse pressure Elasticity A property of liquid crystals that causes the directors to be uniformly aligned parallel to each other. Deviations from this uniform ground state require the addition of elastic energy to the liquid crystal Electrically Conductive Adhesives (ECA) Composite materials consisting of a dielectric curable polymer and metallic conductive particles. The polymer is an adhesive material that chemically reacts with metals and other polymers to form a bond. The metallic particles in the ECA form a network in the cured joint that form an electrical conduction path Electro-absorption Generic term for all effects of changing the absorption coefficient upon applying strong electric field. For Si, the dominant is the change of the free carriers’ absorption in IR Electrochemical Potential In semiconductors (see Fermi level) Electron Affinity The energy distance from the conduction-band minimum to the vacuum level at the semiconductor surface Electron-Beam Lithography Method for micro- and nanoscale fabrication where a pattern on a polymeric layer (resist) is exposed to electron irradiation Electron Elementary particle having a negative charge of 1.602 × 10−19 C and rest mass m 0 equal to 9.109 × 10−31 kg Electron–Phonon Scattering In Si, in addition to scattering by deformation phonons, scattering may also occur with short-wavelength (inter-valley) phonons Electro-optic Effect A change in refractive index upon application of a strong electric field. This is linked to electro-absorption through the Kramers–Kronig relation. It manifests itself as a change in birefringence in response to an applied electric field Electrophotography Printing technology in which charged marking particles are developed on an organic photoreceptor with an image pattern of surface charge, the
electrostatic latent image, and the subsequent transfer of these particles to a receiver Electroplating Process used to deposit a material on a conducting substrate in a chemical bath containing metal ions using electrical current Eley–Rideal Reaction A surface-reaction scheme in which an arriving chemical species react on the surface without any surface diffusion process. It is considered the counterpart of a Langmuir–Hinshelwood reaction Ellipsometry Method of defining the optical constants by measuring the ratio of reflectance for s- and p-polarized radiation, and the relative phase shift between the two, at large incidence angles Emission Device An emission device creates photons from extra electron–hole pairs through a process called radiative recombination. The electron–hole pairs are often created by forward-biasing a pn junction Encapsulation A dielectric polymer material that flows over and covers the electronic components in a package to provide mechanical and electrical protection to the packaged devices Energy Gap see Bandgap Energy-Band Spectrum Dispersion relation E s (k) of allowed electron energy to k within the Brillouin zone. As a function of small deviation of k from the extrema points of E s (k) it proves to be anisotropic parabolic for the conduction band and non-parabolic for valence band, forming ellipsoid valleys and warped spheres, respectively, in the Brillouin zone Epitaxial Layer (or Epilayer) Thin crystalline layer on a single-crystal substrate with orientation and lattice structure determined by the substrate crystallography Epitaxy (Greek; arrange upon). This is the growth of one crystal (the epitaxial layer) on the surface of another (the substrate) and where the orientation of the grown layer is the same as the substrate. If the layer is of the same material as the substrate, the growth is homoepitaxial. If the layer and substrate are different, the growth is heteroepitaxial. Usually, epitaxy can only be performed where there is close match between the lattice constants, although it is possible for a layer of quite different lattice constant to be grown if there is a change in crystal orientation between the layer and the substrate. The term epitaxy was introduced to describe the importance of having parallelism between two lattice planes with similar networks of closely similar spacing
Glossary of Defining Terms
Equivalent Oxide Thickness (EOT, t eq ) The theoretical thickness of SiO2 that would be required to achieve the same capacitance density as an alternative dielectric material. This is often determined by quantum-mechanical modeling of the C–V curve for thin dielectric layers (where tunnelling effects result in substantial “leakage” current) and/or where poly-Si gate electrodes (resulting in depletion effects) are present Etch Pit Density (EPD) This is the usual way in which substrate suppliers quote dislocation densities. EPD is found by etching the surface in molten alkalis, like KOH, and viewing the resulting pitted surface under an optical microscope. Each pit represents a single dislocation ending at the surface Ewald Sphere Geometrical construction used to illustrate the relationship between a diffraction pattern and the reciprocal lattice of a diffracting crystal Exchange Field (Hua ) Parameter characterizing the strength of the coupling of a ferromagnetic to an antiferromagnetic film. Measured in Oe or A/m Exciton An electron–hole pair, which behaves like a H atom, with a binding energy of 10–100 meV and lifetime of ≈ 1 ns. The wave-function, in principle, extends over the whole crystal Exhaustion Concentration N = |Nd Na | is the maximum attainable carrier concentration in a doped semiconductor
F Fermi Level or Fermi Energy For a metal, the Fermi level is defined as that energy below which its one-electron levels are occupied and above which they are empty in the ground state, i. e., at zero temperature. In the context of semiconductors, the term Fermi level is a synonym for their electrochemical potential Ferroelastic A material that exhibits a phase transition from a non-strained to a strained state, generating a spontaneous strain at the transition, and in which the spontaneous strain can be switched between two or more stable states by the application of a stress Ferroelectric Domains Adjacent regions in a ferroelectric crystal that have their spontaneous polarization vectors inclined to one another Ferroelectric Hysteresis The loop that is produced when the polarization in a ferroelectric material is plotted as a function of applied electric field
Ferroelectric Relaxor A ferroelectric that shows a broad peak in relative permittivity at the paraelectric-to-ferroelectric phase transition and in which the temperature of the peak is strongly dependent upon measuring frequency Ferroelectric A polar dielectric in which the polarization can be switched between two or more stable states by the application of an electric field Fick’s First Law of Diffusion For diffusion parallel to the x-axis the diffusant flux (atoms per unit area per second) is equal to −D∂c/∂x where c is the diffusant concentration which defines the diffusivity D Fick’s Second Law of Diffusion Based on the first law, this law gives the rate of build up of the diffusant concentration at a given depth as ∂c/∂t = ∂(D∂c/∂x)/∂x Field-Effect Transistor (FET) A transistor where the current between two electrodes (the drain and source) is modulated by the electric field from a third electrode (the gate) Field Emission Electron emission from a metal or semiconductor into vacuum under the influence of a strong electric field Figure-of-Merit (FOM) Measure of the performance of an integrated circuit technology. The time delay associated with signal propagation is a common metric Fill Factor (FF) Measure of the maximum power that can be obtained from a photovoltaic solar cell compared with the product Isc · Voc Firing One of the key stages of the thick-film production process. It is usually undertaken in a continuous-belt furnace at temperatures of up to 1000 ◦ C Flatband Voltage (V FB ) The voltage applied across an MIS device at which there exists no charge in the semiconductor. As a result, the valence and conduction band structure of the semiconductor is flat. This condition occurs when this voltage equals the workfunction difference between the metal electrode and the semiconductor under ideal conditions. The presence of charges in the insulator or at the interface, due to defects, modifies the voltage required to achieve the flatband condition Flexoelectricity Generation of a macroscopic electrical polarization in a nematic liquid crystal when the director distribution is deformed from its uniform state of alignment. The inverse of this effect is the deformation of the director distribution when an electric field is applied to a nematic; the magnitude of the deformation is linear in the field
1343
1344
Glossary of Defining Terms
Flip Chip Integrated-circuit-level interconnect that can be used to replace wirebond interconnects. The flip chip is a solder bump on an area array on the chip surface that routes the power, ground and signals from the integrated circuit to the bumps. The metallization on the IC surface is called the under-bump metallurgy that forms a metallurgical bond with the solder. The flip-chip die is joined to the package by placing the die face down on the matching bond pads on a substrate and reflowing the solder to form an electrical, thermal and mechanical interconnect Floating Gate Current Very small gate currents (≈ fA or less) can be measured using the floating gate technique in which the drain current of a MOS transistor is measured after the gate bias has been removed. Then, by using the decay in the drain current as a function of time and the measured drain current versus gate voltage characteristics and the oxide capacitance, the gate current can be calculated Floating Zone (FZ) Technique This technique uses a solid feed rod that is melted at its lower end by a high-frequency coil. The melt flows through a central hole of the coil down to the growing crystal below the coil. The FZ technique does not need a crucible. Hence, the melt is not contaminated by other materials. The crystals that are grown according to the FZ technique are called FZ crystals Fluence This is the total, time-integrated, flux of particles (electrons, protons, ions, etc.) that reach a unit area of sample. It can be used to represent the total number of ions implanted into a surface and is sometimes called the dose Fluorescence Luminescence with a lifetime 10 ns Fluoride Glasses Multicomponent glasses, typically based on fluorides of zirconium, barium, lead, gallium, lanthanum, aluminum, and sodium. They have a wide transparency range, from ultraviolet to mid-infrared wavelengths. They also have low characteristic phonon energies and can dissolve large concentrations of rare-earth ions. For these reasons, they are extremely popular as hosts for rare-earth-doped amplifiers and lasers operating in the UV–visible and mid-infrared regions Flux Lines (Vortices) Regions in which magnetic flux enters a type II superconductor in the mixed state. Screening currents flow around each of the flux lines. Due to the repulsive vortex–vortex interactions a hexagonal flux line lattice is formed Flux Pinning An effect caused by defects. The energy for the
formation of the normal cores of the flux lines is reduced in regions with a reduced Cooper-pair density Flying Height Spacing between the bottom of the slider and the top of the recording medium in a disk drive Forced Convection Process of forced flow of fluid Fourier-Transform Infrared (FTIR) Spectrometry A means of performing infrared absorption measurements with great speed and precision, based on an optical interferometer Four-Point Probe A popular technique used to measure the resistivity (Ω cm) of a semiconductor sample. Four collinear probes are equally spaced and a current is applied through the outermost probes and the voltage difference across the two inner probes is measured. From the voltage and current values, the resistivity can be calculated assuming that the contact and spreading resistances between the probes and the semiconductor and the resistance of the probes are negligible in comparison to the resistance of the semiconductor Franck–Condon Principle Absorption and emission of light takes place in so short a time that the atomic coordinates in ground and excited states are unchanged; i. e. transitions are vertical on a configuration coordinate model Free Convection The process of movement of fluid through a temperature gradient Free Film Ferromagnetic film in a magnetoresistive or spin-valve read head in which the magnetization can respond easily to an external magnetic field Friedel’s Law A law that states that the intensity of an hkl reflection in a diffraction pattern is equal to the intensity in the opposite hkl reflection Front End Of Line (FEOL) A term generally describing the initial points in the integrated-circuit fabrication process line, such as the transistor fabrication steps. These processes generally require higher thermal budgets
G Gate Oxide Integrity (GOI) The GOI measures the breakdown stability of the oxide layer of a MOS capacitor when a voltage is applied to the capacitor. There are different types of gate oxide integrity tests. Most of them are standardized. This may currently be the best method to detect the density of very small voids Geminate Recombination The primary recombination of a correlated
Glossary of Defining Terms
(quasi-bound) hole–electron pair immediately following photoexcitation Ghosting The change of X-ray sensitivity of the X-ray image detector as a result of previous exposure to radiation. In the presence of ghosting, a shadow impression of a previously acquired image is visible in subsequent uniform exposures Giant-Magnetoresistive (GMR) Effect Change in the resistivity of a stack of ferromagnetic films coupled by thin non-ferromagnetic films when the orientation of the magnetization of the films adjacent to the non-ferromagnetic film is varied. The change in resistivity with low temperatures and high magnetic fields was observed to be as large as 50%: hence the adjective giant Glass Ceramics Glass that contains crystalline particles or regions. Such materials may be transparent or smoggy Glass Frit Binding matrix within the thick film. This binds the active particles together and also bonds the thick film to the substrate Glass-Transition Temperature The approximate temperature at which a material changes from a supercooled liquid to an amorphous solid, or vice versa. The transition is marked by an abrupt but continuous change in slope of the specific volume and enthalpy versus temperature curves. Viscosity varies rapidly near the glass-transition temperature, which is also sometimes called the softening temperature Glow Discharge Spectrometry (GDS) A method in which a spectrometer is used to measure relevant intensities emitted from a glow discharge generated at a surface. This is a general term that encompasses glow discharge optical-emission spectrometry (GDOES) and glow discharge mass spectrometry (GDMS). GDOES is a method in which an optical-emission spectrometer is used to measure the wavelength and intensity of light emitted from a glow discharge generated at a surface. GDMS is a method in which a mass spectrometer is used to measure the mass-to-charge quotient and abundance of ions from a glow discharge generated at a surface Gradient Freeze Growth This technique is similar to the Bridgman method except that the melt is not physically removed from the furnace. Instead, the temperature gradient along the melt is controlled electrically so that solidification commences at the seed and progresses until the melt is exhausted. Once again, both horizontal and vertical arrangements are possible and often the reservoir is replaced by liquid encapsulation to impede As loss
from the melt. This is now the favored technique for the growth of most GaAs substrate material Grading Grading is the gradual change from one semiconductor to another, exemplified by the gradual change from GaAs to GaAsP that is necessary in some LEDs Gratzel Cell Named after its inventor, Michael Gratzel, this is a dye-sensitized cell using a porous TiO2 substrate to collect the photo-generated charge GRINSCH Laser A graded-refractive-index separate-confinement heterojunction laser (see SCH Laser). Optical confinement is optimized by grading the composition of the cladding layers Gunn Diode (See negative differential resistance). This is a device based on low-doped n-type GaAs and relies on the NDR effect. It is used for the generation of low-power microwave currents
H Hall Effect The deflection of a charged particle moving in a magnetic field that is perpendicular to its motion. The deflection is due to the Lorentz force on the charged particle and it causes the charges to accumulate in one side of the sample. The voltage measured at right angles to the current flow is called the Hall voltage. The Hall effect can be used to characterize the mobility (cm2 /Vs), resistivity (Ω cm), type of carrier and carrier density (cm−3 ) of a semiconductor sample. The Hall mobility is the product of conductivity and the Hall constant (the transverse-electric-field Hall field divided by the product of the current density and the magnetic induction) for a conductor or semiconductor; a measure of the mobility of the electrons or holes in a semiconductor. The Hall coefficient and factor relate µH linearly to σ and µ, respectively Hard Axis Direction in a ferromagnetic sample at right angles to the easy axis Hard Magnetic Bias Film (also Longitudinal Bias Film) Permanent magnetic film abutted to the free film in a magnetoresistive or spin-valve head to eliminate magnetic domains Head Field Slope Parameter (Q) The maximum slope of the magnetic field of a write head in the direction of the magnetization of the recording layer normalized to the coercive field (Hc ) x / dx divided by the magnetic spacing (d), Q = dH Hc /d Heat Capacity The amount of heat required to change the
1345
1346
Glossary of Defining Terms
temperature of a substance temperature by one degree, with units of energy per degree Heteroepitaxy The growth of a layer of markedly different composition from the substrate, i. e. the epitaxial layer and the substrate are made from different materials, e.g. the diamond growth on an iridium single-crystal substrate. Another example is the growth of Gax In1−x P y As1−y layers lattice-matched to InP. The heteroepitaxial growth techniques are chemical-vapor deposition, liquid-phase epitaxy, and molecular-beam epitaxy Heterojunction Bipolar Transistor (HBT) A modification to the standard bipolar transistor where a heterojunction is used to control the carrier flow at the base Heterojunctions and Heterostructures A heterojunction is the junction between different materials (e.g. GaAs and AlGaAs with different bandgaps). Such a junction exhibits several properties that may be very useful to device manufacturers. These include changes in the energies of the valence or conduction bands, or both, and changes in the optical properties. A heterostructure is a semiconductor structure where the properties of heterojunctions are exploited Heterojunction Laser A semiconductor laser where both electrical and optical confinement exploit the properties of heterojunctions is a heterojunction laser. If the active region is sandwiched between two heterojunctions, the laser is termed a double heterojunction Hexagonal As The natural form of elemental arsenic HgMnTe An alternative Hg-based ternary system to CMT High-Electron-Mobility Transistor (HEMT) This is a modification of the metal semiconductor field-effect transistor (MESFET) where the separation of the electrons in the channel from the ionized donors is achieved by using a heterojunction. This results in higher electron mobility, and thus greater speed and lower noise High Index Contrast Waveguides or devices fabricated using two or more materials that have very different refractive index. High index contrast is the basis for the confinement of light to very small cross-sectional-area waveguides or very-small-volume optical cavities, either using total internal reflection or photonic bandgap effects. High index contrast is thus the basis for increased density of optical integrated circuits High-Temperature Solution Growth Slow cooling, or top-seeded (similar to Czochralski) growth of materials in a solution designed to reduce
the freezing point of the desired phase below a critical temperature Homeotropic Alignment Alignment of the director perpendicular to the surface of a substrate HOMO – Highest Occupied Molecular Orbital The highest energy molecular orbital of an atom or molecule that contains an electron. If the atom or molecule were to lose an electron, it would most likely lose it from this orbital Homoepitaxy Epitaxial growth of a layer of the same composition as the substrate Homojunction Junction between materials of the same chemical type but of different electrical properties. For example, a p–n junction in GaAs is a homojunction Hopping Conduction Electronic transport that localized electrons hop from site to site with the assistance of phonons Hot-Carrier Phenomena Group of effects associated with the carrier transport in high electric fields. For Si, it includes the nonlinear relation between drift velocity and electric field with saturation (n-Si) and near to saturation (p-Si), anisotropy of drift velocity regarding the electric orientation relative to the crystallographic axes (the Sasaki–Shibuya effect) and the diffusion’s anisotropy as regards the density gradients along and perpendicular to the strong electric field Hot-Wire Chemical Vapor Deposition (CVD) The same technique as catalytic CVD, which is used for thin-film growth through decomposition of source gas materials utilizing catalytic reaction on the heated filament Huygens’s Principle Concept used to explain the propagation of a wave (and diffraction processes), in that every point on a primary wavefront acts as a source of spherical wavelets, the envelope function of which acts to reconstruct the primary wavefront a short time later Hybrid Circuits Circuits consisting of electronic elements made from differing enabling technologies such as thick-film, thin-film, monolithic silicon, etc. Hybridization In molecules, the orbitals occupied by the electrons are seldom pure s or pure p orbitals. Instead they are mixed or hybrids, formed by combining the s and p orbitals. Examples are sp (linear), sp2 (trigonal and planar) and sp3 (tetragonal) Hydrothermal Growth Growth that takes place in aqueous-based solutions at high temperatures and high pressures
Glossary of Defining Terms
Hysteresis The state of the magnetization in response to an external magnetic field depends on the initial state of the magnetization
I I/V Technique (Current–Voltage Technique) The I/V technique is a method to determine barrier heights and ideality factors of Schottky contacts from their current–voltage characteristics IDCA Abbreviation for for integrated detector cooler assembly, a commonly used infrared detector scheme in which the detector is mounted directly on the cold finger of a cryocooler (often based on Stirling cycle engines). The detector and cold finger are then enclosed in a vacuum vessel with a transparent window and optical baffles Ideality Factor The ideality factor of Schottky contacts characterizes the variation of their barrier heights as a function of applied voltage Image Lag Lag is the carryover of image charge generated by previous X-ray exposures into subsequent image frames. The residual signal fractions following a pulsed X-ray irradiation are referred to as image lag Impact Ionization Process of generation of electron–hole pairs by carriers moving in an electric field higher than the breakdown threshold, which for Si is of the order of 3 × 105 V/cm Improper (or Extrinsic) Ferroelectric A ferroelectric material in which the spontaneous polarization is not the primary order parameter. Frequently, the primary order parameter is the spontaneous strain associated with a ferroelastic phase transition Impurity A foreign atom unintentionally present in the semiconductor, incorporated either during growth or processing In Situ Monitoring Tools to help in understanding layer growth kinetics and to provide monitors suitable for feedback control in epitaxial growth systems Inelastic Scattering The result of a collision between a photon or electron and the nuclei or electrons of a material, such that there is a net change in the internal energies of the system and in the sum of the kinetic energies before and after the collision Infrared-Laser-Absorption Spectroscopy (IRLAS) Technique to determine density of gas-phase
molecules and chemical species using infrared absorption spectra Infrared-Reflection-Absorption Spectroscopy (IRRAS) Technique to determine the surface-bonding configuration on the film-growing surface using light absorption during reflection of infrared beam Integrated Circuit (IC) Combination of active and passive circuit elements to enable computational logic or analog operations Integrated Optics/Photonics The manufacture of photonic elements and circuits on a planar substrate, typically using thin-film deposition, lithography, and etching steps. Typically, the substrate is a glass or semiconductor wafer and the photonic elements are guided-wave devices Interconnect The system of metal conducting lines and contacts among IC components. The categories include local (between neighboring devices), intermediate (between neighboring circuit elements), and global (across the IC chip) Interdiffused Multilayer Process (IMP) The process of obtaining a uniform alloy composition of Cdx Hg1−x Te by the growth of alternate layers of the binary compounds that are thin enough to completely interdiffuse within the time of growth Interface Trap Density The density (cm−3 ) of positive or negative charges located at the silicon/silicon dioxide interface, due to defects induced by oxidation, structural defects, impurities or other defects caused by bond-breaking mechanisms such as radiation or hot carriers. These states are typically in electrical communication with the charges in the channel of a MOSFET and results in stretch-out of the capacitance–voltage characteristics. They also affect the turn-on and turn-off characteristics of a MOS transistor Interface-Induced Gap States Because of the quantum-mechanical tunnel effect, the wave-functions of electrons tail across semiconductor interfaces in energy regions where occupied states overlap a bandgap. These evanescent waves are the continuum of the intrinsic interface-induced gap states Intergrain j c Macroscopic transport critical current density, which can be much smaller than the intragrain jc because of the weak-link behavior of large-angle grain boundaries in the cuprate superconductors Intermetallic Compounds Substances that form between pad metallizations and the active components of molten solder (typically Sn). For Cu metallization, the Sn reacts to form Cu3 Sn and Cu6 Sn5 intermetallics. For Ni, the Sn reacts to form Ni3 Sn4 . These intermetallics typically form at the interface of the solder and the metallization and
1347
1348
Glossary of Defining Terms
are usually more brittle that the solder or the metallization Intermetallic Reactions And Phases Two or more metals can react chemically to produce compounds. These are revealed in phase diagrams as labeled, vertical lines. The compound is often referred to as an intermetallic phase Interstitial A site lying between regular lattice sites that can be occupied by dopant, impurity or host atoms. The latter case is known as a self-interstitial. They generally behave as a donor Inter-subband (ISB) Transition Transition between confined states within the conduction or valence bands Intragrain j c is the value of jc within a single grain, limited only by the pinning properties Intrinsic Point Defect Is the general term for either a vacancy or a Si interstitial in the Si matrix Inversion Condition in which, for a MIS structure, the applied voltage to the gate electrode VG results in an increase of minority carriers near the surface of the underlying semiconductor. This region is referred to as an inversion layer. When present in a MISFET, this condition results in a conducting channel between the source and drain regions. This condition occurs for applied voltages beyond the threshold voltage, i. e. Vth < VG Inversion Symmetry A system in which the laws of physics are unchanged by the operation of inversion Ion Implantation This is a method of introducing impurities into the surface of a solid. The impurity atoms to be introduced are ionized and accelerated by a high voltage, up to 106 V in some instances. They penetrate the surface to a depth dependent on their energy. Unfortunately, this disrupts the crystal lattice by introducing irradiation damage and this must be repaired by annealing at elevated temperatures before the electrical activity of the implanted atoms can be obtained Ionization Process of forming an electrically charged atom (ion) Ionization Energy The energy distance from the valence-band maximum to the vacuum level at the semiconductor surfaces IPEYS technique (Internal Photoemission Yield Spectroscopy) IPEYS is a method to determine barrier heights of Schottky contacts and band offsets of semiconductor heterostructures by photoinjection of hot electrons over the energy barriers at metal/semiconductor and
semiconductor/semiconductor interfaces, respectively, as a function of the photon energy of the exciting light Irreversibility Line Situated well below the upper critical field, this line separates a region without pinning and zero jc , above the irreversibility field, from a region with pinned vortices ( jc > 0) Irvin Curve The experimental resistivity ρ of Si at room temperature versus N, the free-carrier concentration
K Kikuchi Lines Diffuse background of lines in a diffraction pattern formed by the elastic scattering of incoherently scattered electrons Knudsen Cell High-vacuum oven from which molecular beams can be produced in molecular-beam epitaxy. These ovens are usually fitted with temperature sensors. Shutters mounted in front allow the beams to be turned on and off, as required Kramers–Kronig Relations (KKR) Dual integral relations between the real and imaginary parts of one-sided Fourier transform of causal functions of time, which includes all physical admittances. The KKR allow us to define the optical constants based on KKR relations for amplitude and phase of complex reflection coefficient from opaque sample of material under measurement Kyropoulos Technique This is similar to the Czochralski method but the extent of pulling is limited, producing crystals of greater diameter but shorter length
L Langmuir–Blodgett Film Deposition Method to build up multilayer structures of organic materials by the transfer of a monolayer floating on a water surface to a solid substrate as the latter is raised and lowered through the monolayer/water interface. Deposition modes include Y-type (monolayer transfer from the water surface to the substrate on both the upward and downward motion of the latter through the monolayer/air interface), X-type (film transfer only on downward motion of the substrate) and Z-type (film transfer only on the upstroke) Laporte’s Rule Transitions between states of the same parity are forbidden Laser Crystallization A useful method to fabricate polycrystalline semiconductor showing high electron mobility by
Glossary of Defining Terms
irradiating laser beam on amorphous semiconductor thin films Laser-Induced Fluorescence (LIF) Technique to determine the density of gas-phase atoms and molecules whose optically excited states are emissive states Lattice-Matching Growth of quantum wells on a substrate with the same, or very similar, lattice constant Law of Mass Action An equation linking the concentrations of the various reacting species in a chemical-type equation, derived from defect chemistry analysis Lifetime Minority-carrier lifetime is one of the electrical parameters that determines the performance of IR devices; it can be affected by impurities and/or dopants Light-Induced Defect Creation The defect creation associated with illumination of samples. This is normally observed in amorphous materials having a flexible network Lineage A reduction in total dislocation strain energy can be obtained either by polygonization or by dislocations arranging themselves in linear arrays. The latter results in the creation of a small-angle grain boundary or lineage. The reader will find examples of this in most books on materials science Liquid Crystal State of matter with properties characteristic of both a liquid and a crystal; that is, it flows like a liquid and certain properties are anisotropic like those of a crystal. At the molecular level the phase has long-range orientational order and some element of translational disorder at long range Liquid-Encapsulated Czochralski Technique An inert layer, usually B2 O3 , is used, which floats on the top of the melt to prevent loss of volatile components; this is used for As- and P-containing compounds Liquid-Phase Epitaxy (LPE) LPE is epitaxial growth of a layer by dissolving the required material in a liquid. On cooling, the material becomes supersaturated and forms a solid film. If this film is deposited on a substrate the growth can be epitaxial Localized Vibrational Mode (LVM) Absorption Form of mid- to far-infrared absorption resulting from simple vibrations of light atoms in a heavier lattice. Such vibrations do not couple well to lattice vibrations and result in sharp absorption lines whose frequencies are directly related to mass and whose strengths are proportional to concentration. LVM absorption is particularly suited for measuring carbon concentrations in SI GaAs
Longitudinal Magnetic Recording Recording system consisting of write and read head and a recording media where the magnetization is parallel to the surface of the recording medium Long-Range Disorder Defined by the absence of the long-range order, i. e.the lack of periodic arrangements of atoms Low-Dimensional Structure Heterostructure with dimensions comparable to the wavelength of an electron or hole, typically less than 100 Å, so that quantum effects are important Low-Frequency Noise Electrical measurement of the current or voltage noise spectral densities of a semiconductor component, typically at frequencies from ≈ 1 Hz to ≈ 100 kHz. The units are in A2 /Hz for current noise spectral density and V2 /Hz for voltage noise spectral density. It is a sensitive electrical technique that can be used to probe microscopic defects in semiconductor components and as a gauge of their reliability Low-Temperature Co-fired Ceramic (LTCC) A composite material structure made of alumina bonded at a temperature below the sintering temperature of alumina using a glass binder. In a substrate form, LTCC can be co-fired (melting the glass and bonding the alumina while capturing the metal interconnects and lines) at lower temperatures so it is possible to use higher conductivity materials like Cu or Ag conductors in the ceramic Low-Temperature Solution Growth Normally used for water-soluble materials, with growth being progressed by either slow cooling or solvent evaporation LPE Liquid-phase epitaxy is growth of a (normally) thin epitaxial layer from the liquid phase onto a suitably lattice-matched substrate by dipping, tipping or sliding boat means L-pit see A-swirl Luminescence In general terms, is the emission of light by a luminescent material (also called phosphor) due to conversion of a certain type of energy into electromagnetic radiation over and above thermal radiation. The luminescence is the light emitted by nonthermal sources in contrast with the emission of radiation from a heated object, which is called incandescence. In accordance with the source of energy the luminescence may be the photoluminescence (excited by external illumination), electroluminescence (induced by the passage of electrical current), cathodoluminescence (excited by irradiation with electrons), triboluminescence (excited by mechanical treatment, e.g. grinding), chemiluminescence (emitted during chemical
1349
1350
Glossary of Defining Terms
reaction), bioluminescence (appearing as a result of biological processes), thermoluminescence (cased by the rise of temperature) and so on LUMO – Lowest Unoccupied Molecular Orbital Refers to the lowest-energy molecular orbital of an atom or molecule that does not contain an electron. If the atom or molecule were to accept an electron, it would be most likely to do it with this orbital LW Abbreviation for long wave, this term refers to the transparent atmospheric window between the wavelengths 7.5 µm and 14 µm (sometimes called the thermal band). The edges of this window are influenced by the water-vapor content and atmospheric conditions
M Magnetic Annealing Thermal process involving a ferromagnetic sample in a magnetic field in which the sample has induced a direction of easy magnetization (easy axis) Magnetic Random-Access Memory (MRAM) Digital memory device composed of ferromagnetic thin films coupled to current-carrying conductors used to establish the direction of the magnetization in the ferromagnetic films. The resistance of the coupled films is different when the direction of magnetization in the two ferromagnetic films is changed Magnetic Spacing Spacing between the bottom of the slider and the center of the ferromagnetic storage layer in a recording medium characterized by the magnetic spacing parameter d Magnetic Transition In digital magnetic recording, the region between opposite states of the magnetization in the recording medium. The length of the transition (l) is l = πa, where a is the transition parameter Magnetic Tunneling Junction (MTJ) MRAM in which the magnetic state of the ferromagnetic sample is sensed by the change in resistance of electron current tunneling through a thin insulating layer when the magnetization in the two electrodes coupled to the ferromagnetic sample is changed from parallel to antiparallel orientation Magnetoresistance Change in resistance of a ferromagnetic material due to changes in the orientation of the magnetization with respect to an induced easy axis. Sometimes referred to as the anisotropic magnetoresistance (AMR). Characterized by the change in resistivity with magnetic field normalized to the nominal resistivity ∆ρ/ρ
Magnetoresistivity (MR) Change of resistivity ρ in applied magnetic field. For Si, MR is a diverse tensor reflecting the symmetry of the CB and VB Majority Carriers Electrons in an n-type and holes in a p-type semiconductor. Majority-carrier mobility is the mobility of electrons (holes) in n-type (p-type) material Media Flux Product of the remanent magnetization and the thickness of a magnetic recording film Media Noise Noise voltage at the terminals of a read head caused by fluctuations in the magnetization of the recording media Mercury-Sensitized Photo-CVD Technique for preparing thin films by decomposing source gas materials by collision with photo-excited mercury atoms Mesogen This is a molecular compound or mixture of compounds capable of forming a liquid-crystal phase over a range of temperatures between the crystal and isotropic liquid phases Metal Semiconductor Field-Effect Transistor (MESFET) Probably the simplest three-terminal device that can be fabricated on GaAs. The “metal semiconductor” refers to the gate structure, which is a simple Schottky barrier. The application of a reverse bias to this gate produces a depleted region that occludes part of the cross-sectional area of the channel, and thus modifies its conductivity Metallic Nanocomposites Composite materials made out of metallic quantum dots embedded in organic or glass hosts Metastability A term that refers to the nonequilibrium nature of glasses or amorphous solids. Amorphous solids have excess internal energy relative to the corresponding crystalline state or states of the same material. The method of manufacture, such as melt quenching, inhibits a transition to the lowest-energy crystalline state Microphotonics Refers to the chip-scale manufacture of optical and photonic waveguide circuitry, using processing techniques borrowed from the microelectronics industry. Related to this is the need for high-density integrated optics, as facilitated by high-index-contrast waveguides and photonic crystals. By usual definition, microphotonics refers specifically to the monolithic manufacture of optical and photonic elements on silicon (CMOS) chips
Glossary of Defining Terms
Miller’s Rule An empirical rule proposed by Miller in 1964, which suggests that χ (2) /{χ (1) }3 is nearly constant for all non-centrosymmetric crystals Miniband Interval of allowed energies for carriers in a superlattice, resulting from the delocalization and broadening of the quantum-well energy levels Minority Carriers Electrons in a p-type and holes in an n-type semiconductor. Many electron devices work on the base of minority-carriers transport. Minority-carrier mobility is the mobility of electrons (holes) in p-type (n-type) material MIS Abbreviation for structure metal/insulator/semiconductor (see MOS) Misfit Dislocations These are dislocations introduced near the boundary of an epitaxial layer and the substrate, when a mismatch between the lattice constants exists. The density of these dislocations is proportional to the mismatch. Although they run parallel to the boundary, they can interact and penetrate much of the epitaxial layer; see threading dislocations Mixed State In type II superconductors this state exists between the lower and upper critical fields. In the mixed state superconducting and normal regions coexist. Magnetic flux enters the superconductor in the normal cores of the flux lines Mobility Edge The boundary between localized and delocalized states in a band Mobility Gap Energy separation between two mobility edges of the conduction and valence bands Mobility Parameter defined as the ratio of the carrier velocity (cm/s) to the electric field through which it is moving (V/cm). It is expressed in units of cm2 /Vs. At the microscopic level, it is related to the dominant scattering time and the effective mass of the carrier. The notion includes drift mobility µ, which corresponds to the motion parallel to the field and Hall mobility µH , which corresponds to motion perpendicular to the electric field, when a magnetic field is applied MOCVD Technique for depositing thin films of compound semiconductors from a chemically reactive vapor phase where at least one of the components is a metal-organic Modulation Transfer Function (MTF) The MTF measures the efficiency of an imaging system such as a detector to resolve (transfer) different
spatial frequencies of information in an image. In other words, the MTF is the relative signal response of the system as a function spatial frequency Molecular-Beam Epitaxy (MBE) Low-temperature growth technique for epitaxial films from atomic or molecular beams from thermal evaporation sources. It is carried out in ultra-high vacuum. CBE is a form of molecular-beam epitaxy in which group III and group V beams are generated from external gas sources. MOMBE is a form of MBE where some or all beams are generated from external gas sources, in III–V MBE only the group III beams, from metal-organic sources. GSMBE is a form of MBE where some or all beams are generated from external gas sources, in III–V MBE only the group V beams, from hydride sources Molecular Electronics Exploitation of organic materials for electronics and optoelectronics applications. Examples are displays based on liquid crystals and organic electroluminescent polymers. In the case of organic polymers, the area is sometimes referred to as plastic electronics Molecular Reorientation Nonlinear process in which the orientation of molecules in liquid changes upon illumination with intense light Molecularly Doped Polymers (MDP) Formulations of charge-transport materials dissolved in a polymer matrix. CTLs of OPCs are typically MDPs Monolayer (ML) A single layer of atoms (in III–V MBE a layer of the compound or alloy, e.g. a layer of Ga + As) Moore’s Law prediction based upon the empirical observation by G. Moore that the minimum cost of manufacturing integrated circuits per component actually decreases with the increase in the number of IC components, and thus with greater circuit functionality and computing power. A corollary of this observation is that the density of integrated circuit transistors will double roughly every 1.5–2 years (see scaling) MOS Abbreviation for a metal/oxide/semiconductor structure, which is one possible realization of MIS. The insulator has traditionally been SiO2 (the oxide) due to superior materials and electrical properties, resulting in the abbreviation MOS Moseley’s Law States that the square root of the frequency of characteristic X-rays, for certain elements, is linearly related to the atomic number MOVPE Metalorganic vapor-phase epitaxy is a low-temperature growth technique using metalalkyls
1351
1352
Glossary of Defining Terms
(and elemental Hg in the case of Hg-based ternary compounds) as the sources MPCVD Technique (Microwave plasma chemical deposition technique) A technique for film deposition. This is now the most widely used technique for diamond growth. Energy is transferred by the microwaves to gas-phase electrons, which transfer their energy to the gas through collisions. The gas molecules dissociate and the active species are formed and the deposition of diamond onto the substrate immersed in the plasma occurs Multi-Crystalline Silicon (mc-Si) Wafers of silicon, cheaper to produce than the single-crystal silicon wafers but have multiple single-crystal grains in each wafer Multiple Quantum Well (MQW) Structure composed of a stack of QWs separated by sufficiently thick barriers so that the electron wave functions and energy levels are localized to each QW MW Abbreviation for medium wave, the term for the transparent atmospheric window between the wavelengths 3.3 µm and 5 µm. There is a CO2 absorption band around 4.25 µm which divides the band into two with the better atmospheric transmission in the 3.3 to 4.2 µm band
N Narrow Bandgap Refers to a semiconductor with a forbidden energy gap of less than about 0.7 eV, making it suitable for detection in the infrared wavebands Native Defect A vacancy, a self-interstitial, an anti-site or any complex of these Negative Differential Resistance (NDR) (see, transferred electron effect). In GaAs, electrons that undergo the transferred electron effect, are excited from the primary conduction band minimum where their effective mass is low to subsidiary minima where their effective mass is considerably greater. As a result, although they have greater kinetic energy, their drift velocity is lower. This, in turn, results in a reduction of current in the external circuit. The current–voltage characteristics show a reduction in current after a critical voltage is applied (although the ratio of current to voltage will always be positive). This is NDR. It can be shown that current flow in the NDR region is unstable and that charge tends to be transported in groups, resulting in oscillations in the current flow. This is the Gunn effect and is used in some microwave sources Nematic Phase is the simplest of the liquid-crystal phases; it has
long-range orientational order but is devoid of long-range translational order NETD Noise-equivalent temperature difference is a measure of sensitivity for a multiplexed infrared detector and is the change in scene temperature that produces a signal equivalent to the rms noise level of the detector Nonlinear Directional Coupler A device where a part of one of two waveguides is made out of a nonlinear material. Changing the intensity of the incident light changes the effective path length experienced by the waveguided light, thus controlling coupling between the two waveguides Nonlinear Fabry–Perot Interferometer A device that consists of two mirrors separated by a nonlinear material. As the refractive index of the nonlinear material changes with an increased level of illumination, the effective path length of the resonator is altered, changing the transmission properties of the device Nonlinear Figure Of Merit A figure of merit that describes the applicability of a refractive nonlinear optical material in terms of nonlinear index change and effective absorption Nonlinear Kramers–Kronig Transformations A set of two relations that permits calculation of the real (imaginary) part of nonlinear response at a given optical frequency given the knowledge of the imaginary (real) nonlinear response at all other optical frequencies Nonlinear Mach–Zehnder Modulator A Mach–Zehnder modulator in which a part of the waveguide is made out of a nonlinear material. Changing the intensity of the incident light changes the effective path length experienced by the light a the nonlinear waveguide, controlling transmission Nonlinear Material Figures Of Merit A set of metrics that allows us to quantify the applicability of a nonlinear optical material to optical switching Nonlinear Optical Medium A material whose properties change upon illumination with intense light Nonlinear Optical Switching Switching of optical signals using an illumination-dependent phase shift of nonlinear materials Nonlinear Periodic Structure A Bragg periodic structure in which at least one set of layers is nonlinear Nonlinear Phase Shift A difference between the phase shift experienced by intense light and a phase shift experienced by light which intensity approaches zero
Glossary of Defining Terms
Nonlinear Polarization Polarization that does not experience purely linear dependence on the electric field Non-stoichiometry Defined as the difference between the total anion and cation concentrations, hence anion- or cation-rich n-Type Conductivity A semiconductor material, with electrons as the majority charge carriers, that is formed by doping with donor atoms Nucleation Temperature Temperature where stable defect clusters/aggregates start to form as nuclei Numerical Aperture Figure of merit used to described the power of a lens, depending on the angle of collection of the lens and the refractive index of the medium in which the lens is situated
O Onsager Model A model that describes the probability of geminate recombination as a function of applied field with the efficiency of geminate pair photogeneration and the initial pair-separation distance as parameters Optical Absorption Coefficient 4π times extinction index, divided by the radiation wavelength Optical Bistability A phenomenon in which the instantaneous transmittance of the device depends both on the level of incident illumination and on the prior transmittance of the device. Such an element enables all-optical switches Optical Constants The real and imaginary parts of the square root of the dielectric constant/susceptibility, called the refraction and extinction index, respectively; they define the optical properties of bulk material and mesoscale structures Optical Emission Spectroscopy (OES) A technique to determine the plasma parameter and reactions in the plasma by measuring the emission intensity of line spectra from the plasma Optical Gap (See Bandgap) Optical Limiter A device in which the transmittance decreases with increased level of illumination Optical Properties of Sample These include the apparent reflectance, transmittance and absorbance Optical Texture Pattern observed for thin slabs of birefringent material between crossed polarizers under a microscope. It is
used as a fingerprint to help identify the many different liquid-crystal phases Optically Detected Magnetic Resonance Magnetic resonance observed by optical means, particularly by detection of electron spin resonance by monitoring luminescence intensity and polarization, etc. Order Parameter The parameter that measures the extent of long-range order characteristic of a phase. The orientational order parameter is the most important for liquid crystals; by definition it vanishes in the isotropic phase Organic Photoconductors (OPC) Single material or a formulated blend of materials that have photoconductive characteristics Organic Photoreceptors Thin-film multilayer devices made from organic photoconductive materials. These devices are often called organic photoconductors Oscillator Strength Measure of the probability of a transition between levels; an oscillator strength of 0.01–1 is highly probable (allowed) and has a short lifetime (ns), one less than 0.001 is improbable (partially forbidden) and has a long lifetime (µs–ms) Oxidation-Induced Stacking Fault A stacking fault that shows up after wafer oxidation. During oxidation, Si interstitials are injected from the wafer surface into the bulk. They aggregate around oxygen precipitates of a critical size and thereby squeeze an additional lattice plane (stacking fault) between two regular lattice planes Oxygen Precipitates Aggregates of oxygen atoms that form in Czochralski-grown crystals due to their relatively large oxygen content. The silica crucible that holds the Si melt is slowly dissolved during the growth process that introduces oxygen into the melt and, hence, into the growing crystal
P Paraelectric Nonpolar phase that transforms into the ferroelectric phase at the Curie temperature Partial Response Maximum-Likelihood (PRML) Recording Channel Particular form of equalization used in digital recording channels. The detector is maximum likelihood Passivation Passivation refers to the removal of electrical activity of a defect, often by trapping a mobile atom of the opposite electrical type (a donor may trap a mobile acceptor, for example). The defect now becomes a complex, consisting of the original defect and the
1353
1354
Glossary of Defining Terms
new component in close proximity, but without electrical properties. Unlike compensation, ionized impurity scattering is reduced by passivation and carrier mobility is increased Passive Matrix Addressing Also known as simple multiplexing, passive matrix addressing is a technique for writing images onto liquid-crystal displays. The display elements are arranged as a matrix of rows and columns, and a series of voltage pulses are applied to each row in sequence. Individual pixels are activated by applying a voltage to the relevant column, such that the sum of the row and column voltages exceeds the desired switching or threshold voltage. Each pixel responds to the root mean square voltage applied during the line-address time, and unlike the active-matrix addressed displays, there is no charge-storage facility. Thus, the number of rows that can be addressed is limited PECVD Technique An abbreviation for plasma-enhanced chemical vapor deposition. Like CVD, this is a technique for thin-film deposition. In contrast to the CVD technique, in the PECVD technique the deposition of a film is a nonequilibrium process. The gas-phase reactions, which are activated by temperature in the CVD technique, originate in the PECVD technique from an interaction of electrons with the gas molecules injected in the reaction chamber. In the plasma the dissociation of the gas precursors is obtained by their collisions with energetic electrons. The products of the reactions interact with the substrate surface and leads to the deposition of a thin film. As the dissociation of the gases is produced by electron impacts, the reactor and substrate can be kept at room temperature Penetration Depth (λL ) The characteristic length scale for the penetration of a magnetic field into the surface layer of a superconductor Perfect Diamagnetism (Meissner Effect) Of the superconducting state is reflected by the fact that a magnetic field is expelled from the interior of a field-cooled superconductor as soon as the superconducting state is reached Permalloy Alloy of nickel and iron with approximately 80% Ni and 20% Fe. Composition of alloy with zero magnetostriction Permittivity (ε) A measure of the polarizability of a dielectric material, which is frequency dependent. The relative permittivity of a material is often given by ε or εr , such as with the parallel-plate capacitor expression for capacitance: C = εε0 A/t. (See also dielectric constant.) Perpendicular Magnetic Recording Recording system consisting of write and read head
and a recording media where the magnetization is perpendicular to the surface of the recording medium PES Technique (Photoemission Spectroscopy) PES is a method to determine the barrier heights of Schottky contacts and band offsets of semiconductor heterostructures from energy-distribution curves of electrons photoemitted from Schottky contacts and semiconductor heterostructures excited by ultraviolet light (UPS), soft X-rays (SXPS) and X-rays (XPS) Phonons Quantized lattice vibrations in a solid Phosphorescence Luminescence with a lifetime 10 ns, typically involving a metastable state in the pumping cycle Photo-Thermal Ionization Spectroscopy A very sensitive technique for the measurement and identification of shallow impurities in semiconductors. The measurement is a two-stage process; the absorption of light excites the electron on un-ionized donors into a higher level and thermal energy now ionizes this electron into the conduction band, where it can be measured as a photo-current. Because the energy of the optical ionization is dependent on the donor type, the photocurrent spectrum as a function of photon energy, displays peaks that are characteristic of the donors present Photo-catalysis An enhancement of the reaction rate on the surface by shining UV radiation onto the growing film Photochromic Temporal coloring induced by (UV) light illumination. For instance, photo-structural changes of Ag particles, which are dispersed in oxide glasses Photoconductivity The part of the conductivity that is caused by the absorption of light. Negative values of the photoconductivity are possible when optical excitation activates efficient carrier traps. A photoconductive device uses the change in resistance of a slab of semiconductor to measure the extra electron–hole pairs created by the absorption of photons Photocurrent The current that is generated as a result of absorption of light Photodarkening Quasi-stable darkening induced by light illumination. In chalcogenide glasses, it occurs with a red-shift of optical absorption edge, which is induced through athermal photo-structural processes. The process, however, is speculative Photoinduced Degradation A deterioration of semiconductor properties by prolonged light exposure Photoinduced Discharge Characteristic (PIDC) Plot of exposed potential versus exposure using either
Glossary of Defining Terms
a continuous exposure or flash exposures of varying intensity Photoinduced Effects Changes in the properties of a glass induced by light, involving transitions between metastable states of the glass or changes in defect sites within the glass. Typically, a laser beam is used to locally modify the refractive index, density, absorption coefficient, etc. of the glass (see photodarkening, photo-induced degradation). These processes are widely used to pattern photonic structures such as Bragg gratings, waveguides, and refractive lenses into glasses Photoisomerization Light-induced change in the molecular structure of a molecule Photoluminescence Luminescence excited by the external illumination of the material. It should be distinguished from reflection and light scattering, which are also caused by external illumination and are not connected with thermal radiation of the material too. The criterion to distinguish these phenomena is the characteristic decay time after the cessation of the incident light. While reflection and scattering would decay within ≈ 10−14 s (characteristic time of electromagnetic oscillations of incident light wave) the photoluminescence would persist at least more than ≈ 10−12 s (characteristic time of atomic vibrations of luminescent material) Photon A quantum of electromagnetic radiation Photonic Glass High-purity glasses in which impurities are controlled or suppressed at ppm levels. An example is the optical fiber glass developed at the end of the 20th century, which is very recent when compared to a long history of artificial glasses of 5000 years Photorefractive Beam Fanning Spreading of an intense light beam due to the photorefractive properties of a material Photosensitivity of an organic photoconductor is a measure of the rate of photodischarge when exposed to light. Typical characterizations are the initial slope of the photodischarge (volts/J) and the energy required for photodischarge to half the initial surface potential Photovoltaic Device Utilizes a p–n junction in a semiconductor to separate electron–hole pairs (created by the absorption of photons) to generate a voltage Photovoltaic Module A complete encapsulated device suitable for mounting on a roof or building façade. Modules will often comprise of a number of cells and typical size is of the order of 1 m2
Photovoltaic Solar Cell A semiconductor device for conversion of solar energy into electricity Piezoelectric Polarization Generation of electric polarization in certain dielectric crystals as a result of the application of mechanical stress Piezoelectricity Property of some crystalline materials, which produce an electric charge when subjected to an externally applied force (direct effect). They also deform when subjected to an external electric field (reverse effect) Piezoresistivity Property of certain materials, including thick-film resistors, whereby an externally applied force gives rise to a change in resistance Pinned Film Coupling of a ferromagnetic to an antiferromagnetic film resulting in the magnetization of the ferromagnetic film being constrained to a fixed direction. The strength of the coupling is characterized by an exchange field parameter (Hua ) measured in Oe or A/m Pixie Dust Thin layer of Ru as used in synthetic antiferromagnetic media (SAF) Planar Lightwave Circuit (PLC) The industrially established processes for manufacturing integrated optics devices in silica-based glasses deposited on silicon wafers. Typically, the glass layers are deposited by chemical vapor deposition or flame hydrolysis. These technologies were developed mainly for applications in fiber optics, and are widely used to manufacture wavelength multiplexers Plasmon Quantized collective motions of electron gas in a metal. In a bulk metal, the plasmon propagates as a longitudinal wave, which may be probed by an electron beam. In a metal nanoparticle, a transversal surface plasmon can be excited by light waves Plastic Deformation Deformation of a body caused by an applied stress, which remains after the stress is removed Plastic Electronics Use of polymers in electronic and optoelectronic devices (See also molecular electronics) Point Defect Smallest structural element, or imperfection, to cause departure from a perfect lattice structure, e.g. a dopant or impurity atom Poisson’s Equation A differential equation relating the spatial gradient of the local electric field to the local space-charge density
1355
1356
Glossary of Defining Terms
Poisson’s Ratio ν The ratio of transverse contraction strain to longitudinal extension strain in the direction of stretching force. Tensile deformation is considered positive and compressive deformation is considered negative. The definition of Poisson’s ratio contains a minus sign so that normal materials have a positive ratio Polarity Property of a physical system that has two points with different characteristics, such as one that has opposite charges or electric potentials Polarization Ratio The density of states at the Fermi energy in an energy band for electrons in a metal with spins parallel ↑ (antiparallel) to the local magnetization is D(E F ) and ↓ D(E F ), respectively. The polarization ratio is P=
↑
↓
D(E F )−D(E F ) ↑ ↓ D(E F )+D(E F )
Poles of a Write Head Structure in a write head that couples the magnetic fields to the write gap Polycrystalline Solid Polycrystalline material is not a single crystal as a whole, but composed of many small crystals randomly oriented in different directions. The small crystals in polycrystalline solids are called grains. Theses grains have irregular shapes and orientations. A polycrystalline material has grain boundaries where differently oriented crystals meet. Polycrystalline silicon is produced in the form of a ribbon or thin films Polygonization The motion of dislocations to form structures that resemble three-dimensional polygons. In this way, they minimize their strain energies. Readers are referred to the many excellent books on materials science that discuss dislocations and their interactions Polymer Thick Films are those that are cured at much lower temperatures than their cermet counterparts. The binding matrix is a polymer material and the resulting films can be used in flexible circuits Positron Annihilation Analytical technique that is sensitive to open defects, such as vacancies, in a crystal. The lifetime of positrons is greater when the total number of electrons is reduced, as happens at vacancies. Measuring positron lifetime can give semiquantitative estimates of the concentrations of these defects Power Stabilizer Optical device that can provide a fixed output intensity upon varying input intensity. The principle may be based on multi-photon absorption, which becomes efficient when light is more intense
Precursor Volatile compound containing the element required for deposition onto the substrate Process Integration The carefully engineered combination of materials and processes to enable the fabrication of an integrated circuit technology. The careful consideration of materials properties, device physics and electrical engineering principles is required for successful integration of materials into an IC chip Proper Ferroelectric Ferroelectric material in which the spontaneous polarization is the primary order parameter Pseudo-morphic Layers True epitaxy only occurs when the lattice constants of the substrate (material A) and layer (material B) are equal. If they are different, misfit dislocations or even gross bending of the structure can occur. In pseudo-morphic growth, the lattice constants can be very different. However, before misfit dislocations can be formed, either the growth of B is stopped or a layer of the substrate material, A, is grown on top of the structure. In either case, the structure is stabilized with material B being severely strained. Manufacturers use this technique to produce devices with advanced electrical or optoelectronic properties p-Type Conductivity Semiconductor material in which the dopants create holes as the majority charge carrier. It is formed by doping with acceptor atoms Pulse Width Width of a pulse in a digital magnetic recording read head when sensing a recorded transition. Characterized by the 50% width (PW50 ) Pyroelectric Effect Generation of an electric polarization change or a charge separation in a material in response to a change in material temperature Pyrolytic Boron Nitride (pBN) This is the favored crucible material for GaAs growth from the melt and for Knudsen cells for MBE. Pyrolytic refers to its high-temperature capabilities
Q Quantum Cascade (QC) Laser Light amplification by stimulated emission of radiation (laser) through inter-subband transitions and unipolar electron transport Quantum Confined Stark Effect The change in near-band-edge optical absorption in quantum wells that takes place when a high electric field is present Quantum Dot (QD) A semiconductor quantum heterostructure that is quantum confined in all three dimensions, for example
Glossary of Defining Terms
InAs islands embedded in a layer of GaAs and Ge islands in Si Quantum Efficiency Indicates either the probability that the absorption of a photon will result in the creation of a free electron and hole or the ratio of the number of luminescence photons to the number of stimulating photons. When determined by xerographic discharge of the photoreceptor QE is a measure of the overall effectiveness of surface charge neutralization per absorbed (or incident) photon. It is sometimes called xerographic gain or supply efficiency. When determined by spectroscopic methods, such as fluorescence quenching, it is a measure of charge generation within the light-absorbing moiety Quantum Well (QW) Low-dimensional semiconductor quantum heterostructure where a thin layer with lower bandgap is sandwiched between layers (barriers) with higher bandgap, leading to spatial confinement of carriers along one dimension for which the energy is quantized in discrete levels. Carriers have free motion in the plane perpendicular to the confinement direction. May be repeated to produce a multiple quantum well (MQW) Quantum Well Inter-subband Photodetector (QWIP) Long-wavelength (IR) detector based on light absorption through inter-subband electron transitions in n-doped QWs Quantum Wire Semiconductor quantum heterostructure with two-dimensional confinement of carrier motion. Carriers have just one direction of free motion Quantum-Confined Stark Effect (QCSE) Response of the confined electrons and holes in a quantum well to a strong DC electric field applied in the growth direction Quantum Size Effect Quantum effect associated with quantization of the band and level. It is observed in two-, one- and zero-dimensional systems whose size becomes small Quasi Particles Unpaired electrons excited above the energy gap Quasi-Fermi Levels Levels that correspond to the energy positions the equilibrium Fermi level would need to have in the gap in order to produce equivalent carrier densities to the ones that are generated by the illumination
R Radiation Resistance This is important for solar cells operating in space where high doses of gamma rays and high energy particles can significantly degrade the efficiency of the cells
Radio-Frequency (RF) Circuits High-speed and microwave analog circuits that operate in the range 0.1–100 GHz Reactive Sputtering Technique for preparing thin films by sputtering with gas mixture of reactive gas and conventional sputtering gas such as oxygen and argon Reactor Cell Chamber where the precursors react to deposit a film onto the substrate Read Head In magnetic recording the ferromagnetic device used to generate a voltage proportional to the state of magnetization in the recording medium Reciprocal Lattice Theoretical lattice constructed from a real lattice, such that any vector from the origin to a diffracted spot is normal to a particular plane in the real lattice, with reciprocal length of that plane spacing Recombination Process whereby non-equilibrium populations of electrons and holes return to their equilibrium values. Depending on whether the recombination rate is proportional to the excess carrier density or the square of that quantity, the processes can be referred to as linear and quadratic, or alternatively as monomolecular and bimolecular recombination. Radiative recombination refers to the transfer of at least some of the energy of the excess carriers into photons. Non-radiative recombination involves only the production by lattice phonons (heat) Reflectometer Instrument used for reflecting light off the substrate to monitor the growth process. This is normally achieved through detecting the interference modulation of the light intensity from a growing film Reflow Process of heating a glass above its glass-transition temperature, to the point that its viscosity is sufficiently reduced to enable the material to flow. In combination with surface tension effects or other external forces, reflow is often exploited in the reshaping of optical devices Remanent Magnetization is magnetization that remains in a sample after the magnetic fields are removed Remanent Polarization Dielectric polarization that remains in a ferroelectric material after an electric field has been applied Resistivity Parameter of a semiconductor that depends on the free electron and hole densities (cm−3 ) and their respective mobilities (cm2 /Vs) and is expressed in units of Ω cm. It is the reciprocal of conductivity and it depends strongly on temperature in a semiconductor
1357
1358
Glossary of Defining Terms
Resolution The smallest separation of two points in an object that can be distinctly reproduced within an image Resonant Nonlinear Response The nonlinear response taking place in the absorbing spectral region Responsivity Signal term often measured using a two-temperature black body. Units can be V/W (used for photoconductors) or V/photon (often used for multiplexed photodiodes) Retrograde Solidus Describes the shape of the solidus when it shrinks as the temperature is reduced. In GaAs, the increased width of the solidus at high temperatures indicates that concentrations of Ga or As, in excess of stoichiometry, can exist in the solid crystal. These concentrations must reduce as the crystal cools because of the retrograde solidus RHEED Glancing-angle electron diffraction technique, sensitive to surface reconstruction and morphology. Key in situ analytical technique in MBE ROIC Abbreviation for readout integrated circuit, commonly used to describe the silicon chip on which the detector material is mounted. The role of the ROIC is to integrate the signal, perform some signal processing and readout the array. Other terms are: multiplexer or mux R–T Method Defining the optical constants, based on two equations connecting the formers to the reflectance and transmittance of the slab sample of material under measurement Rutherford Scattering Elastic scattering of electrons due to an electrostatic interaction with the nucleus and surrounding electrons of an atom
S Saturated Vapor Pressure (SVP) Partial pressure of a substance in equilibrium with its liquid, so the partial pressure is determined solely by the temperature of the liquid and vapor Saturation Intensity Intensity at which the effective absorption decreases to a half of its initial value Saturation Magnetization Maximum magnetization that a ferromagnetic sample can attain in magnetic fields larger than the coercive field Saturation of Absorption Resonant nonlinear process in which absorption decreases with increased level of illumination
Scaling Calculated reduction of integrated circuit element dimensions according to physical and engineering principles and constraints, as well as economic considerations (See Moore’s Law) Scanning Probe Microscopy Generic term given to microscopy techniques that use a scanned micro- or nanoscale tip in immediate proximity to a surface to image topography or other physical features with almost atomic resolution. The main types are atomic force microscopy (AFM) and scanning tunneling microscopy (STM) SCH Laser Separate confinement heterojunction laser. In the SCH laser the optical and electrical confinements are achieved separately by altering the thickness and the alloy composition of the cladding layers Schottky Contacts Metal–semiconductor contacts are also named Schottky contacts Schottky–Mott Rule Schottky–Mott rule equates the barrier heights of n-type (p-type) Schottky contacts with the difference of the metal work function and the electron affinity (ionization energy) of the semiconductor. The rule is incorrect since it does not consider the intrinsic interface-induced gap states Screen Mask used to define the desired thick-film pattern. It is usually made of stainless steel, polyester or nylon Screen Printing Method by which thick films are deposited onto substrates. See also antiferromagnetically coupled media (AFM) Secondary Electrons Low-energy (< 50 eV) electrons that escape from the near sample surface, used to form topographic images Secondary-Ion Mass Spectrometry (SIMS) and Glow Discharge Mass Spectrometry (GDMS) Mass-spectrometric techniques that are well suited for the chemical analysis of semiconductors. In addition to being extremely sensitive to most impurities, they are quantitative and can give valuable information regarding the distributions of concentrations with depth. In SIMS, high-energy primary ions are focused on the sample surface. These sputter atoms from the material under investigation. Those that are charged are passed into a mass spectrometer for analysis. In GDMS, the sputtering is accomplished by a glow discharge. SIMS is, by convention, generally classified as dynamic, in that the material surface layers are continually removed as they are being measured, and static, in which the ion dose during measurement is restricted to less than 1016 ions/m2 in order to retain the surface in an essentially undamaged state
Glossary of Defining Terms
Segregation Coefficient, k In growth from the melt the incorporation of an impurity into the crystal depends on the equilibrium ratio of the solubilities in the melt and the solid. For a dilute solution, this ratio is given by the ratio of the equilibrium value of impurity concentration at the solidus and the liquidus at the growth temperature. This ratio is the segregation coefficient, k. For most impurities, k is less than unity and the growing crystal contains a lower impurity concentration than in the source melt. The exploitation of this fact has resulted in the purification technique of zone refining Selection Rule Quantum-mechanical rule based on wave-function and operator symmetry that determines oscillator strength; typically set by parity or angular-momentum considerations Selective Epitaxy Growth of a single-crystal layer in a window without any deposition on the surrounding mask layer Selenization Process of forming the CIGS or CIS layer by exposing a copper, indium and gallium precursor layer to Se to form the alloy Self-Assembly Spontaneous formation of a layer of organic material on a solid substrate surface. The process usually takes place by immersing the substrate into a solution of the organic compound. The driving force for the self-assembly can be chemical and/or electrostatic attraction Self-Defocusing A nonlinear process associated with negative refractive nonlinearity that results in spatial spreading of intense light Self-Focusing A nonlinear process associated with positive refractive nonlinearity that results in focusing of intense light Semi-Insulating This is a term given to semiconductors whose resistivity lies above about 106 Ω cm. In GaAs, semi-insulating properties normally lie in the range of 107 –108 Ω cm Semiconductor Detector Device that converts the incident photons directly into electrical pulse Semiconductor Nanocrystals Composite materials made out of semiconductor quantum dots embedded in organic or glass hosts Shallow-Energy-Level Dopant Doping impurities whose energy level lies very close to the conduction or valence band for donors or acceptors Shear Modulus Sometimes also called the rigidity, relates stress and strain according to Hooke’s law and is a measure of
a material’s resistance to shearing stress. The shear modulus therefore has units of pressure Sheet Resistance Resistivity of a semiconductor sample divided by its thickness, measured in Ω /square. It is commonly used by integrated circuit designers when designing resistances by specifying the number of required squares required to give a certain value of resistance Shields Soft ferromagnetic films used to direct the flux from a recording layer away from sensor films in a read head Short-Range Atomic Structure Atomic bonding structures within a scale of ≈ 0.5 nm, which are characterized by coordination number (the number of nearest-neighbor atoms), bond length, and bond angle. It is demonstrated for such simple glasses as SiO2 that the short-range structure is nearly the same with that in a corresponding crystal Signal Decay Rate Rate (R) at which the amplitude of a signal read back from a recording system decays with time A(t) A(t ) −1
0 R = 100 log(t/t 0) Single-Layer Organic Photoconductor A photoconductor with an architecture where the charge-generation and charge-transport functions are combined into a single layer Slider In a disk drive, the structure used to support on an air bearing the write and read heads over the recording medium Sliding Boat Liquid-phase epitaxy technique in which the substrate is slid under the melt in a horizontal orientation Slope Parameter (In perpendicular magnetic recording) The slope of the magnetization curve at the coercive field times dM 4πα = 4π dH(H=−H c) Slush Homogeneous charge of a ternary is held across the liquidus–solidus gap with the lower end solid, the upper end liquid and the central section in a slushy state, during recrystallization Smectic Phase Liquid-crystal phase with some long-range translational order in addition to the long-range orientational order of a nematic. The constituent rod-like molecules are arranged in layers giving translational order in one dimension Soft Underlayer (SUL) Magnetically soft (low-coercivity) film underneath the recording layer in a perpendicular magnetic recording system. Used as a low-reluctance path for the flux from the write head
1359
1360
Glossary of Defining Terms
Solar Cell Semiconductor device that converts the energy of sunlight into electric energy. Also called photovoltaic cell Solid/Liquid/Gaseous Phase Equilibria These phase equilibria are essential for understanding both the various growth techniques used and in understanding post-growth heat treatments, i. e. cool-down after growth and subsequent annealing stages Solidus In an equilibrium phase diagram, the solidus is the line below which all the components are solid Solitonic Propagation Of Pulses Propagation of pulses characterized by a lack of temporal pulse spreading Spatial Frequency Spatial frequency is the reciprocal of a spatial dimension (e.g., height x or width y in two-dimensional image) similar to the temporal frequency, which is the reciprocal of time. However, the unit of spatial frequency is preferably expressed as line pairs/mm (lp/mm), instead of cycles/mm Specific Heat (also called the specific heat capacity). Amount of heat required to change a unit mass (or unit quantity, such as mole) of a substance by one degree in temperature Spherical Aberration A blurring of resolution due to the spread of path lengths of rays traveling from an object to the image plane, arising from a variation of the focal length of a lens as a function of distance from the center of the lens Spin Valve Read head that uses the giant-magnetoresistive effect in three metallic films: the ferromagnetic free film, a non-magnetic spacer film and a ferromagnetic pinned film Spontaneous Polarization The electric polarization that a substance possesses in the absence of an external electric field SPRITE Abbreviation for signal processing in the element: a device used in the UK common module camera. It relies on a strip of CMT with a high voltage bias to drift photon generated holes at the same speed as the image is scanned, so resulting in an amplified signal at the end of the strip Sputter Depth Profile Compositional depth profile obtained when the surface composition is measured as material is removed by sputtering. Note: in some analytical methods such as SIMS, the sputtering is often accomplished by the ion beam used for analysis, but in other methods an ion beam may need to be added
Sputtering Process in which atoms and ions are ejected from the sample as a result of particle bombardment Squeegee Device used to transfer the thick-film paste through a screen and onto the substrate SRAM Static random-access memory SSR Solid-state recrystallization is a growth technique that produces a homogeneous but polycrystalline charge that is subsequently recrystallized in the solid state to produce multi-grained material Stepanov Technique Crystals are pulled from a crucible containing a crystal-shaped aperture Stoichiometry State of a perfect compound where the ratio of the numbers of atoms of the elements is a simple fraction. In GaAs, for example, stoichiometry exists when the number of As and Ga atoms are equal Stokes Shift Difference in energy between the maximum of the emission spectrum and the maximum of the excitation spectrum Strain (ε) Defined in elementary form as the change in length divided by the original length., it can be thought of as the movement of one corner of a cubic box from its initial position under stress Strained Quantum Well QW layer grown on a substrate with a different lattice constant, resulting in a significant strain of the QW layer lattice Stress Force per unit area provided either by gravity or by the flow of viscous fluid Strong Anchoring Strong anchoring of the director at a surface parallel to the easy axis is said to occur when an applied field is unable to alter the orientation of the director at the surface but can in the bulk Structural Relaxation Essentially an aging effect associated with glasses. Because glasses are metastable materials with random network structures, they are inherently subject to short- or long-term changes in material properties. Often, structural relaxation is manifested by a change in specific volume (densification) at fixed temperature versus time. The rate of such changes is extremely sensitive to the difference between the glass transition temperature and the observation temperature. Structural relaxation can be induced rapidly by an annealing step, in which the glass is heated near its glass-transition temperature for some period of time
Glossary of Defining Terms
Substitutional Impurities Impurities that replace the crystal’s base atom at that base atom’s lattice position Substrate Base material onto which a film is deposited. Examples of typical substrates include materials such as alumina, beryllia, aluminum nitride, silicon, insulated steels and various plastics. In epitaxial processes, substrates are normally near lattice-matched pieces of material of a sufficiently large area for use in the various epitaxial growth processes, normally from similar, i. e. common-cation, ternary systems, e.g. CdZnTe for CMT Superconductors of Type I Characterized by the fact that normal and superconducting regions cannot coexist in these √ materials. The value of κ = λL /ξ is smaller than 1/ 2 Superconductors of Type II √ Type II superconductors, for which κ = λL /ξ > 1/ 2, show perfect diamagnetism only below the lower critical field Bc1 . Between the lower and the upper critical fields type II superconductors are in the mixed state. Magnetic flux enters the superconductor via the normal conducting cores of the flux lines Supercooling Temperature Difference between the glass-transition temperature and the in-use temperature for a glass-based device. For a large (small) supercooling temperature, the structural relaxation rate is low (high) Superlattice (SL) Structure of repeated QWs with thin barriers allowing the coupling of wave-functions from adjacent QWs and the subsequent delocalization of the energy levels Superlattice Avalanche Photodiode (SL-APD) Avalanche photodiode architecture where an SL is incorporated in the carrier multiplication region for the purpose of reducing the dark current as well as the excess noise factor Superparamagnetism In small ferromagnetic particles the magnetization can flip from one state to the opposite state under thermal excitation. This behavior is similar to a paramagnet. The resistance of the particle to switching is characterized by a thermal stability factor = Kk uTV , B where K u is the uniaxial anisotropy factor for the particle, V is the particle volume, kB is the Boltzmann constant, and T is the absolute temperature Supersaturation Ratio between the species concentration and its solubility limit/equilibrium concentration at a given temperature when this ratio is larger than 1 Superstrate Describes the thin-film configuration where the glass substrate acts as the window for solar radiation and therefore needs a TCO layer before the photovoltaic structure can be deposited
Surface-Mount Devices (SMDs) Electronic components that are attached to the surface of a circuit board as opposed to having through-hole connections. They are a characteristic feature of a hybrid circuit Surface Passivation Semiconductor surfaces are often electrically active and appear to be covered with a high density of deep-level states. These can greatly affect the properties of a device. Fortunately, it is often possible to treat the surface to substantially reduce this density to values that do not affect device operation. This is surface passivation. In GaAs, passivation is often accomplished by covering the surface with a layer of GaAlAs. Also, see passivation Susceptor This is normally made of high-density graphite and is used to transfer the heat from the heater (possibly RF coupling straight into the susceptor) to the substrate SW Abbreviation for short wave, often used for wavelengths between 1.0 µm and 3 µm. The atmosphere is transparent in relatively narrow bands within the SW region, the most common one is between 2.0 and 2.25 µm, although 1.5 µm is also important as this is the wavelength for eye-safe lasers Synthetic Antiferromagnet Sequence of films: antiferromagnet/ferromagnet/ruthenium/ferromagnet. An example is: MnFe/Co/Ru/Co. With a thin Ru film, the final ferromagnetic film is antiferromagnetically coupled to the first ferromagnetic film. The coupling between the two ferromagnetic films is characterized by the exchange coupling parameter J12 (erg/cm3 or J/m3 ) Synthetic Ferrimagnetic Media (SFM) Magnetic recording media in which there are two ferromagnetic layers of unequal thickness coupled by a thin layer of ruthenium (Ru), sometimes referred to as “Pixie Dust”. The two layers are antiferromagnetically coupled. The coupling between the ferromagnetic films is characterized in terms of the antiferromagnetic coupling between the thinner layer (layer 2) and Ru and the media flux from that layer by an exchange magnetic field Hex = Jex,2 /M2 t2
T T Nonlinear Figure of Merit Figure of merit that describes the applicability of a refractive nonlinear optical material in terms of the Kerr coefficient and two-photon absorption Tail States Localized states in the tail of band, i. e. conduction band and valence band, which generally have an exponential density-of-states function
1361
1362
Glossary of Defining Terms
Tauc Gap Tauc discovered that, in many chalcogenide glasses such as As2 S3 , optical absorption spectra α around the fundamental edge can be fitted as α ω ∝ ( ω − E g )2 , where E g is called the Tauc gap. The energy is often used as a measure of optical bandgap, while its theoretical interpretation is not conclusive Technology Node Minimum half-pitch of metal interconnect is most representative of the process capability enabling high-density (low cost/function) integrated circuits and is selected to define an ITRS technology node. For each node, this defining metal half-pitch is taken from whatever product has the minimum value. Historically, DRAMs have had leadership on metal pitch, but this could potentially shift to another product in the future. Other parameters are also important for characterizing IC technology. For example, in the case of microprocessors (MPUs), physical bottom gate length is most representative of the leading-edge technology level required for maximum performance. Each technology node step represents the creation of significant technology progress in metal half-pitch – approximately 70% of the preceding node, 50% of two preceding nodes. (From [IRTS 2003]) Temperature Coefficient of Resistance (TCR) Denotes the sensitivity of a resistor material to changes in temperature. It is usual to quote TCR in terms of parts per million (ppm) per ◦ C Tensile Strain Type of strain obtained when a strained Si layer is grown on a relaxed Si1−x Gex layer Ternary and Quaternary Alloys These are alloys containing three or four components, respectively. GaAlAs and GaAlAsP are examples Thermal Budget Term describing the temperature–time product associated with an IC annealing process step. Material stability and morphology is typically very sensitive to annealing temperature for a period of time Thermal Conductivity (heat conductivity) Heat flow across a surface per unit area per unit time, divided by the negative of the rate of change of temperature with distance in a direction perpendicular to the surface Thermal Expansion Coefficient Fractional change in length or volume of a material for a unit change in temperature Thermal Nonlinearities Nonlinear effect associated with heating of the materials by intense light Thermally Stimulated Current (TSC) spectroscopy TSC is a useful technique for assessing concentrations of deep levels in high-resistivity semiconductors. These levels are filled optically with light above the bandgap energy. They are emptied sequentially, with
the sample under bias, as the sample temperature is raised. Defects of different ionization energies produce separate peaks in the graph of current as a function of temperature Thermistors Thermally sensitive resistors that exhibit a change in resistance when the temperature is altered. The most common forms have a negative TCR, meaning that the resistance decreases as the temperature increases Thermomechanical Fatigue Occurs when materials with different CTE are joined and used in an environment that experiences cyclic temperature fluctuations resulting in imposed cyclic strain that results in damage to the joined materials Thermophotovoltaic (TPV) Absorption of solar radiation and re-emission of infrared radiation that is then converted into electricity by absorption in a narrow-bandgap cell Thermotropic Liquid crystals are those where the transition from one phase to another occurs on changing the temperature Thick Film Layer deposited onto a substrate by the process of screen printing Threading Dislocations This term is applied to dislocations that are formed at an interface and that thread their way into the epitaxial layer Threshold Voltage (V th ) Voltage at which an inversion layer forms in the semiconductor substrate of an MIS structure. For applied voltages beyond this threshold, the transistor turns on, i. e. a conducting channel is established between the transistor source and drain, as observed by the increase in drive current Time Division Multiplexing Multiplexing technique used in modern optical networks that allows close spacing in time of bits in a single channel Time-Domain Charge Measurement (TDCM) TDCM is a rapid technique for the non-contacting measurement of electrical resistivity in SI materials. Its speed and high spatial resolution allows TDCM to be used in a mapping system Tipping Liquid-phase epitaxy technique in which the melt is moved over the substrate in a tipping furnace Transferred Electron Effect This is a somewhat unusual effect where conduction-band electrons can exist in alternative conduction-band states. In GaAs, the lowest conduction-band minimum corresponds to zero electron momentum. The application of an electric field can excite electrons into subsidiary minima of somewhat greater energy from where they will relax back to their original states. If the effective mass of the
Glossary of Defining Terms
electrons in the subsidiary minima is greater than that in the primary minimum, negative differential resistance can be realized. This effect can be found in GaAs, InP and certain alloys Transistor A three-terminal device in which the current flow between two terminals (called the source and drain regions) is controlled by the voltage applied between a third terminal (the gate electrode) and one of the two terminals Transit Time Refers to the difference in time between the moment a charge carrier is generated at one end of the sample and its arrival at the other end. It is the primary result in time-of-flight experiments. In xerography, transit time is the time for a photoinjected carrier to traverse the charge-transfer layer Transparent Conducting Oxide (TCO) Thin layer of highly conducting material that is used as the front contact in thin-film solar cells. The requirement of high optical transmission over a wide spectral range is important to allow as much of the sunlight through to the absorber layer Transverse Magnetic Bias Film In a magnetoresistive head, an antiferromagnetic film coupled to the pinned film to maintain the magnetization of the pinned film in a direction transverse to the magnetization of the recording film Trapping Centers Irregular sites in the photoconductor with localized electronic energy levels inside the bandgap. Such sites will trap charge carriers and thus inhibit electrical conduction Traveling Heater Method A molten zone is made to migrate through a homogeneous solid source material Trimming Process by which the value of thick-film elements can be adjusted. Usually achieved by using a laser or air abrasive jet Tunneling Magnetoresistance (TMR) With two ferromagnetic films coupled by a thin insulating layer, electrons can tunnel through the insulating layer and the magnetoresistance coefficient is given in terms of the polarization ratio for the two 2P1 P2 contacts as ∆R R = 1−P1 P2 . Tunneling Conduction Process for charge conduction where the charge carriers pass through an energy barrier by quantum-mechanical tunneling Twin Crystal Crystal having two or more crystals or crystal sections that, when regularly positioned, are in reverse position relative to the other sections
Two-Photon Absorption Nonlinear process in which a simultaneous absorption of two photons results in an electronic transition
U UHV Ultra-high vacuum is a vacuum better than 10−9 Torr Ultrafast Nonlinear Response Nonlinear response taking place in the non-absorbing spectral region Underfill Dielectric composite organic material that is bonded between the chip and substrate of a flip-chip device to help mechanically interlock the chip to the substrate. The underfill material is typically a silica-filled anhydride resin polymer Uniaxial Anisotropy Magnetic anisotropy along one direction in a ferromagnetic material and characterized by a uniaxial anisotropy parameter K u (erg/cm3 or J/m3 ) Uniform Planar Alignment of the director is when the director is parallel to the surface and to a particular direction in the surface. This has also been referred to as homogeneous alignment Unipolar Avalanche Photodiode (UAPD) QWIP detector employing avalanche multiplication of only one type of carrier via intra-QW impact ionization by carrier–carrier scattering Upper Critical Field (Bc2 ) The highest magnetic field allowing the existence of the superconducting mixed state in the type II superconductor considered
V V/I boundary Denotes the spatial location of the transition from the vacancy-dominated region to the Si interstitial dominated region and vice versa Vacancy Regular lattice site from which the host atom is missing. Anion (cation) vacancies are generally donors (acceptors) Valence Band Highest range or band of energies in a semiconductor where electrons are normally present at zero temperature. When electrons are promoted from this band, holes are left behind that contribute to the electrical conductivity Vapor Growth Techniques Growth takes place via a vapor phase: particularly useful for high-melting-point materials, or those with high partial pressures of one constituent, e.g. ZnS
1363
1364
Glossary of Defining Terms
Vapor-Phase Epitaxy (VPE) This is a form of epitaxy where the components of the layer to be grown are transported to the substrate as a vapor. Decomposition of these components to produce the layer occurs because the substrate is heated, often on a support called a susceptor. The different types of VPE are discussed in the text (VCSEL) Vertical-cavity surface-emitting laser. One of the more modern forms of semiconductor laser, employing the high gain of quantum wells in a low-loss optical cavity Vegard’s Law States that the lattice parameter of an alloy material is given by the concentration weighted average of the constituents Vehicle Liquid component of the thick-film paste. Typically contains a resin dissolved in a solvent. It is removed during the drying and firing processes Verneuil Technique Rapid growth method used for many high-melting-point materials, mainly oxides Vertical-Gradient Freeze Technique Similar to Bridgman but freezing is controlled by moving a temperature gradient along a stationary crucible Vertical Transport Mechanisms of carrier transport parallel to the growth direction in a semiconductor quantum-confined structure Vertical-Cavity Surface-Emitting Laser (VCSEL) QW diode laser emitting through its top semiconductor surface VI Recombination Mutual annihilation between vacancies and Si interstitials Virtual Gap States The virtual gap states are the solutions of Schrödinger’s equation for complex wave vectors in the energy gap. The continuum of these virtual states is the source of real impurity states in the bulk as well as of surface states and the interface-induced gap states at real surfaces and interfaces, respectively, provided the corresponding boundary conditions are considered Void see COP VPE A general term used to describe the deposition of an epitaxial thin film from the vapor phase
W W Nonlinear Figure of Merit Figure of merit that describes the applicability of a refractive nonlinear optical material in terms of nonlinear index change and linear absorption
Wavelength Division Multiplexing Multiplexing technique used in modern optical networks that involves sending many signals in parallel at closely spaced wavelengths along the same fiber Weak Links Large-angle grain boundaries in cuprate superconductors, which act as barriers for the supercurrents Web Photoreceptors Devices where the layers are coated on an insulating polymeric support and subsequently fashioned into a loop Wire Bonding Process where a thin wire (can be less than 25 µm) made of Au or Al is bonded to the surface of an integrated circuit and then to a pad or a leadframe in the package Work Function The energy (usually measured in electron volts) needed to remove an electron from the Fermi level in a solid to outside the surface Write Efficiency Ratio of the magnetic field times write gap to the magnetomotive force (turns times current) in the write coil Write Gap Region in a write head that generates the magnetic fields that couple to the recording medium. Characterized by the gap length g Write Head In magnetic recording the ferromagnetic device used to generate magnetic fields from current that can switch the state of the magnetization in the recording medium. In disk drives the ferromagnetic device is made using thin films Wrong Bond Homo-polar bonds in stoichiometric glasses, such as As–As in As2 S3 . The defective bond nominally does not exist in the corresponding crystal. However, specifically in covalent glasses such as As2 S3 , the bond exists with a concentration of ≈ 1%, which depends upon preparation methods and so forth Würtzite Structure Comprises two interpenetrating close-packed hexagonal lattices, one for cations and the other for anions. Each anion (cation) has four cation (anion) nearest neighbors. In principle diffusion should be anisotropic but meagre available evidence indicates only slight effects
X Xerographic Discharge Time-dependent decrease in surface potential with exposure of a charged OPC
Glossary of Defining Terms
Xerographic Gain or quantum efficiency of supply is the fractional number of surface charges neutralized per absorbed photon Xerography Name coined by Chester Carlson for electrophotography using dry powder marking particles X-Ray Form of energetic electromagnetic radiation of wavelength ≈ 0.1 nm X-Ray Diffraction A highly sensitive technique for measuring the lattice constant of crystalline solids, see B K Tanner and D. K Bowen, 1980 X-Ray Photoelectron Spectroscopy (XPS) Method in which an electron spectrometer is used to measure the energy distribution of photoelectrons and Auger electrons emitted from a surface irradiated by X-ray photons X-Ray Sensitivity The X-ray sensitivity of a photoconductive detector is the collected charge per unit area per unit exposure of radiation X-Ray Topography This is a method for sampling the diffraction condition of X-rays from a surface in order to observe changes in lattice constant. The X-rays are scanned over the surface and an image is built up from changes in the
fraction diffracted at a particular angle. Changes in lattice constant resulting from strain or changes in composition can be imaged in this way
Y Yield Strength Applied stress (in pounds per square inch or psi in the English system, megapascals or MPa in the metric system) under which an object experiences plastic deformation Young’s Modulus Ratio of a simple tension stress applied to a material to the resulting strain parallel to the tension. Therefore Young’s modulus has units of pressure
Z Zinc-Blende Structure A crystal structure that has two interpenetrating face-centered cubic lattices, one for the cations and the other for anions. Each anion (cation) has four anion (cation) nearest neighbors. Diffusion is isotropic Zone Refining Technique used to repeatedly pass zones of molten material through a solid bar in order to purify it, either for use directly in applications or to produce pure starting materials, e.g. elements for compound semiconductors
1365
1367
Subject Index
A Abbe number 74, 75, 1066, 1333 about disordered – ionic conduction 161 abrasive trimming 725 absorption 1064 – linear 1067 – rate 891 – saturation 1079 absorption coefficient 190, 1086, 1333 – amorphous semiconductors 570 – effective 1064 AC Josephson effect 1200 accelerated crucible rotation technique (ACRT) 236, 305 accelerometers 729 acceptor 1333 – dopants 125 – doped oxide defect diagram 222 – impurities 216 acceptor concentration 1333 acceptor level 1333 acceptor-doped material 222 acceptors, group V 850 ACCUFET (accumulation-mode MOSFET) 550 accumulation 1333 acoustic-phonon scattering 465, 767
ACRT 1333 activation energy 166, 217, 402, 845, 1113 active components 725 active material 1333 active matrix addressing 937, 1333 active matrix array (AMA) 1121 active matrix flat-panel imagers (AMFPI) 1121 active region 914 actuator 728, 1152 AC conductivity 189 adhesive – interconnects, rework 1283 – isotropic 1282 a-face growth 543 aggregation temperature 110, 114 Ag-In-Sb-Te (AIST) 1140 Aharonov–Bohm effect 1150 air mass (AM) 1096 air mass number 1333 AlGaAs 8, 10, 11 AlGaAs alloys 510 AlGaInp 11 AlGaN 8, 12, 13 – alloy 792 AlInGaAs, AlInGaP 8 AlInN/AlGaN lattice-matched 793 alkali growth 235 all-optical switch 1333 alloy – binary, solid solution 26 – composition interpolation scheme 736 – disorder scattering 40 alloy semiconductor – optical spectra 748 alloys 1155 – Group III–V 739 – Group I–VII 739 AlN (aluminium nitride) 805, 820 – electrical properties 773 – electron transport 817 – film 760, 773, 788 – fundamental properties 755 – heteroepitaxial films 777 – lattice constants 757 – material parameters 809 – mechanical properties 759 – nucleation 769
– optical phonon frequencies 760 – optical properties 786 – single crystals 773 – thick film technology 720 alumina – materials 720 – self-ordered porous 1005 – tape growth 236 – thick film substrates 720 aluminium oxide – DRAM capacitor 649 ammonia sensor 1243 AMOLED display 1107 amorphous – As2 Se3 140 – organic semiconductors 1113 – polymer 394 – selenium 146 – semiconducting film 1107 amorphous film 683, 687 – electronic properties 1108 – metallic 688 amorphous metaloxides 1333 amorphous network 1334 amorphous semiconductor 59, 99, 144, 565, 956, 1067, 1114 – absorption coefficient 570 – conduction band 566 – electrical conductivity 573 – electrical Properties 573 – electronic states 566 – Hall effect 574 – light-induced phenomena 575 – linear 1067 – optical properties 570 – photoluminescence 570 – photoluminescence spectra 65 – refractive index 1067 – stationary photoconductivity 179 – Structural properties 568 – thermoelectric power 574 – valence band 566 amorphous semiconductors 1334 amorphous silicon (a-Si:H) 140, 566, 587, 1334 – dangling-bond-defect 581 – dangling-bond-defect density 589 – device applications 590 – device-grade 582
Subject Index
π band 1333 π bond 1333 π-electron delocalisation 1256 π ∗ band 1333 σ bond 1333 µc-Si:H – dangling-bond-defect 581 – dangling-bond-defect density 589, 590 – device applications 590 – device-grade 582 – epitaxial-like crystal growth 588 – film 588 – formation 585 – growth 582, 585, 587 – growth process 581 1-D photonic crystal 999 2-D electron gas (2DEG) 39 2DEG heterostructure 40
1368
Subject Index
Subject Index
– drift mobility 574 – electrical conductivity 574 – electronic material properties 1107 – electronic transport properties 1107 – growth 582, 585 – growth process 581 – hydrogenated 581 – optical properties 571 – sputtered 1114 – structural models 566 – TFT 1107 amorphous tantalum pentoxide – capacitor 649 amorphous-to-crystalline transition 687 amperometric sensor 224 – charge transfer 1250 – sensitivity 1250 amphiphilic 1334 amphoteric dopants 1334 amphotericity 1334 amplifier 9 – optical 888 amplitude reflectance 695 analytical electron microscope (AEM) 343 analytical electron microscopy 1334 Anderson model 165 Anderson’s criteria 1109 angular dispersive X-ray diffraction (ADX) 758 anion 1334 anisotropic conductivity 1284 anisotropy – dielectric 925 – energy 1180 – energy density 1157 – parameter 1207 – strength 89 annealing 126, 1334 anodic oxide film 678 anodisation 678 anti-ferroelectric 197, 604 antiferromagnet 90 antiferromagnetic – film 1164 NiO 1165 Pd30 Pt20 Mn50 1165 synthetic 1166 – insulator La2 CuO4 1203 YBa2 Cu3 O6 1203 – pinning films 1185
antiferromagnetically coupled (AFC) 1173 antiferromagnetically coupled media (AFM) 1334 anti-reflection coating 692, 694, 696 anti-site defect 1334 apparent bandgap narrowing 487, 1334 areal density 1184, 1334 array package 1268, 1270 – physical property of material 1272 array photoconductive 860 array photovoltaic 858 arrays – long wavelength (LW) 869 – medium wavelength (MW) 869 – two-color 880 – via-hole type structure 880 Arrhenius relation 122, 1334 Arrhenius temperature dependence – inorganic glasses 219 Arrhenius–N´eel model 1172 arsenic-based materials 276 as-deposited film 689 a-Se 1132, 1133 a-Si:H 140, 566 – dangling-bond-defect 581 – dangling-bond-defect density 589 – device applications 590 – device-grade 582 – drift mobility 574 – electrical conductivity 574 – electronic material properties 1107 – electronic transport properties 1107 – growth 582, 585 – growth process 581 – hydrogenated 581 – optical properties 571 – sputtered 1114 – structural models 566 – TFT 1107 a-SiNx :H film 1108 aspect ratio 1004 astigmatism 353, 1334 A-swirl 104, 112, 1334 – defects 102 asymmetric-AC sputtering 675 atom diffusion 121 atomic force microscopy (AFM) 279, 414, 660, 1233
atomic layer deposition (ALD) 285 atomic layer-epitaxy (ALE) 325, 328 – growth rate 333 atomic mixing 374, 383 atomic scattering factor 349 atomic sputtering rate 672 atomic vibration 391 attenuated total reflection (ATR) 587, 1334 Au wirebond 1273 Auger – depth profiling 378 – electron 374 – electron emission 345 – hardware 375 – instrument calibration 374 – lifetime 862 – map 375 – quantitative analysis 374 – spectrum 374 Auger electron spectroscopy (AES) 373, 374, 1334 – surface sensitivity 374 Auger recombination – nonradiative 901 – p-type material 870 aurivillius – crystal structure 606 auto exhaust sensor 223 auto-compensation 1334 automotive electronics 538 avalanche – breakdown 424, 914 – generation 471 avalanche photodiode (APD) 914 – single-photon counting 1034 – telecommunication 1034 average grain size 32 average hopping time 183 Avrami index 402 azo compound – electrophotographic 972 – photosensitivity 973 – pigment 972
B back end of line (BEOL) 1334 background flux 861, 865 background radiation 861 background-limited – detectors (BLIP) 858 – device performance 865 – operation 862 – performance 868
Subject Index
beam effective pressure (BEP) 510 beam effective pressure ratio 1335 Beer–Lambert law 190 Bell Laboratories 4, 5 bend deformation 926, 927 bend elastic constant 927 beryllia, thick film technology 720 bias sputtering 675 biaxial elastic modulus 1108 BiCMOS 7 BICUVOX 225 BIMEVOX 219 bimolecular reaction 1100 binary alloy, solid solution 26 binary endpoint 741 binary parameters 736 biocompatible surface 1232 bioluminescence 984 bio-sensors, nanotube based 1153 bipolar transistor 6, 430, 481 bipolar transport 1030 birefringence 932, 944 birefringent crystals 1335 bisazo compound 972 bis-polycarbonate (Lexan) 180 BJT (bipolar junction transistor, SiC) 547 black-body – emission 983 – radiation 892 BLIP 1335 Bloch wave vector 1026 Bloch waves 20 blocking contact 1127 blocking layer 969 blocking temperature 1335 blue LED 287 blue-violet laser 1144 Blu-Ray system 12, 753 Boltzmann constant 58 Boltzmann equation (BE) 1335 Boltzmann transport equation 29, 37, 447, 806, 807 bombarding particles primary 383 bond failure 1272 bond switching 576 boron-doped diamond 557 borosilicate – crown 76 – glass 1065 Bose–Einstein distribution 58 boule 1335 boundary effects 412 bowing parameters 743 – Group III–V ternaries 743 Bragg equation 348
Bragg grating 998 Bragg’s law 1335 branch-point energy 154 Bravais lattice 1335 Bremsstrahlung 1335 Bridgman 303, 337 – crystals 306 – growth 247, 250, 336, 1335 – method 236 – process 305 Brillouin zone (BZ) 441, 500, 809, 891, 913, 987, 998, 1335 broadband sensitizer 1336 broken bonds 1339 Brooks–Herring (BH) formula 1336 B-swirl 105 buckministerfullerene 1225 bucky materials 1153 buffer chambers 317 buffer layer 754 bulk – concentration 221 – crystal growth, vapor phase 333 – crystal structure 682 – defects 430, 434 – electron 696 – films, dielectric constants 630 – Group-III nitrides 776 – growth 231, 245, 247, 304 – heterostructure device 907 – hole concentration 419 – material conductivity 696 – modulus 740, 757 – resistivity 410, 411 – silicon 101 – single crystals 231 – SiO2 636 – SiO2 , point defects 638 – valence electrons 154 bulk AlN – thermal conductivity 763 bulk modulus 1336 bulk semiconductor 10, 1027, 1087 – electron transport 807 – nonlinear 1081 – nonlinear response 1080 – spreading resistance 414 bulk wurtzite GaN – band structure 810 – material parameters 809 – valley occupancy 812 – velocity-field characteristic 811 bulk ZnO – oxygen vacancies 851 – visible luminescence 851 bulk-grown crystals 241
Subject Index
backscattered electrons 1334 backscattered electrons (BSE) 348 Baldereschi concept 1334 ballistic – conductance 1150 – electron 38 – electron emission 810 – SWNT 1150 – transport 1152 ballistic electron emission microscopy (BEEM) 148, 151, 1335 band – diagram 634 – formation 1026 – mobility 1110 – overlap 98 – structure 500, 895 – structure, spin-polarised 95 – tail states 176, 177 – theory 1221 band alignment 1335 – type I 1024 – type II 1024 band filling 1335 band gap 442, 1125, 1335 – direct 848 – engineering 481, 1021, 1023, 1024, 1335 – narrowing 59 – voltage 1029 band gap energy 54, 735 – cubic Group III–V ternaries 745 – Group III–V binaries 743, 745 – Group III–V quaternaries 745 – Group III–V ternaries 743 band gap quasi-particle 154 band gap structures – graded 124 band offset 1335 band-edge modulated films 578 band-pass filter 696 band-stop filter 696 bandtailing 59 band-to-band absorption 57, 65 band-to-band tunnelling 871, 912 bandwidth 868 barium strontium titanate (BST) 649, 1012 Barkhausen noise 87 barrier energy 201 barrier height 148, 149, 1335 basal plane dislocation 543 batteries, high energy density 225 BCS theory 1198 beam deflection 1115
1369
1370
Subject Index
bulk-limited conduction 703, 705 Burgers vector 357 buried junction 877, 1099 BX lines 851
C
Subject Index
C60 1148 C60 molecule 1225 cadmium chalcogenide 685 cadmium compound film 700 – morphological characteristics 691 cadmium mercury telluride (CdHgTe) 304 calamitic 1336 calcination 610 cap layer growth 308 capacitance – flat-band 425 – method 422, 425 – silicon surface 425 – transducer 1254 capacitance equivalent thickness (CET) 1336 capacitance–voltage (C–V ) 1336 – technique 1336 capacitance–voltage measurements 421 capacitance–voltage–frequency (C–V – f ) characteristic 1110 capacitor dielectric 602, 629, 647 – amorphous tantalum pentoxide 649 – barium strontium titanate 649 – DRAM 648 – ferroelectric materials 650 – non-volatile memory applications 650 – scaling 648 – thick film 723 capping layers 1144 carbon acceptors 505 carbon atom – electronic states 1220 carbon nanotube 1147, 1225 – catalysts 1149 – dimensionality 1148 – electronic structure 1149 – field-emission displays 1152 – interconnect 1152 – semiconductor 1149 – symetries 1149 carbon-based – material 1220 – molecule 1147
carbon-doped material 773 Carlson, Chester F. 954 Carnot efficiency 1195 carrier – capture 1031 – concentration 701, 767, 1336 – concentration, direct determination 420 – confinement 894, 898 – density 214, 1110 – distribution function 447 – distributions 143 – drift mobility 572 – drift mobility calculation 183 – effective mass 744 – lifetime 311, 870 – relaxation 1031 – scattering 750, 767 – temperatures 37 – transport 465, 1031 – tunneling 416 carrier mobility 632, 744, 1336 – diffusion picture 181 – effective 1112 – modulation 1113 – nanotube 1148 – time-dependent 181 carrier range 1336 carrier velocity – microscopic 1336 carrier–carrier scattering 449 carrier–lattice scattering 448 carrier-to-noise ratio (CNR) 1145 cascaded second-order materials 1336 cast recrystallise anneal (CRA) 245, 305 catastrophic breakdown 640 cathodoluminescence 1336 cathodoluminescence (CL) 343, 362, 367, 788, 984 cation 1336 cation self-diffusion 132 cavitand compound 1259 cavitand compund 1241 Cd3 As2 – morphological characteristics 690 Cd-based compound semiconductor 1336 CdHgTe growth 279 Cd-rich film 667 CdS film 689, 708 CdSe film 702, 703
CdTe (cadmium telluride) – band gap 1102 – detector 1128 – epilayer growth orientation 316 – polycrystalline thin-films 1102 – solar cell 1102 – thin-film photovoltaic 1103 CdTe-based materials 304 CdZnTe 1124 CdZnTe CZT 1128 centro-symmetric 1336 ceramic 1000 – actuator, piezoelectric 617 – capacitor 603 – fabrication 610 – laser ablation 615 – materials, thick film technology 720 – q-DC behaviour 209 cermet (ceramic/metallics) 718 cermet thick film 722 – resistors 728 cermets 1336 chalcogenide glasses 139, 565, 569, 1336 – band gap 573 – dark conductivities 573, 575 – drift mobilities 573 – optical properties 572 – PL spectra 572 chalcogenides 401 – Te-based 1139 chalcopyrite phase CuInSe2 (CIS) 1104 channel mobility 550 characteristic X-ray 1336 characterization techniques 11, 410 charge carrier – drift mobility 182 – effective masses 1207 – mobility 164, 166, 1222 – relaxation kinetic 182 charge carriers 1336 charge coupled devices (CCDs) 410, 991 charge generation 963, 1336 – amorphous material 964 – impact ionization 471 charge pumping 1337 charge pumping (CP) 428 charge transfer complex 1150 charge transfer interaction 1224 charge transport 161, 180, 958, 1337 – disordered materials 161 – localized states 171
Subject Index
– index 1225 – nanotube diameter 1149 – nematics 921, 1337 – smectic C phase 922 chirality 921 chloride growth 508 chromatic aberration 353, 1337 CIP (current-in-plane) 1163 circuit – design, power consumption 7 – element interconnection 626 – response delay time 627 – semiconductor 418 cleave and stain 1337 close-space sublimation (CSS) 1103 CMOS 7 – gate stack 632 – soft error 1278 – technology 627, 1278 CMT 1337 – advanced structure 318 – alloy composition 318 – array 315 – carrier lifetime 311 – composition 310 – dislocation density 315 – epitaxial growth technique 304 – epitaxial layer 311 – hybrid array 320 – impurity segregation 307 – infrared detector 319 – layer 318 – material characteristic 311 – MBE technology 317, 319 – MOVPE 312 – phase diagram 304 – pseudobinary alloy composition 314 – Si 320 – sliding boat growth 311 – structure 318 – substrate material 315 CMT growth – Bridgman 305 – Hg-rich melt 309 – monitoring 317 – solid state recrystallization (SSR) 305 – Te-rich 309 – traveling heater method) 306 coating – anti-reflection 692, 694, 696 coatings – conformal 728
Coats–Redfern–Sestak plot 402 cobalt–iron film 1163 Co–Cr–Pt alloy 1178 co-dopant 845 coefficient of thermal expansion (CTE) 761, 1272, 1337 coercive field 88, 599, 1158, 1178, 1337 coercive squareness parameter (S∗ ) 1337 coercivity 1163, 1169 coherence length 1200, 1207, 1337 – in-plane 1207 cohesive energy 1337 Cole–Cole function 193 collinear probe 413 color glass 1070 columnar grain structure 689 columnar phase 1337 – rectangular 924 columnar recombination 1126 compact disc (CD) 11 compact disc player 529 complementary characterization 364 complementary metal oxide semiconductor 1337 complex 1337 complex heat capacity 404 complex heterostructures – carrier concentration 522 – composition measurements 522 complex perovskite 604 compliance constants 739 composite materials – ionic conductivity 220 compositional uniformity 1337 compound annual reduction rate (CARR) 626 compound semiconductor 500, 680, 1338 – crystal properties 326 – growth techniques 243 – MOCVD technique 331 – quality of epilayers 331 compressive strain 1026, 1338 compton scattering 1338 computed radiography (CR) 993 computing power 626 concentration doping of cuprate 1202 concentration quenching 1338 conducting polymer 1241, 1255 – conductivity 1255 conduction 42 – electrons 395, 396
Subject Index
charge transport layer (CTL) 956, 969, 974, 977 – glass-transition temperature 975 – organic photoreceptor 974 charge-blocking layer 977, 1336 charge-carrier 1202 – lifetimes 1126 – trapping 1136 charge-coupled device (CCD) 839, 858, 878 charge-generation layer (CGL) 956, 969, 970, 972, 977, 1337 – bisazo compound 972 – material 970 – perylene 973 – photoreceptor 970 – phthalocyanine 973 – trisazo compound 972 charge-generation material (CGM) 1337 charge-transport layer (CTL) 1337 charge-transport material (CTM) 1337 charge-transport phenomena 470 chemical – electronegativity 154 – microanalysis 350 – self-diffusion 123, 132 – sensing 1228, 1242 – shift 375 chemical annealing 586, 1337 chemical bath deposition (CBD) 1103 chemical beam epitaxy (CBE) 295, 359 chemical sensor 1219 – polymer 1255 – thick film 730 chemical solution deposition (CSD) 613 chemical transformation 400 chemical vapor deposition (CVD) 1337 chemical vapour deposition (CVD) 257, 330, 546, 581, 660, 678 chemical vapour transport (CVT) 246, 326 chemically assisted ion beam etching (CAIBE) 363 chemiluminescence 984 chemiresistor 1228 chip-fabrication facility (FAB) 1230 chiral – dopant 922
1371
1372
Subject Index
Subject Index
– mean free path 21 – metallic-type 1109 – quantized 42 – tail states 59 conduction band (CB) 57, 890, 1221, 1338 – amorphous semiconductors 566 – deformation 747 – density of states 566 – effective mass 445 – potential energy 168 conduction mechanism – bulk-limited 700 – electrode-limited 700 conductive layer 1338 conductive polymer 1223 conductivity 19, 1338 – anisotropic 1284 – electrical 575, 696 – film thickness 697 – ionic 217 – ionic-electronic 213 – layer-by-layer 1150 – oxygen ion 220 – temperature dependence 176 conductivity mass 750, 1338 – Group III–V binaries 746 conductivity mixture rule 34 conductivity relaxation time 20 conductor 1267, 1282 – ionic 214 – thick film 613 configuration coordinate model 1338 configuration entropy fluctuations 205 confined level 1030 confinement – barriers (CB) 1031 – energy 1027 confining potential 1030 conformal coatings 728 conjugated polymer 180, 961 – crystalline packing 1224 constant-photocurrent method (CPM) 141, 567 contact resistance 415 – direct measurement 417 – model 417 – semiconductor 415 container-free LPE (CFLPE) 275 continuous-charging Czochralski (CCZ) 267 continuum theory 927, 928 – chiral nematic 928 convective flux 108
conventional DSC 400 – total heat flow 404 convergent beam electron diffraction (CBED) 355 converse piezoelectric effect 1338 conversion detector – poly-TlBr 1130 conversion efficiency 1098, 1338 cooldown detector 859 Cooper pair 1197 – coherence length 1200 – collective wave function 1198 – density 1200 Cooper pair density 1213 Cooper pairs 1338 COP 1338 copper thick films 722 core-level photoemission spectroscopy (PES) 152 corona charging 969 corona discharge 1338 corona-discharge poling 1069 correlation factor ( f ) 1338 cost per transistor 626 Cotterell atmosphere 1338 Coulomb – blockade 1151 – gap 173 – interaction 63, 1027 – island 1151 – potential 766 coupled granular continuous (CGC) recording media 1338 coupled granular/continuous (CGC) medium 1181 coverage ratio 1245 CPP (current-perpendicular-to-plane) 1163 critical (transition) temperature 1338 critical current 1198 – density 1209 critical field 1338 – metallic superconductor 1197 critical fluctuations 1338 critical temperature 1194 – epitaxial strain 1213 critical thickness 1338 critically resolved shear stress (CRSS) 504 cross Kelvin resistor (CKR) 417 cross-luminescence 995 crosstalk 875 – long range 879 cryogenic encapsulation 857 cryopanel 1338
crystal – density 737 – key parameters 399 – neckingless method 268 – originated particle (COP) 105 – phonon concentration 387 – properties 326 – structure 682 crystal clear project 994 crystal field splitting 985 crystal growth 1022 – conditions 107 – history 232 – hydrothermal method 336 – striations 264 – transport agent 333 crystalline enthalpy 399 crystalline material 756 – diffraction peaks 350 – non-centrosymmetric 598 – properties 597 crystalline polymer – thermal conductivity 394 crystalline quality 542 crystalline semiconductor 63, 573 – Hall effect 574 – inorganic 1083 – optical absorption 63 – thermoelectric power 574 crystalline silicon 570, 1095 – band gap 1099 – intrinsic mobility 458 – phonon modes 447 – room-temperature mobility 458 – structural models 566 crystallization – kinetics 403 – temperature 385 crystallographic – polarity 358 – structure 349 crystals – annual production rates 232 – Debye heat capacity 386 – ionic 51 c-Si 568 cubic anisotropy 89 cubic III–V binary 747, 748 – compliance constant 739 – elastic stiffness 739 cubic III–V ternary 745 cubic structures 13 CuO2 – buckling in 1204 – planes 1202
Subject Index
D dangling bond 588, 638, 1339 – a-Si:H 589 – defect 581, 589 – light-induced creation 576 dark conductivity 137, 178, 576 dark current 875, 1124 dark decay 965, 1339 – characteristic 965 – photoreceptor 965 – rate 957 data rate 1162, 1184, 1339 Davydov splitting 1247 DC conductivity – temperature dependence 166 DC hopping 706 DC sputtering 614 D-defects 105 Debye frequency 386, 1198 Debye heat capacity 386 Debye length 1243, 1339 Debye molar heat capacity 387 Debye response 193, 207 Debye temperature 24, 387, 388, 763, 764 – III–V binaries 741 – phonon concentration 393 Debye theory 385, 387 deep localized states 178 deep traps 1125 deep-energy-level impurities 1339 deep-level transient spectroscopy (DLTS) 426, 520, 567, 1110, 1339 – averaging techniques 435 – electrical characterization 434 deep-trapping time 1132 deep-well drilling 538 defect – analysis 103 – chemistry 1339 – defect interactions 220 – density 106 – GaAs 514 – reaction 215 – sources 367 – types 106 defective solids 220 defect-related transitions in GaN – optical properties 785 deformation potential 746, 1339 deformation potential parameters 1339
deformational phonon 448 degradation 847 delocalised states 164 delta-doping 845, 849 demagnetizing energy 90, 1170, 1172 dense wavelength-division multiplexing (DWDM) 905 density of state (DOS) 25, 42, 59, 138, 163, 395, 442, 746, 1109, 1110, 1339 – 3-D 893 – conduction band tail 1110 – joint 1026 – mass 1339 – normal state 1198 – single-electron 1198 – spin-polarized 98 – vibrational 386 density of tail states 1111 density-functional theory 159 density-of-states 746 deoxyribonucleic acid (DNA) – electron transfer 1236 – electronic behaviour 1235 depletion 1339 depletion layer 150, 421, 1211 – doping profile 422 depletion region 701 deposition – parameter 687 – rate 667 deposition method 659 – chemical deposition 677 – physical deposition 661 – thin film 661 – vacuum evaporation 662 depth of field 354, 1339 depth of focus 354, 1339 depth profiling 1339 depth resolution 1340 detection efficiency 1340 detection phase sensitive 991 detective quantum efficiency (DQE) 1123, 1131, 1133, 1340 detectivity 865, 1340 – background-limited 868 detector 1022 – Hg − Te 866 – 10 µ m 866 – a-Se 1132 – background-limited performance 868 – cooldown time 868 – detectivity 863 – device parameters 861
Subject Index
cuprate semiconductor – crystal structures 1204 – CuO2 planes 1202 – lattice parameters 1204 cuprate superconductor 1193, 1216 – carrier concentration 1211 – common features 1202 – critical current density 1210 – critical temperature 1205 – electrical resistivity 1202 – energy gap 1204 – film 1213 – grain boundaries 1211 – hole concentration 1205 – in-plane strain 1214 – length scale 1207 – upper critical fields 1207 Curie temperature 84, 197, 599 current in the plane (CIP) 1338 current noise measurement – high-impedance devices 434 current perpendicular to the plane (CPP) 1339 current–voltage characteristic 1199 current–voltage measurements 426 cut-off wavelength – HgCdTe 862 C–V measurement, low-frequency 425 CZ crystals 1339 Czochralski (CZ) crystal – growth conditions 263 – seed-end portion 263 Czochralski (CZ) growth 233, 250 Czochralski (CZ) method 5, 9, 102, 249, 259, 451, 504 Czochralski (CZ) pulling procedure 262 Czochralski (CZ) silicon 264 – carbon 265 – crystal 263 – crystal growth sequence 262 – crystal impurities 264 – dislocation-free 268 – doping 265 – impurities 266 – oxygen 265 – properties 261 – striations 265 – swirl 265 Czochralski and liquid encapsulated Czochralski (LEC) growth 1339
1373
1374
Subject Index
Subject Index
– diffusion-limited 315 – exposure level 1131 – indium antimonide (InSb) 856 – infrared 855 – multiple quantum well (MQW) 857 – photoconductive 857, 858, 1036 – photovoltaic 868 – polycrystalline PbS 856 – poly-CZT 1132 – poly-HgI2 1132 – quantum efficiency 1133 – semimetals 866 – technology 855 – two-color 880 – white noise current 868 – X-ray sensitivity 1131 – zero bias resistance 868 developer 955 device – architecture 1230 – characteristics 625 – degradation 125 – density 1230 – dimensions 626 – functionality 102 – high-speed 9, 10 – industry 101 – optical 1068, 1076 – parameters 861 – performance 581 – responsivity 861 – structure 125, 755, 876, 1235 – technology 121 device photovoltaic 731, 868, 1095 device-grade µc-Si:H 581 device-grade a-Si:H 581 devitrification 1340 DFB lasers – refractive index 905 – threshold currents 905 DFT (density-functional theory) 1340 diamagnets 91 diamond 537, 551 – device fabrication 553 – doping 551 – emission properties 556 – etchant 545 – grown by CVD 540 – heteroepitaxial 545 – heteroepitaxy 546 – high-temperature electronic devices 551 – homoepitaxial 545
– homoepitaxial growth 555 – impurity 544 – lattice 500 – oxidation 545 – p-channel FET 552 – properties 544 – resistor 557 – single crystals 545 diamond film 139, 545, 547 – boron-doped 557 – dielectric 557 – field emission 556 – homoepitaxial growth 555 – nanocrystalline 557 – polycrystalline 554 diamond films phosphorus-doped 540 diamond structure 1340 diamond-like – carbon (DLC) 556 – lattice structure 482 – silicon 545 dichlorosilane (SiH2 Cl2 ) 257 Dieke diagram 985 dielectric 610, 625, 1267 – contrast 1000 – DC conductivity 208 – dispersion 193 – film 688 – loss 199 – materials properties 629 – quantum mechanical tunneling 629 – relaxation 202 – reliability 640 – response function 194 – scaling 628 – susceptibility 189 – thick film 613 dielectric constant 48, 401, 447, 545, 627 – conductivity contribution 449 – cubic III–V binaries 748 – effective 32 – optical 154, 748 – substrate 720 – wurtzite III–V binaries 748 dielectric constant static 457 dielectric constant/susceptibility (DC/DS) 1340 dielectric increment 199, 203 – dynamic scaling 203 – temperature dependence 199 dielectric material 626, 669, 1340 – energy barrier 634 – microelectronic devices 625
– polarizability 629 dielectric pastes – thick film 723 dielectric permittivity relative 629 dielectric permittivity 648, 925, 933 dielectric relaxation 145 dielectric response – basic features 190 – basic macroscopic definitions 188 – elements 209 – low frequencies 208 – physical concepts 187 dielectric spacer layer 647 dielectric susceptibility 1077 dielectric thickness 648 dielectric–semiconductor interface 640 diethyl telluride (DETe) 313 differential heat flow 400 differential permeability 91 differential scanning calorimeter (DSC) 385, 400 differential scattering cross section 21 diffraction 1340 – contrast analysis 357 – limit 1139 – loss 1001 diffusant – calculation 127 – concentration 127 – model 127 – profiling 127 diffusion – anneal 126 – coefficient 126, 470 – dopant 130 – hot-carrier 470 – isoconcentration 123 – length 864 – mechanisms 122 – parameter 512 – recombination enhanced 125 – short circuit path 125 – source 126 – tracer concentration flux 123 – transient enhanced 124 diffusion coefficient or diffusivity 1340 diffusion length 1340 diffusion-induced disorder 124 diffusion-limited detectors 315 difused base transistor 6 digital circuits 9
Subject Index
discotic nematic 923 disk drives 1155 – high-capacity 1155 – rotary actuators 1177 – track density 1177 dislocation 11, 13, 356, 683, 767 – grown-in 872 disorder – model 962, 975 – potential 166 – static 62 disorder model 1340 disordered conductor 217 disordered material 161, 1113 – charge transport 167 – DC conductivity 166 – electrical conductivity 169 – electron mobility 176 – electron transport 170 – extended states 167 – hopping charge transport 169 – transport phenomena 163 disordered organic material 180 – carrier mobility 180 – charge transport 180 – hopping conductivity 180 disordered semiconductor 1108 – AC conductivity 164 – density of band carrier 1112 – energy spectrum 163 – hopping conduction 169 – localized states 169 – materials 162 – transport properties 164 disordered solid 962 – electrical conduction 169 disordered sublattice 219 disordered systems – doped crystals 162 – transport phenomena 162 – transport theory 165 disperse red 1085 dispersion 48 – ionic crystals 51 – relation 51, 52 – semiconductors 51 – semiempirical single oscillator 52 display devices 925 Dissado–Hill function 194 distributed Bragg reflector (DBR) 905, 1033 distributed feedback (DFB) 889 distributed feedback (DFB) laser – active layer 904 – guiding layer 904
distribution function 1341 ditertiarybutylselenide (DTBSe) 289 DMOSFET 550 DNA – chip 1236 – computer 1236 – electronics 1219 domain walls – silicon carbide 543 donor 1341 donor diffusion – n-type GaAs 513 donor–acceptor – charge-transfer 972 – pair (DAP) 785 – photoreceptor 972 donor-bound exciton (DBE) 778, 782 dopant 272, 1341 – concentration 124, 126 – density 216 – diffusion 124, 125, 132 – Group III, V 128 doped polymer 1222 doped semiconductor 1341 doping 5 – by diffusion 847 – concentration 422, 815 – profiling 424 – properties 12 DOS effective mass 442 double heterojunction (DH) 530 double heterostructure (DH) 11, 12 double Schottky barriers 1211 double-channel planar buried heterostructure (DCPBH) 899 double-layer charging 1152 double-layer heterojunction (DLHJ) 278, 308, 876 double-layer planar heterostructure (DLPH) 877 drain depletion region 430 drain resistances 427 DRAM 1341 DRAM planar capacitor 649 drift length 861 drift measurement 451, 462 drift mobility 20, 1125, 1341 – concentration dependence 184 – temperature dependence 180 drift velocity 8 – electric field relationship 467 drift-diffusion relation 446 Drude – approximation 477
Subject Index
digital packaging 1270, 1272 – thermal performance 1283 digital printer 955 digital printing 1340 digital versatile disc (DVD) 11 digital versatile disk (DVD) 888, 1140 dihydrogen phosphate (KDP) 606 diisopropyltellurium (DIPTe) 289, 313 dilute magnetic semiconductor (DMS) 248 dilute nitride 906 dimensional scaling – capacitor dielectric 648 dimethyl cadmium (DMCd) 289, 314 dimethylzinc (DMZn) 289 diode 410, 725 – quantum-well (QW) 1036 diode laser 1032 diode sputtering 673 dip coating 968 dipolar fluctuations 191 dipole density fluctuation 196, 210 – relaxation rate 199 dipole disorder model 962 dipole fluctuations 193, 197 dipole glass 197, 203 dipole moment 188 – effective 198 dipole oscillator model 55 dipole relaxation 207 dip-pen nanolithography (DPN) 1233 dipping 1340 – boat 273 – cycle 681 – growth 310 – techniques 308 direct alloy growth (DAG) 314 direct band gap 11, 1023 – material 913 – semiconductor 288 direct gap semiconductors 570 direct photo CVD 581 direct piezoelectric effect 1340 director 919, 924, 933, 1340 director distribution 919 director orientation – optical properties 934 director reorientation – threshold voltage 933 disc-like molecules 923 discotic 1340 discotic liquid crystal 924
1375
1376
Subject Index
Subject Index
– formula 450, 1341 – model 55 drum photoreceptor 1341 – insulating material 969 dry etching 1004 drying 610 DSC cell – heat-flux 400 dual layer organic photoconductor 1341 dual-beam photoconductivity (DBP) 141 dual-color – device 880 – technologies 881 dual-in-line package 725, 1268 dual-layer disks 1144 Dulong–Petit rule 387 DVD 565 – phase-change 1140 – RAM 1140 – recording 1140 – RW 1140 d-wave symmetry 1203 DX centers 845 dynamic coercivity 1341 dynamic random-access memory (DRAM) 616, 647, 1229 dynamic resistance 879 dynamic SIMS (DSIMS) 377, 378
E E layer 1174, 1341 E’ center 1341 easy axis 1341 ECA metal particles 1282 ECB cell – transmission curve 933 edge filter 696 edge-defined film-fed growth (EFG) 249 edge-defined film-growth technique 1341 edge-emitting laser 1021 – elliptical beam profile 905 effective dielectric constant 32 effective dipole moment 198 effective hole mass 746 effective magnetic field 1187 effective mass 1341 effective media approximation (EMA) 32 effective mobility 1112, 1113 effective resistivity 23 effective scattering 21
efficiency 1098 effusion cell 293, 509 Einstein relation 1341 EL(2) 1341 elastic – constants 926 – energy density 926 – modulus 401 – stiffness 739 elastic compliance constant (C) 1342 elastic deformation 1342 elastic properties 739 – semiconductor alloys 741 elastic stiffness constant (S) 1342 elasticity 1342 elastoresistance 1113, 1114 – coefficient 1114 electric torque 931 electrical conductivity 222, 575, 696 – amorphous semiconductors 573 – metallic film 696 – nanotube 1148 – silicon 452 – size-dependent 698 – Temperature-dependence 574 electrical energy 1095 electrical measurement techniques 410 electrical properties 565, 696 – aluminium nitride 773 – bulk SiO2 638 – chalcogenide glasses 574 – electrical conductivity 574 – gallium nitride 767 – Groupx III nitrides 766 – Hall coefficient of a-Si:H 574 – indium nitride 774 – thin film 660 – thin films 696 electrical pumping 890 electrical resistivity 27 electrically active impurities – point defects 434 – SI GaAs 515 electrically conductive adhesives (ECA) 1281, 1342 electrically controlled birefringence 932 electroabsorption 69, 1342 electroabsorption modulator (EAM) 1037 electroactive compound 1224
electrochemical etching 1005 electrochemical potential 1342 electrochemical recognition 1231 electrochromic elements 226 electrochromic windows 223, 226 electrode-limited conduction 706 electrodeposition 677, 831 electroding 612 electrodynamics 1197 electroluminescence 788, 984 electrolytes – solid oxide 215 electrolytic domain 222 – boundaries 222 electromagnetic (EM) 55 electromechanical coupling 618 electron 1342 electron affinity 1342 electron beam induced conductivity (EBIC) 343, 362 electron beam lithography 1342 electron beam–material interaction 346 electron cyclotron PECVD 680 electron cyclotron resonance (ECR) 680 electron device – high-frequency 805 electron diffraction 351, 353, 356, 359 electron drift velocity 466, 813 – AlN 820 – doping dependence 816 – GaAs 820 – GaN 820 – InN 820 electron effective mass – density-of-states 746 – III–V quaternaries 746 electron energy analyser 375 electron energy loss spectrometry (EELS) 343, 346, 367 electron generation 1133 electron injection 700 electron mobility 166, 766, 767, 774, 1125 electron motion 20 electron nuclear double resonance (ENDOR) 850 electron paramagnetic resonance (EPR) 513, 639, 850 electron plane wave 1186 electron saturated drift velocity 470
Subject Index
electron–nuclear double resonance (ENDOR) 567 electron–phonon – coupling 572, 573 – interaction 448, 450, 1198 – inter-valley scattering 463 – scattering 1342 electron-transport material – charge mobility 975 electro-optic coefficient 604 electro-optic device 608, 931 electro-optic effect 1012, 1078, 1342 electrophotographic cycle – printing 967 – process parameter 958 electrophotography 953, 1342 electroplated alloys 1161 electroplated Ni45 Fe50 1160 electroplating 677, 1159, 1342 electrospinning 1259 electrostatic flux density 188 elemental maps 375 Eley–Rideal reaction 1342 ellipsometry 72, 1342 Elovich model 1251 emergency lighting 993 emission device 1342 emissive transition 1028 emitter 1032 – interband 1032 encapsulation 1342 – electronic package 1280 – material 1280 – mold compound 1281 – processing 1281 – temperature 1281 – wire sweep 1281 end-member perovskite 602 endothermic glass-transformation enthalpy 400 endpoint materials 750 energy band diagram 416 energy conversion 224 energy dispersive X-ray analysis (EDX) 343 energy dispersive X-ray diffraction (EDX) 758 energy gap 1335, 1342 – (superconducting) 1198, 1203 energy per carrier 1097 energy relaxation – charge carriers 180 energy transfer coefficient 669 energy-band spectrum 1342 energy-filtered TEM 12
energy-loss relaxation 178 enthalpy 386, 398, 401 – crystalline 399 – exothermic 400 – fusion 398, 399 – relaxation 399 epi wafer 103 epilayer – ellipsometry 313 – growth 895 – laser reflectometry 313 – lattice constant 894 – material 894 – monitoring 313 epitaxial CdTe layer – morphology 690 epitaxial film 754 – critical current densities 1212 epitaxial GaAs – point defects 516 epitaxial growth 684 – techniques 231 epitaxial layer (or Epilayer) 1342 epitaxial layer overgrowth (ELOG) 13, 273, 277, 754 epitaxial strain effects 1214 epitaxies 271 epitaxy 1342 equation of state (EOS) 758 equilibrium band bending 40 equilibrium population 198 equipartition of energy 387 equivalent oxide thickness 1343 erbium-doped fiber amplifier (EDFA) 907 Er-doped AlN 787 error rate 1170 ESCALAB chamber 317 etch pit density (EPD) 338, 1343 etchant – diamond 545 – film 545 etching – electrochemical 1005 evaporation – coefficient 663 – of compounds 666 – point source 664 – process 665 – reactive 667 – source 664 – surface source 664 evaporator temperature 685 Ewald sphere 1343 Ewald sphere construction 354
Subject Index
electron scattering 872 electron schubweg 1131 electron spectroscopy for chemical analysis (ESCA) 343 electron spectrum 443 electron spin resonance (ESR) 567, 593, 639 electron system 1222 electron transport 805–807, 824 – AlN 817 – InN 819 – material parameter 808 electron wavefunction 1067 electron-beam evaporation 666 electron-beam lithography (EBL) 1001, 1003 electron-beam poling 1069 electron–cyclotron resonance (ECR) 754 electroneutrality equation 221 electron–hole overlap 1028 electron–hole pair (EHP) 913, 1027, 1123, 1131 – reduced mass 1027 electronic – diamond 551 electronic conductivity – mixed ionic 224 electronic device – diamond-based 545 – high-temperature applications 547 – polymeric organic compound 1225 – silicon carbide 547 electronic material 805, 1267 – C60 based 1153 – Debye heat capacity 388 – heat capacity 388 – silicon dioxide 635 – thermal conductivity 391 – thermal properties 385 electronic noise 1134 electronic nose system – sensor 1261 electronic optical nose 1255 electronic packaging 1267 – classes of material 1269 – encapsulation 1280 – interconnect 1274 – levels 1268 – material 1267, 1269, 1272 – solder 1274 electronic transport devices 735 electronics 1219 electron–lattice 987
1377
1378
Subject Index
Subject Index
EXAFS (extended X-ray absorption fine structure) 568 excess noise 872 exchange energy 93 exchange field (Hua ) 1343 exchange interaction 91 exchange magnetic field 1174 excimer laser annealing 845 exciton 47, 1027, 1343 – dipole moment 1070 – energies GaN 781 – ground state 1027 – recombination 963 – two-level system 1070 excitonic absorption 65 excitonic transitions 778 exciton–phonon interaction 333 exhaust sensor 223 exhaustion concentration 1343 exothermic enthalpy 400 experimental methodology 370 exponential DOS 1113 extended states 163, 1109, 1110 extended X-ray absorption fine structure (EXAFS) 1141 extinction coefficient 48 extraordinary refractive index – effective 933 extrinsic doping 870 extrinsic ferroelectric – Curie temperature 599
F fabrication 976 – nanoscale patterning method 1006 – photoreceptor 976 – technology 499 Fabry–Perot 904 – cavity 896 – interference filter 696 face-centered cubic (fcc) sublattices 755 Faraday effect 69 fast ion conductors (FIC) 216 – structural characteristics 217 fast switching displays 922 fatigue – thermomechanical 1275 Fermi – functions 891 – level 148, 847, 892, 1343 – surface 42 – velocity 696
Fermi energy 25, 170, 445, 1109, 1198, 1343 – density of states 1167, 1186 Fermi’s golden rule 1026 Fermi–Dirac – distribution 152, 447, 891, 1028, 1111 ferrimagnet 90 ferroelastic 607, 1343 ferroelectric 197, 1343 – application 599 – ceramic 198, 615 – characteristic properties 599 – Curie point 604 – device electroding 612 – dielectric response 203 – domain 599, 1343 – GeTe 1142 – hysteresis 599, 1343 – laser ablation 615 – oxide 604, 614 – oxide thin film 615 – properties 598 – sputtering 615 – system 600 – theoretical model 600 – thin film 615 ferroelectric application – capacitor 616 – dielectric 616 – piezoelectric 617 – pyroelectric material 620 ferroelectric ceramic – fabrication technology 610 – poling 612 ferroelectric material 650 – aurivillius compound 606 – Czochralski growth 608 – fabrication 608–610 – ferroelectric oxide 601 – flux growth 609 – illmenite 604 – lead germanate (Pb5 Ge3 O11 ) 607 – oxide 606 – Perovskite ferroelectric 601 – phosphate 606 – polycrystalline ceramic 610 – polymeric 607 – properties 597 – reliability 651 – single crystal 608 – solution 609 – thick-film 613 – thin film 613
– triglycine sulphate 607 – tungsten bronze 605 ferroelectric random-access memory (FRAM) 617 ferroelectric relaxor 1343 ferroelectric to store this application – dynamic random-access memory 616 ferromagnetic – configuration 1167 – film 1164 – layer 1173 – material 83, 98 – resonance (FMR) 88 – solids 82 ferromagnets 90 fiber optic sensor 1228 fiber optic window 1021 Fick – diffusion 107 – first law 122 – law 512 – second law 127 Fick’s first law of diffusion 1343 Fick’s second law of diffusion 1343 field effect transistor (FET) 630, 735, 1151, 1226, 1343 field emission 1343 field emission characteristics – polycrystalline diamond films 557 field emitter triode – monolithic diamond 555 field factors 33 field-effect mobility 1111 field-emission display 1152 figure of merit (FOM) 628, 1068, 1077, 1078, 1084, 1343 – solar cells 1096 – time-averaged nonlinear 1079 fill factor (FF) 1343 film – anodic oxide 678 – antiferromagnetic 1164 – as-deposited 689 – a-SiNx :H 1108 – CdS 689, 708 – CdSe 702, 703 – cobalt–iron 1163 – crystal structure 685 – diamond 139, 547 – epitaxial 754 – ferromagnetic 1164 – free 1185 – in-plane strain 1214 – insulating 700, 707 – morphology 691
Subject Index
flux pinning 1344 flux quantum 1199, 1200 flying heads 1155 flying height 1344 focal plane array (FPA) 278, 305 – large-area 304 focused ion beam (FIB) milling 363 focused ion-beam (FIB) lithography 1003 forced convection 1344 forward biasing 433 Fourier transform infrared (FTIR) 311, 518 – spectrometry 306 Fourier’s heat conduction law 391 Fourier-transform infrared (FTIR) spectrometry 1344 four-point probe 1344 four-point-probe technique 410, 412 Franck–Condon principle 990, 1344 Frank–van der Merwe (FM) growth 332 Franz-Keldysh effect 69, 478 free carrier 47 – absorption (FCA) 55, 56 – density 140, 219 – lifetimes 143 – mobility 138 free convection 1344 free electron model 26 free film 1185, 1344 free-exciton transitions 781 Frenkel – defect pairs 215 – disorder 218, 221 – excitons 64 – formation energy 216 – pair 122 frequency (LF) 421 frequency response 1223 Fresnel coefficients 692 Fresnel reflectance spectrum 472 friction coefficient 545 Friedel’s law 1344 front end of line (FEOL) 1344 Fréedericksz transition 933 fuel cell – monolithic 225 – solid oxide 224 fullerenes 1147, 1148 – spherical-shaped 1225 – transition temperature 1195 fundamental properties 692
fusion enthalpy 398, 399 fusion temperature 399
G GaAS electro-optical devices – multi-quantum-well (MQW) laser 530 GaAs1−x Px – fabrication 508 GaCl-pretreated sapphire 768 gain condition 899 gain-guiding 898 gallium arsenide (GaAs) 9–11, 358, 499, 503, 814, 820 – band gap 1101 – band structure 500 – bulk growth 502 – carbon concentration 518 – carbon diffusion 513 – carbon impurities 505 – cathodoluminescence 521 – chloride growth 508 – conducting 520 – Cr-doped 504 – crystal defects 514 – deep electronic levels 515 – deep level defects 519 – defect analysis 517 – device 499 – device-quality 295 – diffusion characteristics 512 – DLTS 520 – doped 513 – doping levels 510 – electrical analysis of defects 518 – electrical resistivity 501 – epitaxial growth 507, 511 – from the melt 506 – homoepitaxy 754 – hydride growth 508 – impurity elements 517 – lattice constants 501 – liquid-phase epitaxy (LPE) 507 – melt-grown 507, 515 – MESFET 526 – MOCVD growth 508 – modulators 531 – MOSFETs 10 – n-type doping 510 – optical analysis of defects 521 – optical properties 514 – phase diagram 502 – photodetectors 532 – Photoluminescence 521 – Shallow Acceptors 513
Subject Index
– oxide 674 – pinned 1164 – Poisson’s ratios 1115 – thickness 661, 686, 689 film growth – edge-defined 235 film phthalocyanine 703 film-growing surface 583 filter – band-pass 696 – band-stop 696 – design 696 – edge 696 – semiconductor-doped 1070 finite-well model 1025 firing 1343 first-order transition 925 flash evaporation 668 flash memory element – nitrided SiO2 649 flat panel display 590 flat-band capacitance 425 flat-band voltage 435 flatband voltage (VFB ) 1343 flexible plastic substrates 1103 flexible substrate 720, 1107, 1108 flexoelectric coefficients 929 – measurement 947 flexoelectric effects 947 flexoelectric polarisation 940 flexoelectricity 928, 939, 1343 flip chip 1270, 1344 – device 1268 – interconnect 1276 – organic package 1278 – solder deposition technique 1276 – substrate 1278 – technology 1278, 1280 – underfill material 1280 float zoning 6 floating gate current 1344 floating gate technique 427 – semiconductor parameter 428 floating zone technique 1344 floating-zone (FZ) method 102, 112, 237, 250, 259, 451 – properties 261 – silicon 260, 261 flow pattern defect (FPD) 105 fluence 1344 fluorescence 984, 991, 1344 – quenching 965 fluoride glasses 1344 fluoroscopy 1124 flux lines (vortices) 1344
1379
1380
Subject Index
Subject Index
– shallow donors 503, 513 – solar cells 1102 – surface quality 521 – transition metals 513 – vertical gradient freeze (VGF) 506 – wafers 505 gallium arsenide (GaAs) crystal – phase diagram 502 gallium arsenide (GaAs) device – electrical contacts 524 gallium arsenide (GaAs) electro-optical devices – emitters 527 – GaAs photodetectors 532 – heterojunction laser 529 – lasers 529 – modulators 531 – solar cells 532 gallium arsenide (GaAs) melt – horizontal Bridgman 503 – horizontal gradient freeze technique 503 gallium arsenide (GaAs)/GaAlAs laser 530 gallium arsenide (GaAs)-based devices – precursors 509 gallium nitride (GaN) 12, 13, 287, 350, 359, 537, 805, 814, 820 – alloy 288 – average electron energy 812 – based devices 791 – bound excitons 782 – conduction band 778 – donor-acceptor transitions 785 – electrical properties 767 – epilayer 779 – exciton energies 781 – fundamental properties 755 – lattice constants 757 – lattice parameter 762 – layer 767 – mechanical properties 759 – optical phonon frequencies 760 – optical properties 778, 785 – template 754, 784 – wurtzide 812 galvanomagnetic effect 452 galvanomagnetic measurement 451 gap states 145 Ga-rich GaAs – electrical properties 502 garnets 92 gas phase diffusion 592
gas precursors 331 gas sensor 1244 – impedance-based paste 730 – inorganic material 1243 – potentiometric 223 – semiconductor 1243 gas source MBE (GSMBE) 295, 511 gate capacitance 631, 1111 gate dielectric 629, 630, 644 gate dielectric material 641 – reliability 635 gate dielectric thickness scaling 628 gate electrode work function 634 gate insulator 158 gate leakage currents 634 gate length 1230 gate oxide 8 gate oxide integrity (GOI) 102, 1344 gate-substrate capacitance 436 Gaussian DOS 181 Gay–Berne mesogen 919 Ge2 Sb2 Te5 GST 1140 geminate recombination 964, 1126, 1344 “GEN III” detector 880 generalized Drude approximation (GDA) 449 germanium 5 getter sputtering 675 ghosting 1345 giant magnetic resonance (GMR) 1167 – physical origin 1167 giant magnetoresistive (GMR) 1162 – head operation 1188 – read sensor 1156 – sensor 1157, 1175 giant-magnetoresistive (GMR) effect 1345 Gibbs free energy 199, 398 Ginzburg–Landau parameters 1207 Ginzburg–Landau theory 1200 Gladstone–Dale coefficient 52 glass 47, 1063 – aging 404 – band gap energy 1066 – ceramics 1070 – enthalpy 399 – fast ionic conductivity 219 – formation 202 – glass-transformation kinetics 404
– homogeneous 1065 – key parameters 399 – matrix 1071 – metallic 396 – nanoparticle dispersed 1070 – optical properties 1065 – oxide 52, 390 – particle-embedded 1070 – poling methods 1069 – quantum-dot 1087 – refractive index 1065 – structure 401 – substrate 1108 – thermal conductivity 393 – third-order nonlinearity 1065 – transition region 404 glass ceramics 1345 glass frit 1345 glass matrix – electrical conductivity 161 glass surface – hydrophilic 1245 glass transition 202, 401, 949 – polymer 389 – temperature 219, 385, 389, 399, 961, 975, 1281 glasses – ionic transport 219 glass-forming liquid 398 glass-forming material 206 glass-transformation kinetics 404 glass-transition temperature 1345 glassy metals 396 glow curve 990 glow discharge – mass spectrometry (GDMS) 376, 377, 1358 – mass spectroscopy (GDMS) 838 – optical emission spectroscopy (GDOES) 373 – spectroscopy 373 – spectroscopy (GDOES) 376 – sputtering 673 glow discharge spectrometry (GDS) 1345 glow-discharge mass spectrometry (GDMS) 517 gold conductors – thick film 721 graded refractive index (GRIN) 530 gradient freeze growth 1345 gradient freezing (GF) 337 grading 1345 grain boundaries 30, 1129, 1210
Subject Index
Group III–V ternaries 743, 750 Group III–V ternary – band-gap energy 743 – optical mode 740 Group III–V ternary and quaternary alloy – optical mode 740 Group II–VI binary compound 131 Group II–VI compound 748 – bulk single crystal 326 – wide-bandgap 326 Group II–VI compound epilayers 330 Group II–VI compound semiconductor 335 – bulk single crystals 326 – high quality film 326 Group II–VI semiconductor 303 – MOCVD 283 Group II–VI ternary compound 866 Group II–VI wide-bandgap compound – Bridgman 337 – gradient freezing 337 group index 53 Group-III acceptors 445 Group-III nitride semiconductor 277, 753, 772 – crystal structures 755 – electrical properties 766 – mechanical properties 757 – specific heat 764 – thermal conductivity 762 Group-IV semiconductor 128 Group-V acceptor 850 Group-V donors 445 growing crystal – axial temperature gradient 106 grown-in defects 106 growth – layer-by-layer 291 growth characteristics 873 growth method 235, 873 – Bridgman 236 – Czochralski 233 – edge-defined 235 – float zone 237 – high-temperature solution 238 – horizontal Bridgman 503 – hydrothermal 239 – Kyropoulos 235 – large diameter 235 – low-temperature solution 238 – materials 240 – Stepanov 235
– travelling heater method 237 – vapor 240 – Verneuil high melting point materials 233 – vertical gradient freeze 237 growth model – µc-Si:H 586 growth process 108 growth rate 249 growth site formation 583 Grüneisen – law 396 – parameter 397 GST – amorphous state 1142 – bond lengths 1142 – crystal structure 1141 – ferroelectric 1145 – local structure 1142 – metastable crystalline 1145 Gunn – diode 525, 1345 – effect 501
H Hagen–Rubens relationship 56 half-metals 98 Hall – carrier concentration 420 – coefficient 446 – coefficient n-Si 459 – conductivity 768 – constant 164 – factor 37, 446 – measurements 418 – mobility 36, 446, 751, 766 – mobility III–V binaries 750 – resistivity 43 – scattering factor 420 Hall effect 4, 19, 35, 36, 766, 1345 – ambipolar conduction 36 – carrier concentration 419 – coefficient 36 – materials characterization 418 – measurements 767 – physical principles 419 Hall–Petch relationship 1273 Hamiltonian – vibrational 987 hand-held display 937 hard axis 1345 hard magnetic bias film 1345 hardness 545, 758 Havriliak–Negami function 193 head field 1177
Subject Index
grain size 1127 – distributions 1170 grain-boundary – conductivity 699 – defect 1127 – scattering 698 granular media 1182 granular polysilicon 258 graphite 1224 graphitised SWNT 1149 Gratzel cell 1345 GRINSCH laser 530, 1345 GRINSCH QW laser 531 ground-state exciton 1027 Group III-nitride – LEDs 13 Group III–nitrite system 538 Group III–V 276 Group III–V alloy – deformation potential 747 Group III–V alloy systems – thermal conductivity 741 Group III–V antimonides 276 Group III–V binaries 745, 746, 750 – crystal density 737 – elastic properties 739 – lattice parameter 737 Group III–V compound 748 – acceptors 503 – diffusion 130 – donors 503 – growth 234 Group III–V growth – binary 276 – ternary 276 Group III–V material 9, 1021 – MOCVD 286 Group III–V nitride semiconductor 805, 811 – material parameter 808 – recent development 824 – transient electron transport 810 Group III–V nitride semiconductor material 822 Group III–V quaternaries 738, 745, 746, 750 – lattice-matching condition 737 Group III–V semiconducting compound alloy – electronic transport device 735 – laser diode 735 – light-emitting diode 735 – optoelectronic device 735 – photodetector 735 Group III–V semiconductor 3, 499, 913
1381
1382
Subject Index
Subject Index
head field slope parameter (Q) 1345 heat capacity 1345 – composition dependence 390 – glasses 390 – low-temperature experiments 387 – normalized 390 heat exchanger method 250 heat flow 392 heat-affected zone (HAZ) 1273 heavy-hole – band 895, 909 – effective mass 443 – spectrum 468 Heisenberg exchange 86 helical anisotropy 89 hermetic packaging 728 herringbone structure 1248 Hervé-Vandamme relationship 51 hetero epitaxy 272, 281, 329, 356 heteroepitaxial diamond 545 heteroepitaxial layers 784 heteroepitaxy 1346 heterofullerides 1153 heterogenous nucleation 402 heterojunction bipolar transistor (HBT) 296, 482, 513, 526, 735, 1346 heterojunction contact 862 heterojunction laser 1346 heterojunction structures 754 heterojunctions 1346 heteropassivation 871 heterostructure 9 – detector 311 – lattice-matched 156, 157 – metamorphic 157 – non polar 157 – pseudomorphic 157 – waveguide 1001 heterostructures 1346 hexagonal – close-packed (hcp) 755 – columnar phase 923 – crystals 758 – structure 13 – wurtzite structure 756 hexagonal As 1346 HgCdTe 857, 878 – 2-D arrays 879 – array technology 870 – Auger recombination 870 – BLIP-limited performance 867 – defects 872 – diffusion current 870 – diode 879 – dual-band array 880
– epitaxial growth 873 – growth process 860 – high-performance infrared systems 882 – infrared detectors 860 – loophole arrays 872 – photoconductive 857 – photoconductor array 860 – photodiode (I–V) characteristics 869 – photovoltaic array 867, 882 – photovoltaic detector 882 – slab 860 – solution 310 – spatial resolution 879 HgI2 1124, 1127 HgMnTe 1346 high carrier mobility 545 high electron mobility transistor (HEMT) 10, 297, 735 high frequency (HF) 421 high frequency device 549 high performance FET fabrication 553 high power SIT 549 high refractive index glasses 76 high temperature electronics 539, 544 high vacuum (HV) 661 “high-κ” dielectrics 643 high-angle annular dark field (HAADF) 365 high-brightness diodes 287 high-density recording 1180 high-electron-mobility transistor (HEMT) 526, 1346 high-energy sputtering 671 higher operating temperature (HOT) 881 higher silane-related species (HSRS) 592 highest occupied molecular orbital (HOMO) 1226 high-field conduction 700, 708 high-frequency (HF) capacitance method 421 high-frequency electron device 805 high-index contrast 1346 highly doped Si – room-temperature resistivity 454 high-mobility InGaN 793 high-order Laue zone (HOLZ) 355 high-performance FET – DC output characteristic 553
high-pressure depletion (HPD) 592 high-purity n-Si 470 high-quality AlN 787 high-resistivity crystal 461 high-resolution – displays 937 – mask 1002 – X-ray diffraction (HRXRD) 127, 756, 846 high-speed device 9, 10 high-temperature CVD (HTCVD) – silicon carbide 542 high-temperature device 555 – pn-diodes 558 – Schottky diode 558 high-temperature electronic – SiC-based JFETs 550 high-temperature solution growth 238, 251, 1346 high-temperature superconductor 1193 – irreversibility line 1208 – physical property 1195 – upper critical field 1208 hole – array 1004 – drift velocity 466 – effective mass 746 – generation 1133 – lifetime 1126 – mobility 1125 – spectrum 443 – trapping sites 143 hole transport – trap-free 962 holographic patterning 1011 homeotropic alignment 1346 HOMO – highest occupied molecular orbital 1346 homoepitaxial diamond 545 homoepitaxial GaN 366 – microstructural defects 366 homoepitaxial GaN 783 – layers 784 homoepitaxy 272, 281, 1346 homogenous nucleation 402 homojunction 898, 1346 – device 870 hopper coating 968 hopping – band 1113 – conduction 705 – conductivity 171, 178 – distance, time-dependence 175 – energy relaxation 177, 181
Subject Index
I IBM copier 972 IBM copier 1 955 IC technology 626 IDCA 1347 ideal contact 150
ideal detector 1133 – performance 1131 ideality factor 149, 151, 1347 IGBT (insulated gate bipolar transistor) 551 illmenite – LiNbO3 604 – LiTaO3 604 illumination – nonresonant 1084 image contrast analysis 356 image formation – photoreceptor 956 image lag 1121, 1347 imaginary susceptibility component 193 imaging detector 1121 impact ionisation 914 impact ionization 38, 471, 862, 871, 1347 IMPATT diode 471 improper (or extrinsic) ferroelectric 1347 impurity 1347 – absorption 66 – ionization energy 445 – metallic 103 – scattering 10, 448 – solubility 264 – species 674 in situ monitoring 313, 1347 in situ oxidation 1188 incandescent light bulbs 753 index changes – negative nonlinear 1083 – nonlinear 1085 index of refraction – second-order 1064 index-guided structures 898 index-guiding 897 in-diffusion 131 indirect-gap material 890, 987 indium nitride (InN) 805 – electrical properties 774 – optical properties 789 indium tin oxide (ITO) 1012, 1226 induced anisotropy 1157 induced dipole moment density 191 inductance – linear 85 inelastic scattering 1347 infinite well – wave function 1027 infinite-well 1025 information technology 1244
infrared (IR) 303, 914 infrared camera 858 – HgCdTe 868 infrared detector 608, 860 – cooler 858 – minimal cooling 882 infrared laser absorption spectroscopy (IRLAS) 582, 1347 infrared reflection absorption spectroscopy (IRRAS) 584, 1347 InGaAsP 11 InGaN 8, 12 InGaN alloy 13 InGaN band gap 792 injection circuits 870 injection efficiency 869 ink-jet printing 1233 InN 820 – electron transport 819 – fundamental properties 755 – lattice constants 757 – material parameters 809 – mechanical properties 759 – optical phonon frequencies 760 – Raman-active modes 760 inorganic crystalline semiconductors – nonlinear properties 1080 inorganic glasses 219 in-plane coherence length 1207 in-plane transistor 553 in-plane wave 1027 insulating film 700, 707 insulating oxides 95 insulation layer – thick film 723 insulator 63, 1267 – branch-point energy 159 – dielectric band gap 154 – dielectric constant 154 – electrical characterization 410 – resistivity 411 – work function 700 insulator–metal transition 445 insulator–semiconductor interface 159 integrated circuit (IC) 6, 7, 725, 1347 – gate dielectrics 629 – manufacturing 626 – nanometer scale 80 – performance 626 – scaling 626 – wire bonding 726 integrated circuit electronics 5
Subject Index
– length 177 – rate 170, 175 – transition 169, 178 – transport 174, 180, 960 hopping conduction 1346 horizontal Bridgman (HB) 503 – GaAs 503 – growth 503 horizontal directional solidification crystallization (HDC) 250 horizontal gradient freeze (GF) 504 – GaAs 503 – growth 503 hot carrier phenomena 1346 hot-carrier diffusion 470 hot-carrier phenomena 466 hot-wall epitaxy (HWE) 325, 328 – II–VI compound 330 hot-wire chemical vapor deposition (CVD) 1346 humidity sensor – thick-film 730 Huygens’s principle 348, 1346 HWE growth chamber 331 hybrid circuits 718, 1346 – substrates 720 hybrid thin film 1255 hybridization 1346 hydride growth method 508 hydride vapor-phase epitaxy (HVPE) 754, 764 hydrogen dilution ratio 583 hydrogen plasma 587 hydrogen sensor – titanium dioxide nanotube 1244 hydrogenated 581 – amorphous carbon transport properties 162 – amorphous germanium (a-Ge:H) 61 – amorphous silicon (a-Si:H) 61 hydrophobic substrate 681 hydrothermal growth 250, 1346 hydrothermal techniques 336 hysteresis 83, 1347 – loss 84 – time-dependent 85
1383
1384
Subject Index
Subject Index
integrated electronic absorption 1065 integrated optics/photonics 1347 integration capacitance 878 intentional doping 773 interaction cross section 670 interatomic potential energy 397 interband emitter 1032 interband optical transitions 52 interband transition region 749 interconnect 1347 – capacitance 628 – dielectric materials 652 – dielectric tetraethoxysilane (TEOS) 651 – dielectrics 629, 651 – electrical 1273 – environment 1273 – flip chip 1276 – Pb-free 1277 – wire material 627 interconnects – conductivity 1282 – polymer 1282 – second-level 1282 interdiffused multilayer process (IMP) 314, 1347 interdiffusion 131, 133 – CMT 314 – compositional 124 interface – band-bending 150 – capacitance 209 – dangling bond 639 – electrical characterization 410 – induced gap states (IFIGS) 147, 153 – resistivity 416 – trap capacitance 421 – trap charges 424 – trap density 1347 interface-induced gap states 1347 intergrain jc 1347 intermediate diffuser 129 intermetallic compound (IC) 1194, 1274, 1275, 1347 intermetallic reactions and phases 1348 intermolecular forces 947 internal energy 387 internal photoemission yield spectroscopy (IPEYS) 148, 152 International Technology Roadmap for Semiconductors (ITRS) 626 interpolation – linear 747
interpolation scheme 736 interrupted field time-of-flight (IFTOF) 145 interstitial 1348 – diffusion mechanism 122 – nitrogen 847 – oxygen 116 – recombination 124 – site 215 inter-sub-band (ISB) – emission 1030 – transition 1029 – transition rate 1030 intersubband (ISB) transition 1348 inter-valley electron transfer 776 inter-valley scattering 448 intragrain jc 1348 intrinsic – magnetic properties 87 – mobility 427, 452 – optical transitions 777 – pinning 1212 intrinsic point defect 107, 1348 – aggregate void 104 – aggregation 114, 115 – Boron doping 114 – Carbon doping 115 – thermophysical properties 103 intrinsic resistivity 699 intrinsic silicon 128, 138 inversion 1348 inversion layer 421 inversion symmetry 1348 inverted opal 1010 inverted staggered TFT 1112 iodine doping 874 ion beam milling 874 ion bombardment 345, 593 ion conductor – disordered oxygen 219 ion implantation 874, 1003, 1348 – GaAs 513 – parameters 544 ion species primary 379 ion yield – positive secondary 380 – relative 381 ion-beam-assisted deposition (IBAD) 760 ionic conduction 161 ionic conductivity 217 – interface 220 ionic conductor 214 ionic conductors – application 214
ionic crystals 51 ionic disorder 216 ion-implanted SiC 548 ionization 1348 ionization energy 1348 ionized-impurity scattering 465 ion–lattice interactions 987 IPEYS technique (internal photoemission yield spectroscopy) 1348 IR detectors 869 irreversibility line 1209, 1348 irvin curve 1348 isoconcentration diffusion 123 isolation dielectrics 629 – LOCOS isolation oxide 647 isothermal capacitance transient spectroscopy (ICTS) 567 isotope effect 1198 isotope labeling 588 isotropic liquid 919 itinerant magnet 93 I–V technique (current–voltage technique) 1347
J JFETs (junction field-effect transistor) – SiC 547 Johnson–Mehl–Avrami equation 402 Josephson junction 1210 – critical current 1200 Josephson–Fraunhofer interference 1200 Joule–Thompson cooler 857, 859 Judd–Ofelt (JO) analysis 68 jump process – diffusion coefficient 214 junction barrier Schottky (JBS) 548 junction characteristic 1098 junction geometry 876
K K3 Li2 Nb5 O1 2 (KLN) 249 Kelvin contact resistance (KCR) 410 Kerr effect 1011 Kevlar 918 Kikuchi lines 355, 1348 kinematic viscosity 949 kinetic theory of gases 663 Kirkwood factor 198
Subject Index
Knoop hardness 739 Knudsen cell 509, 1348 Knudsen effusion 293 Kodak Ektaprint 100 955 Kramers–Kronig relation (KKR) 49, 195, 447, 1064, 1067, 1080 Kramers–Kronig relations (KKR) 1348 Kretschmann’s configuration 1252 Kronig–Penney model 1026 KTiOPO4 (KTPO) 249 Kyropoulos growth method 235, 250, 339 Kyropoulos technique 1348
L
linear inductance 85 linear interpolation 747 linear interpolation scheme 736 linear muffin-tin orbital (LMTO) 758 linear relaxation response 192 linear susceptibility 195 liquid – key parameters 399 liquid crystal 917, 1012, 1349 – alignment 925 – bulk nematic 926 – calamitic 919 – chemical structure 942 – chiral 921 – chiral nematic 940 – cholesteric phase 921 – dielectric anisotropy 945 – dipole moment 945 – director 925 – discotic 923 – elastic constants 946 – electrical conductivity 937, 943 – helical structure 921, 945 – long range order 924 – lyotropic 918 – material 941 – molecular properties 943 – molecular shape 919 – molecular structure 919 – negative dielectric anisotropy 938 – nematic 919 – optical properties 932, 943 – optical retardation 932 – order 919 – permittivity 945 – positive dielectric anisotropy 925, 932 – rod-like molecules 924 – smectic 919 – thermotropic 918 – torsional elasticity 947 – viscosities 947 – viscosity 929 – viscosity coefficients 947 liquid crystal shutter 940 liquid encapsulated Czochralski (LEC) 247, 504 – pulling rate 234 liquid polymer 1233 liquid silicon 259 – impurity solubility 264 liquid-crystal – columnar 923 – nematic 923
Subject Index
Landauer formula 1150 Landé factor 82 langasite family (La3 Ga3 SiO12 ) 249 Langmuir–Blodgett (LB) 660, 1230 – film deposition 680 – method 1246 – technique 677 Langmuir–Blodgett film deposition 1348 Laporte’s rule 986, 1348 laptop computer 937 large area detector 1124 large area electronic 1107 large-band-gap material 766 laser 11 – ablation 615 – diode 1032 – edge-emitting 888 – four-level 1034 – quantum cascade (QC) 1033 – spectral purity 904 – vertical-cavity surface-emitting 1032 laser cavity – refractive index 897 laser characteristics 900 laser crystallization 1348 laser detector 308 laser diode (LD) 325, 735, 750, 753, 888 laser flash method 764 laser light scattering (LLS) 102 laser light scattering tomography defect (LSTD) 105 laser trimming – thick film 726 laser-induced fluorescence (LIF) 582, 1349
lateral conductivity 696 lateral epitaxial overgrowth (LEO) 754, 763 lattice – constant 757, 1026 – Group III–V binaries 737 – melting 217 – mismatch 315, 482, 1212 – mobility 461 – parameter 737, 756 – reciprocal 354 – vibrations 22 lattice-matched AlInN/AlGaN 793 lattice-matched system 95 lattice-matching 1022, 1349 Lattice-matching condition 738 lattice-matching condition 737, 738 law of mass action 1349 law of mass action (LMA) 123 layer – composition 968 layer-by-layer growth 291 LDA (local density approximation) 97 lead phthalocyanine film 705 lead zirconate titanate (PZT) 729 lead-free piezoelectric 603 lead-silicate glass 1065 leakage current 549, 630, 869, 872, 902 length between bits 1170 lenses – growth 235 Li batteries 223 LIDAR (light detection and ranging) 882 lifetime 1349 ligands 68 light absorption 47 light amplification 1068 light emitting diode (LED) 3, 10, 11, 325, 538, 735, 750, 753, 888, 893, 1021, 1032 – material properties 528 – ultraviolet 1032 – white 992 light-hole – band 909 – effective mass 443 light-induced defect creation 1349 light-induced phenomena 565 – amorphous semiconductors 575 lighting strip 992 lightly doped drain (LDD) 415 lineage 1349 linear absorption 1067
1385
1386
Subject Index
Subject Index
– smectic 920 – twist grain-boundary phase 923 liquid-crystal device 931 liquid-crystal display (LCD) 590, 917 – addressing 933 – angle of view 933, 938 – bistable device 939 – deformed helix mode 947 – ECB-mode 932 – ferroelectric smectic display 939 – global market 1226 – in-plane switching (IPS) mode 937 – in-plane switching mode (IPS) 938 – materials 940 – multicomponent mixtures 942 – multiplexing 934 – optical properties 934 – passive matrix addressing 934 – relaxation time 934 – super-twisted nematic (STN) 935, 936 – thin-film-transistor twisted-nematic 937 – time response 934 – transmittance 934 – twisted nematic (TN) 935 – twisted vertically aligned (TVAN) 938 – vertically aligned nematic (VAN) 938 – viscous properties 934 – zenithal bistable device (ZBD) 939 liquid-crystal material – fluorinated mesogens 948 liquid-crystal mixtures 948 – two phase coexistence 942 liquid-crystal phases – disc-like molecules 923 liquid-encapsulated Czochralski technique 1349 liquid-phase epitaxy (LPE) 9, 11, 271, 303, 328, 507, 873, 889, 1022, 1349 – second-generation CMT 308 lithium ion batteries 225 lithographic method 1002 lithography 7 – parallel 1233 local chemical state – surface 375 local density approximation (LDA) 757, 758
local oxidation of silicon (LOCOS) 647 localisation 13 localised vibrational modes (LVM) 515, 517 localized state 163, 174, 1109 – potential barrier 180 localized vibrational mode (LVM) absorption 1349 LOCOS isolation oxide 647 logic circuitry – molecular-scale 1234 logic device dimensions 630 logic gates 1151 log-pile structure 1007 London equations 1197 London penetration depth 1197 London theory 1200 long linear arrays 868 long waveband (LW) 856 longitudinal bias film 1345 longitudinal gauge factor 1115 longitudinal magnetic recording 1349 longitudinal optical (LO) 759, 767, 1031 – modes 741 – phonons 55 longitudinal recording – write head 1176 longitudinal resistivity 43 longitudinal TFT 1117 longitudinal transition 1170 long-range disorder 1349 long-range orientational order 925 long-wavelength detectors 876 long-wavelength LO phonon 748 loophole device 875 loose-spin model 94 LO-phonon coupling 784 Lorentz oscillators 51 loss coefficient 55 low media noise 1170 low remanence state 1187 low-κ application 652 low-dimensional structure 1349 low-dimensional transport phenomena 1150 low-energy ion scattering (LEIS) 346 low-energy sputtering 671 lowest unoccupied molecular orbital (LUMO) 1226 low-field electron drift mobility – GaN 814 low-frequency dispersion 209
low-frequency noise 1349 – characteristics 430 – measurement 433 – spectroscopy 430 low-impedance devices 433 low-loss dielectric material 998 low-mobility materials 144 low-pressure chemical vapour deposition (LPCVD) 481 low-temperature co-fired ceramic (LTCC) 1270, 1349 low-temperature luminescence 521 low-temperature MBE (LTMBE) – GaAs 510 low-temperature solution growth 1349 low-temperature solution growth technique 238 low-temperature-grown GaN 775 LPE 1349 – background impurities 272 – characteristics 272 – GaAs 276 – growth modes 273 – history 272 – n-on-p structure 280 – optoelectronic devices 276 – p-on-n structure 280 – second-generation CMT 308 LPE growth 329 LPE growth method – dipping system 274 – graphite sliding-boat 274 – tipping furnace 273 LPE growth techniques – comparison 310 LPE material – point defects 273 L-pit 104, 105, 1349 LSDA (local spin density approximation) 97 LTCC 1279 LTMBE GaAs – point defects 517 – ultrafast optodetectors 510 lucky drift (LD) model 39 lucky electron 38 luminescence 983, 1349 – band-to-band 987 – centres 985 – deep-level 987 – electronic configuration 985 – excitation/emission cycle 988 – optically stimulated 990 – rare-earth 985 luminescent ions 987
Subject Index
luminescent material 983, 992, 995 LUMO – lowest unoccupied molecular orbital 1350 Luttinger liquid (LL) state 1151 LW 1350 LW matrix arrays 868
M
– thermal conductivity 391 – thick film 721 material characterization 139 – sample preparation 362 – X-ray diffraction 348 material flow 109 material parameter 808, 809 – quadratic dependence 736 – ternary 736 material photosensitive 140 material porous 1009 material properties – Group III–V nitride semiconductors 822 material quality 537 material systems – viscosity 401 materials growth – diamond 242 – Gallium Arsenide (GaAs) 242 – Group III–V 242 – Group II–VI 245 – Group IV 240 – Group-III nitrides 244 – Halides 250 – Indium and Gallium Antimonides 244 – Indium and Gallium Phosphides 244 – oxides 249 – oxides/halides/phosphates/borates 249 – phosphates and borates 251 – Silicon 240 – Silicon Carbide 241 materials LPE grown – arsenic-based materials 276 – atomically flat surfaces 280 – doping 277 – Group III–V 276 – Group II–VI 278 – group IV 275 – Group-III nitrides 277 – Group-III–V antimonides 276 – low-bandgap material 277 – mercury cadmium telluride 278 – mixed alloy layers 277 – narrowgap Group II–IV compounds 280 – phosphorus-based materials 276 – silicon 275 – silicon carbide 275 – silicon/germanium 275 – widegap compounds 278 materials MBE grown – GaAs/AlGaAs 296
Subject Index
Mach–Zehnder – interferometer 911 – modulator 1076 macrocylic compound 1241, 1245 macrocylic molecule 1246 macrodefects 315 macromolecule – cylindrical graphitic 1148 magnetic anisotropy 88 magnetic annealing 1157, 1350 magnetic coupling 1165 magnetic field – effective 1187 magnetic field applied continuous CZ (MCCZ) 267 magnetic field applied CZ (MCZ) 266 magnetic film 1155, 1157 – engineering 94 – permanent 1166 – switching time 1162 magnetic free enthalpy 1187 magnetic grains 1169 magnetic layers – amorphous metallic 99 magnetic material 1155 – antiferromagnetism 90 – ferrimagnetism 90 – ferromagnetism 90 – multi-domain structure 86 – thick film 613 magnetic permeability 48 magnetic properties 79 – thin film 660 magnetic random-access memory (MRAM) 1156, 1185, 1350 magnetic read head 92 magnetic recording 1156 – head 1158 – media 92 – microstructure 1169 – read head 1188 – surface 1169 – transition 1182 magnetic sector instrument 377 magnetic semiconductors 883 magnetic spacing 1350
magnetic splitting 99 magnetic substance – fundamental property 81 magnetic surface charges 81 magnetic thin film – coupling strength 93 magnetic transition 1350 magnetic tunnel junction (MTJ) 1156, 1185 magnetic tunneling junction (MTJ) 1350 magnetic yoke 1159 magnetism – classical 81 – localized 81 – transition metal alloys 97 magnetization 1187 – curve 1178 – equation of motion 1187 magnetoelastic energy 1157 magnetoelectronics 99 magneto-optical effects 744 magnetoresistance 1350 magnetoresistance (MR) 446 magnetoresistance ratio 1186 magnetoresistive sensors 730 magnetoresistivity (MR) 1350 magnetostriction 1157 – constant 1160 – nickel–iron alloys 1157 magnetron sputtering 676 magnification 351 majority carriers 1350 Marconi company 940 mask processing 1003 masking layer 1144 mass flow controllers (MFC) 295 master curve technique 204 material 3 – carbon-based 1220 – charge transport 161 – composition 167 – disordered 161 – GaN 14 – Group III-nitride 12 – homogeneous nonlinear 1077 – magnetic 1155 – material 1078 – nonlinear 1084 – organic 1084 – organic thin film 708 – photovoltaic 1098 – resonant response 1079 – second-order 1088 – semiconducting 3 – sensor-active 1241
1387
1388
Subject Index
Subject Index
– GaAs-based electronic devices 299 – Group-III nitrides 298 – Group-III–V nitrides 298 – InGaAs 297 – precursor 299 – quality 298 – quantum dot 298 – sapphire substrates 298 – Stranski–Krastanov mode 298 materials MOCVD grown – Al1−x Gax As 286 – antimonides 286 – arsenides 286 – GaN 287 – Group II oxides 289 – Group II–VI semiconductors 288 – HgCdTe 288 – nitrides 287 – phosphides 286 – selenides 289 – sulfides 289 – ZnSe 289 materials science 4 Matthiessen’s rule 23, 696, 750, 766 Mauguin condition 935, 936 maximum efficiency 1098 Maxwell–Boltzmann distribution 29, 663 MBE 332 – AlAs 292 – commercial systems 295 – GaAs 292 – gas sources 295 – Group III–V compounds 292 – growth chamber 293 – growth rate 291 – growth systems 293 – history 290 – reaction mechanisms 291 – Si1−x Gex film 488 MBE growth – defects 294 – substrate-preparation 294 MBE technology – CMT 317 MBE-grown CMT – alternatives 320 – applications 320 – device-quality 319 – surface morphology 318 MCZ silicon 266 mean free path 392, 696 – bulk electron 696 mean grain size 1170
mean phonon velocity 392 mean-field approach 197 mean-square relative displacement (MSRD) 1141 mechanical properties – nitrides 757 – thin film 660 mechanical sensors – piezoresistive 728 – thick film 728 media flux 1169, 1350 media noise 1350 medium waveband (MW) 856 medium-energy electron diffraction (MEED) 360 medium-wavelength infrared (MWIR) 312 melt-grown GaAs 507 membrane – conductivity 1261 – sensing 1245 – solid state ionic 214 – spin coating 1245 memory architecture 1185 memory chips 102 memory device 1235 – tunneling oxides 629 memory storage technology – compact disk 651 – controlled phase change 651 memory technology 647 mercury cadmium telluride (MCT) 278 mercury-sensitized photo-CVD 1350 MESFET (metal–semiconductor field-effect transistor) 549 – transconductance 553 mesogen 921, 1350 – chemical constitution 944 metal – bulk nonlinearity 1071 – thermal expansion coefficient 398 metal alloys – sheet resistivity 721 metal gate electrode 634, 644 metal oxide semiconductor (CMOS) 1229 metal oxide semiconductor field effect transistor (MOSFET) 7 metal semiconductor field-effect transistor (MESFET) 1350 metal-free phthalocyanine 1254 metal-induced gap states (MIGS) 155
metal–insulator transition 1193, 1203 metal–insulator–metal (MIM) 647 metal–insulator–semiconductor (MIS) 704, 858 – heavily doped polycrystalline Si 633 – structure 633 metallic film 696, 700 – electrical conductivity 696 metallic glasses 396 metallic impurity 103 metallic nanocomposites 1088, 1350 metallic superconductor 1193, 1201 – isotope effect 1198 metallization 544 metalloporphyrin – glass 1249 – Langmuir–Blodgett film 1249 – polymer 1249 metallurgical-grade silicon (MG-Si) 257 metalorganic chemical vapor deposition (MOCVD) 9, 10, 12, 271, 280, 325, 328, 614, 680, 754, 1022 – epitaxy 1082 metalorganic deposition (MOD) 614 metalorganic molecular beam epitaxy (MOMBE) 295, 325, 328, 332, 511, 754 metalorganic vapor phase epitaxy (MOVPE) 9, 280, 303, 328, 508, 874, 1102 – CMT 312 – heterodiode technology 881 – reactor cells 284 metal–oxide–semiconductor (MOS) 158, 696 metals 25 – electrical conductivity 396 – electrical properties 25 – resistivity 25, 411 – sheet resistivity 721 metal–semiconductor barrier 151 metal–semiconductor contact 147, 148 – depletion layer 150 – J–V characteristic 416 – patches 150, 151 – photoelectric response 152 metal–semiconductor field effect transistor (MESFET) 9, 513, 525
Subject Index
miniband 1026, 1351 – break 1029 – injector region 1034 minority carrier 912, 1351 – drift length 861 – lifetime 318 – silicon-based electronic device 454 MIPS (millions of instructions per second) 1236 MIRIADS (miniature infrared imaging applications development system) 882 mirror 692 MIS 1351 MIS diode 640 misalignment angle 1210 misfit 11 misfit dislocation 356, 511, 1026, 1351 – transition metal impurity decoration 369 mixed conducting 224 mixed conductor 213 – electrical properties 218 mixed crystal – charge carrier 168 – compositional fluctuation 168 mixed state 1351 mixture rule 33 MMICs (monolithic microwave integrated circuits) 514 Mn-doped AlN 786 mobile carrier – concentration 216 – sublattice 217 mobile ion – electrical properties 218 mobile monitoring 1243 mobility 19, 750, 870, 1351 – degradation 632 – degradation coefficients 427 – edge 163, 175, 179, 566, 1111, 1351 – effective 1112, 1113 – field-effect 1111 – gap 163, 173, 1109, 1110, 1351 – of electrons 177 mobility–lifetime product 1128 MOCVD 286, 1351 – basic reaction kinetics 281 – characteristics 281 – precursors 283 – technique 331 mode – AlAs-like 741
– GaAs-like 741 mode hops 905 model-dielectric-function (MDF) 750 modulated photoconductivity (MPC) 142 modulation doped field-effect transistors (MODFETs) 795 modulation doping 10 modulation transfer function (MTF) 866, 1121, 1123, 1131, 1351 – aperture 1134 – detector material 1134 modulation-doped FET (MODFET) 297 modulation-doped GaAs/AlGaAs 297 modulation-doped heterointerfaces 754 modulator 1036 – electroabsorption 907, 1037 – electro-optic effect 911 – InGaAsP-based 910 – linewidth enhancement 911 – optical 888 – polariation insensitive 909 – refractive index 911 – waveguide configuration 909 – wave-guide structure 910 – Y-junction 911 modulator device 1029 molecular – chirality 921 – density 737 – dipole relaxation time 200 – dispersion 960 – dynamics (MD) 568 – manufacturing 1219 – memory 1219 – switch 1219 – wires 924 molecular beam epitaxy (MBE) 9, 10, 12, 152, 271, 290, 317, 325, 328, 481, 661, 683, 754, 889, 1022, 1082 – GaAs 509 molecular electronics 1219, 1351 – architecture 1233 – electroactive compound 1224 – manipulating material 1233 molecular recognition 1231 molecular reorientation 1351 molecular-beam epitaxy (MBE) 1351 molecularly doped polymer (MDP) 162, 180, 958
Subject Index
metal–semiconductor interface – electrical properties 544 metamorphic interface 157 metastability 1350 Metglass 91 MgB2 – critical temperatures 1214 micro screen 718 microcrystalline (µc) 1108 microcrystalline silicon – hydrogenated 581 microcrystals 1086 microdiffraction 358 micro-electromechanical system (MEMS) 226, 537, 546, 557 – diamond 551 – thin film 613 microelectronic applications – thermally grown SiO2 636 microelectronic circuits 717 – Si-based 625 microelectronic devices – dielectric materials 625 – scaling 640 microelectronic materials 636 microelectronics 80, 95, 99 microhardness 739 microindentation methods 758 micromachined Si 1007 micromachining 1244 – technique 1004 microphotonics 1350 micropipes 543 microprocessor chip 1229 microprocessor performance 628 microprocessor unit (MPU) 1229 microprocessors – scaling 626 micro-pulling down technique 249 microscopy – optics 351 microstrip design 1279 microstructure glass 1257 – sensor 1257 micro-via 1278 microwave circuit 1271 microwave device 90, 276 microwave transistors 525 mid-gap-states 1068 migration energy 214, 219 Miller’s rule 1066, 1351 milling 611 miniaturization 214
1389
1390
Subject Index
Subject Index
molecularly doped polymers (MDP) 1351 molecular-scale technology 1230 momentum-flipping collisions 392 monolayer (ML) 291, 1351 monolithic solder 1278 monomolecular recombination 143 monopolar conduction 36 monosilane (SiH4 ) 257 – production 258 – pyrolysis 258 Monte Carlo simulations 805 – electron transport 813 Moore’s Law 1351 Moore’s law 7, 8, 626, 1229 morphological characteristics 659, 690 MOS 1351 MOS transistor 1185 Moseley’s law 1351 MOSFET (metal–oxide–semiconductor field-effect transistor) 410 – injection efficiency 869 – SiC 547 Mott’s law 172 MOVPE 1351 MPCVD technique 1352 MQW lasers 297 MRAM technology 1155 MTF model 1136 multi-component material – sputtering rate 376 multicore wire 1196 multicrystalline silicon – loss of efficiency 1099 – module efficiency 1099 multi-crystalline silicon (mc-Si) 1352 multidomain structure – magnetic material 86 multijunction cell 1098, 1100 multilayer ceramic (MLC) 611 multilayer device 968 multilayer film 578 – quantum size effects 571 multilayer heterojunction (MLHJ) 319 multilayer media 1181 multilayer structure 1235 multioscillator model 748 multiple quantum well (MQW) 69, 124, 332, 528, 857, 1024, 1352 – laser 530 – nonlinear response 1081 – semiconductor MQW 1082
multiple reflection approximation 473 multiplets 985 multiplexer 858 – design 879 multistrain well 910 multiwall nanotubes (MWNT) 1148 – ballistic conductance 1150 – electrical transport 1150 – inter-layer coupling 1150 MW 1352 MW detectors 868
N N doping 847 nanocomposites – metallic 1088 nanocrystal 1087 – inorganic semiconductor 1087 – nonlinear susceptibility 1088 nanocrystalline (nc) 1108 nanoelectronic circuit 1233 nanoelectronic device 708, 1151 nanoengineering 997 nanofibrous membrane 1259 nanoflash device 1235 nanoindentation methods 758 nanolithography (DPN) – dip-pen 1233 nanomaterials 1244 nanoparticle 1235 nanoparticle system 1070 nanoplotter 1233 nanoporous array – high-aspect 1005 nanostructure 661, 692, 997 – oxide 1152 – semiconductor 1150 nanotechnology 565, 1147 nanotube – armchair 1225 – carrier mobility 1148 – electrical conductivity 1148 – electronic structure 1150 – electrooptics 1152 – logic gates 1151 – semiconducting 1151 – solar cell 1152 – thermal conductivity 1148 – zigzag 1225 narrow bandgap 1352 narrow gap III–V compounds 882 NASICON 224 native defect 125, 767, 1352
native interstitials 130 nearest-neighbor hopping (NNH) 170 near-field technique 1144 negative differential resistance (NDR) 524, 776, 1352 negative electron affinity (NEA) 556 negative temperature coefficient (NTC) resistance 729 negative-bias temperature instability (NBTI) 640 nematic – birefringence 943 – dielectric anisotropy 943 – phase 919, 1352 – viscosity coefficients 929 nephelauxetic effect 68 Nernst equation 223 net electric dipole moment 190 NETD 1352 neutral-impurity scattering 453 neutrality condition 444 neutron diffraction 216 neutron transmutation doping (NTD) 260 n-FET drive current 628 Nichia Chemical Industries 12 nitride – alloys 791 – epitaxy 754 – material system 753 – semiconductor 805 – semiconductors 754 nitride-based – compound 1023 – devices 754 – semiconductor lattice parameters 756 – technology 366 nitrides – mechanical properties 757 – optical properties 777 – steady-state electron transport 775 – thermal properties 761 nitriding 678 n-MOS 7 n-MOSFET 10 NO2 sensor 1250 noise equivalent temperature difference (NETD) 878 noise sources – generation–recombination 862 – Johnson–Nyquist 862 – modulation 862
Subject Index
n-Si – extinction coefficient 474 – refractive index 474 n-type carrier concentration 792 n-type channel MOS 539 n-type conductivity 1353 n-type diamond – electron densities 552 – nitrogen-doped 552 – pn-junction 552 n-type GaAs 504, 513 – ohmic contact 524 n-type GaN 767 n-type HgCdTe 864 n-type semiconductor 767 n-type silicon – electron mobility 456 – neutron transmutation doping 260 – phosphine (PH3 ) 260 n-type ZnO 849 nuclear magnetic resonance (NMR) 568 nucleation – activation energy 402 – density 546 – field 1180 – temperature 111 Nucleation model 587 nucleation temperature 1353 numerical aperture 1353 Nyquist frequency 1128, 1135 Néel temperature 1203 Néel-Arrhenius formula 86
O octahedral ferroelectric 605 off-chip interconnect 1278 off-track read profile 1177 Ohm mobility 456 OLED blended single layer – quantum efficiency 1227 OLED display – global market 1226 Onsager model 1353 Onsager relations 931 opal PBG structure 1008 opaline lattice 1009 optical absorption 141, 576, 789 – coefficient 1353 – edge 576 – sub-bandgap 141 optical amplification – gain 906 optical axis 920
optical bistability 1076, 1353 optical communications 914 optical constant – Drude approximation 476 – model 476 optical constants 1353 optical device – figures-of-merit 1068 – nonlinear 1076 optical dielectric constant 748 optical disk 1032 optical effect – thin films 692 optical emission spectroscopy (OES) 582, 1353 – glow discharge 376 optical feedback 897 optical fiber 12 – attenuation 1068 – carrying capacity 1075 – material 1068 – sensor 1257 – transmission rate 1075 optical gap 60, 570, 571, 577, 1114, 1353 optical glass 50, 74 optical integrated circuit 1068, 1069 optical limiter 1353 optical lithography 1152 optical material 74, 75 – silicon 472 optical media 1143 optical memory devices 565 optical mode 740, 748 optical network 1075 optical nonlinearity 1067 optical phonon scattering 28 optical phonon scattering rates – GaAs 814 – GaN 814 optical poling 1069 optical properties 47, 565, 661, 692, 1353 – aluminium nitride 786 – a-Si:H 571 – bound excitons in GaN 782 – chalcogenide glasses 572 – defect-related transitions in GaN 785 – gallium nitride 778 – GaN 785 – indium nitride 789 – nitrides 777 – SiGe 488 – thin films 692 optical pumping 890, 984
Subject Index
– Shockley–Read 863 – surface trapping 862 noise transfer efficiency 1133 noise voltage 1170 nonabsorbing films 696 noncrystalline material 705 nondegenerate material 707 nonepitaxial film 683 nonlinear directional coupler 1352 nonlinear Fabry–Perot–interferometer 1352 nonlinear figure of merit 1352 nonlinear Kramers–Kronig–transformations 1352 nonlinear Mach–Zehnder modulator 1352 nonlinear material 1084 – optical 1089 – quantum-confined inorganic semiconductor 1087 – refractive index 1076 nonlinear material figures of merit 1352 nonlinear optical medium 1352 nonlinear optical switching 1352 nonlinear periodic structure 1352 nonlinear phase shift 1352 nonlinear polarization 1068, 1353 nonlinear refraction 1079 nonmagnetic metals 23 non-oxide compounds 674 nonradiative – decay 1028 – process 984 – recombination 567 nonreversing heat flow (NHF) 404 nonstoichiometric oxides 1202 non-stoichiometry 1353 nonthermal energy exploration telescope 839 nonvolatile information – thin film 613 nonvolatile memory devices – dielectrics 649 Nordheim coefficients 27 Nordheim’s rule 26 normal–insulator–superconductor (N-I-S) 1199 – current–voltage characteristic 1199 n+ -p diodes 871
1391
1392
Subject Index
Subject Index
optical sensor 1251, 1256 optical signal processing – broadband 1076 – wavelength-selective nonlinear 1076 optical storage 12 optical switching 1078 – phase shift 1079 optical system integration 1002 optical texture 920, 1353 optical thickness 694 optical transition – emission 1028 – interband 1026 – matrix 60 – transition rate 1026 optical transparency 545 optically detected magnetic resonance 1353 optically stimulated luminescence (OSL) 990 optical-phonon scattering 771 optoelectronic applications 766 optoelectronic device 411, 735 – detector 911 – semiconductor 911 – strain in 894 optoelectronic diamond 551 order parameter 924, 948, 1353 order–disorder ferroelectrics 198 order–disorder model – ferroelectric behaviour 600 order–disorder transition 198 organic compound – macroscopic properties 1219 organic device – nanoscale 1234 organic film 1230 organic glasses 162, 180 organic laminant 1270 organic layer patterning 1232 organic light emitting display 1219 organic light-emitting diode (OLED) 1107, 1226 organic material 1219, 1241 – nonlinear response 1084 organic photoconductor (OPC) 1353 – architecture 967 – function 967 – material 967 organic photoreceptor 953, 974, 1353 – charge-transport layer (CTL) 974
organic semiconductor 1226 – carrier concentration 1113 – zero-field mobility 181 organic solvent sensitivity 1254 organic substrate module circuit 1279 organic thin film material 708 organic thin layer – dielectric constant 1253 organometallic vapor-phase epitaxy (OMVPE) 878 organo-silicate glasses (OSG) 653 organosilicon 1231 orientation preferred 361 orientational order 946 oscillator strength 1353 out-of-plane coherence length 1207 overcoat – diamond-like carbon 976 – layer 975 – polyurethane 976 – silsesquioxane 976 overlap zone-melting 838 oxidation-induced stacking fault (OSF) 103, 1353 oxide capacitance 422 oxide film 674 oxide glass 52 – empirical rules 390 oxide nanostructure 1152 oxide trap density 432 oxide/nitride (ON) – dielectric stacks 649 oxide/nitride/oxide (ONO) – dielectric stacks 649 oxygen ion conductivity 220 oxygen precipitates 1353 oxygen sensor 223, 1243 oxygen stacking fault (OSF) 101 oxygen vacancy 850 – concentration 215 oxygen-doped AlN 786 oxygen-related defects 759 ozone monitoring 1242
P package style 1270 packaging 727 packaging system – conjugate 1268 paraelectric 1353 paraelectric phase 599, 605 parallel lithography 1233 paramagnetism 96
parasitic – capacitance 628, 633 – resistance 633 parity selection rules 66 partial response maximum likelihood (PRML) 1170 – recording channel 1353 particle flux 1025 particle–material interaction 345 passivation 1353 passivation layer – thick film 723 passive electronic components – thick film technology 724 passive matrix addressing 1354 Pauli principle 1199 Pb-free – soft error 1278 – solder 1278 PC fabrication – radiation patterning 1002 p-doping 13 PECVD technique 1354 Peierls distortion 1150 Peltier cooling 1130 penetration depth (λL ) 1354 penetration depths 1207 percolation 123 – distance 176 – parameter 183 perfect diamagnetism (Meissner effect) 1354 performance enhancing electronics 538 perimeter-bonded die 1270 periodic structures 1076 peripheral package 1268 permalloy 1159, 1354 permanent magnetic film 1166 permanent magnets 92 permeability 88, 1161 permeation rates 225 permittivity 630, 1077, 1354 – of free space 188 – relative 48, 188 – vacuum 48 perovskite (CaTiO3 ) 601 perpendicular – alloys 1178 – anisotropy 1180 – critical magnetic field 1178 – geometry 1175 – magnetic recording 1354 – magnetization 1179 – media 1178 – recording 1178
Subject Index
– layer 969 – material 308 – material properties 1123 – photoreceptor 972 – recombination rate 139 – semiconductors 176 – transient 142 photoconductor 139, 953, 1123, 1135 – amorphous 1124 – band gap 1123 – CdZnTe 1128 – charge transport properties 1131 – electronic noise 1134 – energy absorption coefficient 1132 – imaging properties 1130 – linear attenuation coefficient 1131 – long-wavelength array 861 – loop 976 – material properties 1130 – PbI2 1129 – poly-PbO 1129 – quantum efficiency 139 – responsivities 862 – tribocharged 954 – X-ray absorption profile 1132 photocurrent 1097, 1354 photodarkening 1072, 1354 photodetector 735, 750, 888 – active region 913 – avalanche 913 – band structure 912 – near infrared 1034 – optical 912 – optimum band gap 912 – photoconductive 912, 913 – photocurrent 913 – quantum-well inter-sub-band 1035 – tunnelling 912 – visible 1034 photodiode – background-limited 869 – dynamic resistance 869 – transconductance 869 – unipolar avalanche 1035 photodiode junctions 874 photodischarge 965 – rate 966 photoelectric effect 344 photoelectrons – energy distribution 153 photoexcited carriers 318
photoexcited transport molecule 960 photogeneration – coating 963 photoinduced – absorption (PA) 567 – anisotropy 1071 – charge generation 956 – degradation 591 photoinduced degradation 1354 photoinduced discharge characteristic (PIDC) 1354 photoinduced effects 1355 photoisomerization 1085, 1355 photolithography 1003, 1232 – serial patterning 1003 photoluminescence 1355 photoluminescence (PL) 521, 779, 782, 837, 847, 984, 1114 – amorphous semiconductors 570 – detector 991 – long term 991 – measuring system 991 – short term 991 photon 1355 photon density 897 Photon Factory 1142 photon recycling technique 992 photonic band gap (PBG) 997, 998 photonic crystal (PC) 997 – alumina 1005 – architecture 999 – cell structure 1000 – design limitation 1001 – device 997 – electrochemical etching 1004 – fabrication method 1005 – fabrication methodology 1001 – lithographic fabrication 1007 – lithography fabrication 1002 – magnetic 1013 – materials and criteria 1000 – nanometer-scale 1001 – optical functionality 1009 – optical response 1011 – patterning 1003 – PBG structure 1001 – physical architecture 1000 – physical structure 1012 – self-ordered porous 1005 – semiconductor-based 1011, 1012 – structure 998, 1001, 1005 – structure 3-D PBG 1007 – tunable 1011 – tuning 1012
Subject Index
– spin valve head 1176 – write head 1175 perturbation Hamiltonian – time-dependent 986 PES technique (photoemission spectroscopy) 1354 p-FET drive current 628 phase diagram – superconductor 1203 phase equilibria 304 phase sensitive detection 991 phase transformations 402 phase-change – band gap 1140 – material 1140 – recording 1140 phase-change data storage 1139 phonon 47 – concentration 386, 387 – coupling 782 – dispersion 759 – longitudinal optical (LO) 1031 phonon scattering – non-polar 28 – polar 28 – temperature dependence 29 phonon Umklapp scattering 762 phonon–phonon anharmonic interaction 392 phonon–phonon scattering 392, 762 phonons 1354 phosphor 983 – luminescence efficiency 994 – optical display 994 – persistent 993 – strip 993 – X-ray storage 993 phosphorescence 984, 1354 phosphorus-based materials 276 phosphorus-doped diamond films 540 photo-catalysis 1354 photochromic 1354 – effect 1071 photoconduction threshold 964 photoconductive (PC) 278 photoconductive array 860 photoconductive detectors 860 – Johnson-noise limited 863 – long wavelength 866 – n-type 863 – sweep-out condition 863 photoconductive gain 860 photoconductivity 4, 137, 576, 972, 1354 – experiment 138
1393
1394
Subject Index
Subject Index
photonic crystal (PC) material – optical property 1000 photonic crystal fabrication – charged particle 1003 – ion-beam technique 1005 – mask processing 1003 – self-assembly methods 1008 photonic device 997 photonic glass 1355 photonic glasses – optical nonlinearity 1063 photoreceptor 965, 970, 972, 976 – amorphous semiconductor 956 – architecture 967 – back-coat polymer 975 – characterization 965 – charge generation 957 – charge transport 957, 967 – charge transport layer 975 – charge-generation layer 970 – chemical resistance 977 – conductive layer 969, 976 – dark conductivity 956 – dark decay 965 – dark injection 969 – device architecture 953 – discharge 966 – drift mobility 959 – dual layer 957 – electrical characteristic 953 – electrical uniformity 977 – electron transport 968 – electrophotographic 967 – fabrication 976 – hopper coating 968 – inorganic material 956 – layer 967 – mechanical strength 978 – organic 953 – overcoat layer 975 – photoconductivity 972 – photodischarge rate 978 – photosensitivity 978 – quantum efficiency 957 – spatial uniformity 977 – surface charge 956 – xerographic 969 photoreflectance 778 photorefractive beam fanning 1355 photosensitive material 140 photosensitivity 966, 1355 photosensors – screen printed 730 – thick film sensors 730
photothermal deflection spectroscopy (PDS) 567 photothermal ionization spectroscopy (PTIS) 519, 1354 photovoltaic (PV) 278 – conversion efficiency 1228 – organic compound 1228 photovoltaic array – HgCdTe 858 photovoltaic cell – band diagram 1096 – diode characteristic 1096 – efficiency 1096–1098 – figures of merit 1096 – maximum power 1097 photovoltaic detector 868 photovoltaic device 731, 1095, 1355 – current-voltage characteristics 868 photovoltaic material 308 – multigrain silicon 1098 – single crystal 1098 photovoltaic module 1355 photovoltaic solar cell 1095, 1355 – large-scale implementation 1105 photovoltaic structure – alloy composition 1102 – device design 1102 phthalocyanine 1250 – polymorphic 1248 – rare-earth metal 1249 phthalocyanine film 703 phthalocyanine thin film 1254 physical transformation 400 physical vapor deposition (PVD) 330, 661, 1127 physical vapor transport (PVT) 326, 542 piezoelectric – actuator 617, 1014 – ceramic 603 – coefficient 604 – device 617 – effect 1013 – material 617 – scattering 767 – sensor 617 piezoelectric acoustic-phonon scattering 771 piezoelectric elastic properties 618 piezoelectric polarization 1355 piezoelectricity 1355 piezoresistance 1113, 1114
piezoresistive sensors 729 piezoresistivity 1355 pigment material 963 pinned film 1164, 1355 pinning – centres 1212 – effect 1163 – efficiency 1212 Piper–Polich method 246, 334 pitch helix 921 pixel rate 865 pixel size 955 pixie dust 1355 planar diode 876 – (I–V) characteristics 869 planar lightwave circuit (PLC) 998, 1355 planar process 7 Planck’s law 892 planetary reactor 285 plasma cleaning 364 plasma display panel (PDP) 590, 994 plasma etching 1004 plasma frequency 55, 477 plasma-assisted MBE (PAMBE) 754 plasma-enhanced chemical vapor deposition (PECVD) 581, 679, 1108 plasma-enhanced milling 874 plasmon 1355 plasmon energy 154 plastic deformation 1355 plastic electronics 1355 – semiconducting 1225 plastic encapsulation 1281 plastic transistor 1219 plating impurity 1272 platinum resistance thermometers (PRTs) 729 platinum thick film 722 p-MOS 7 p–n diode structure – I–V characteristic 426 p–n junction 124, 898 – reverse-biased 899 pocket calculators 591 point defect 1355 – electrically active 434 – surface 125 – thermophysical properties 104 point defect concentration 109 point defect configuration 103 point group 920 point source 664
Subject Index
polyethylene – crystallinity 394 polygonization 1356 poly-HgI2 1132 polymer 1063, 1069 – backbone 1222 – blend composition 395 – conducting 1241, 1255 – conductivity 1222 – conjugated 180, 961, 1224 – effective thermal conductivity 395 – electrical conduction 1223 – glass-transformation kinetics 404 – heat capacity 389 – molecularly doped 958 – semiconductive 1224 – substrates thick film 720 – thick film conductors 724 – thick films 718, 723, 1356 – transistors 431 polymer conducting – physical property 1241 polymeric – composite thermal conductivity 395 – ferroelectric polyvinylidene fluoride 607 – ion-selective electrode 1257 – membrane 1257 – organic material 1221 – sensor 1257 polymorphous silicon 142 poly-PbI2 1129 polyphenylenevinylene (PPV) 1223, 1226 polypropylene structure 389 polypyrrole 1223 polysilicon – emitter bipolar junction transistor (PE BJT) 410 – granular 258 – production 258 – randomly stacked 258 – seeding 259 polythiophene 1223 polyvinylcarbazole (PVK) 180 polyvinylidene fluoride (PVDF) 607, 729 Poole–Frenkel emission 703 porous array – domain size 1005 – pore shape 1005 porous material 1009 porphyrin – synthetic 1249
positive temperature coefficient (PTC) thermistors 730 positron annihilation 1356 positron emission tomography (PET) 994 post-growth heat treatment 304 potassium dihydrogen phosphate (KDP) 600 power – devices 538 – dissipation 430 – electronics 555 – miniaturization 214 – sources 214 – stabilizer 1356 power added efficiency (PAE) 549 precision doping 261 precursor 283, 680, 1356 – diethyl telluride (DETe) 313 – diisopropyltelluride (DIPTe) 313 – di-methyl cadmium (DMCd) 314 – Group II 285 – Group V 285 preferred orientation 361 pressure sensors 729 primary bombarding particles 383 primary ion species 379 printed capacitors 725 printer – liquid-toner-based 976 process integration 1356 process technology – 0.13 µ m 1229 profiling techniques 127 proper ferroelectric 1356 proton conductivity 220 pseudogap (cuprate superconductors) 999, 1203, 1204 pseudomorphic HEMT (pHEMT) 10, 526 pseudomorphic interface 157 pseudomorphic layer 1356 pseudopotential linear muffin-tin orbital (FP-LMTO) 757 p-type – dopant 845, 848 – doping 849, 850 – GaAs 845 – HgCdTe 870 – silicon 260 – ZnBeSe 849
Subject Index
Poisson’s equation 1355 Poisson’s ratio 1356 – semiconductors 740 polar crystal 598 polar dielectrics 598 polarity 1356 polarizability 48, 629 polarization 188, 929, 1063 – intensity-dependent 1078 – microscopic origins 190 – nonlinear 1077 polarization ratio 1356 polaron model 962 poles of a write head 1356 poling 612 poling mechanism 1069 pollutant gas – detection 1242 pollution monitoring 1242 poly(methylphenylsilylene), PMPS – glass transition temperature 961 poly(N-vinyl carbazole) PVK 972 polyacetylene 1222 polyaniline 1223 – conductivity 1256 polycrystalline – alloy 396 – AlN 773 – conductivity σ 31 – CVD diamonds 540 – CZT 1128 – diamond 551 – dielectric 610 – film 31, 32, 687 – GaAs 506, 1101 – growth 280, 361 – interface states 430 – material 30, 1210 – mercuric iodide 1124 – piezoelectric 610 – pyroelectric 610 – seed crystal 503 – silicon 1114 – silicon emitter 432 – superconductivity 1210 – thin films 685, 1095 – vapour-deposited 686 polycrystalline solid 1356 polydiacetelene – figures of merit 1086 – nonlinear properties 1085 polydimethylsiloxane (PDMS) 1233 polyelectrolyte 1231
1395
1396
Subject Index
Subject Index
p-type conductivity 1356 p-type diamond – electrical resistivity 551 – nitrogen-doped 552 – pn-junction 552 p-type ZnO – complexes 850 – ionization energy 850 – resistivities 850 pull rate 106 pulse width 1356 pulsed laser deposition (PLD) 754 pump–probe experiment 1081 pure GaAs – properties 501 purification 1149 purified Si 444 Pyrex glass 1069 pyroelectric coefficient 604 pyroelectric device – radiation detector 620 pyroelectric effect 620, 1356 pyrolytic boron nitride (pBN) 505, 1356 PZT ceramic 618
Q q-DC behaviour 209 quadratic Stark effect 1029 quantum ballistic transport 42 quantum cascade laser (QCL) 889, 1021, 1033, 1356 quantum communication devices 80 quantum computer 80, 98 quantum conductance 1150 quantum confined Stark effect (QCSE) 908, 1356 quantum confinement 1021, 1083 quantum Dot (QD) 1356 quantum dot (QD) 298, 332, 565, 889, 1037, 1087 quantum dot laser 894 quantum dot layer 904 quantum efficiency 139, 868, 872, 964, 1100, 1121, 1123, 1357 – differential 900 – internal 900 quantum Hall effect (QHE) 418 quantum interference – Josephson junctions 1200 – model 94 quantum resistance 1150
quantum size – amorphous semiconducting multilayers 577 quantum size effect 1023 quantum well (QW) 332, 521, 522, 565, 577, 754, 889, 894, 1021, 1357 – active region 899 – alloy semiconductor 1028 – application 1032 – biased 1030 – carrier confinement 898 – conversion efficiency 899 – density of states 894 – electronic state 1024 – GaAs/AlGaAs 1029 – inter-sub-band photodetector (QWIP) 1035 – laser 894 – luminescence peak 1028 – quaternary alloy 1032 – strained-layer 1032 – threshold gain 899 quantum well infrared photodetectors (QWIP) 488 quantum well inter-subband photodetector (QWIP) 1357 quantum well laser 883 quantum well structure 11 quantum wire 41, 565, 894, 1037, 1357 quantum-confined Stark effect (QCSE) 1028, 1036, 1357 quantum-dot glass 1087 quantum-mechanical confinement 633 quantum-mechanical tunneling 629 quantum-size effect 1357 quantum-well device 276 quarter-wave stack 695 quartz microbalance 1228 quasi Fermi level 140, 893 quasi particles 1357 quasi-Fermi levels 1357 quasi-particle band gap 154 quasi-static measurements 421 quaternary – alloy 750, 1022, 1032 – binary parameters 736 – composition parameters 735 – Hall mobility 751 – optical modes 741 – unit cells 741 qubit 80 quench anneal (QA) 245, 305
R radar 10 radial distribution function (RDF) 568 radiation detector 620 radiation patterning 1002 radiation resistance 1357 radiation–material interactions 344 radiative process 984 radiative recombination 567, 572 Radio Corporation of America 940 radio frequency (RF) 592, 1271 radioactive Pb210 1277 radio-frequency (RF) circuits 1357 radio-frequency (RF) sputtering 675 radioluminescence 984 Raman crystallinity 588 Raman fiber amplifiers 1068 Raman scattering – stimulated 1068 Raman-active phonon modes 759 randomly oriented particles 33 randomly stacked polysilicon – Siemens method 258 rapid thermal annealing (RTA) 514 rare-earth (RE) ion 68 rare-earth spectroscopy 991 Rayleigh coefficient 86 RC delay time response 627 reactive evaporation 667 reactive sputtering 581, 674, 1357 reactor cell 1357 reactor planetary 285 read channel 1170 read head 1357 readout integrated circuit (ROIC) 858 receptor technology 958 rechargeability 225 reciprocal lattice 354, 1357 recombination 146, 862, 1357 – centers 140 – nonradiative 900 – process 176 recombination radiative 567, 572 recording 1139 – density 1181 – film decay rates 1173 – film thickness 1159 – layer 1144 – media noise 1170 – ultra-high 1181 recording medium – magnetically saturated 1174
Subject Index
– metal-semiconductor 415 – modulation 1113 resistance temperature devices (RTDs) 310 resistive evaporation 665 resistive transition 1209 resistivity 24, 32, 418, 1357 – effective 23 – index 25 – longitudinal 43 – measurement 451 – mixture rule 34 – residual 23 – strain-induced 1114 – temperature coefficient 24 – Van der Pauw technique 413 resistor – thick film 722 resistor trimming 723, 726 resolution 351, 1358 resonance response 191 resonant cavity LED (RCLED) 529, 906, 1033 resonant nonlinear response 1358 resonant sensors 729 resonator – micro-machined 1014 response function 1184 responsivity 1358 reststrahlen band 748 retrograde solidus 1358 RF circuit – flip chip 1277 – interconnect 1277 RF device – packaging material 1272 RF magnetron sputtering 614 RF packaging – substrate 1279 – thermal issues 1284 RF wirebond – digital 1273 – materials 1273 RHEED 1358 Richardson – constant 149 – formula 1030 RIE (reactive-ion etching) 544 ring coating 968 Ritland plot 401 Rochelle salt 598 rod-like molecules 929 ROIC 1358 rotational viscosity 949 rotational viscosity coefficient 931, 935
rotaxane molecule 1234 routing 1076 R–T method 1358 Rutherford backscattering (RBS) – spectrometry 343 Rutherford back-scattering (RBS) 792 Rutherford scattering 1358
S SAM film 1247 sapphire 13, 277, 298 sapphire substrate 315, 760, 878 saturable absorber 64 saturated vapor pressure (SVP) 283, 1358 saturation – flux density 1159 – magnetization 82 – parameter 64 – polarisation 599 saturation intensity 1358 saturation magnetization 1358 saturation of absorption 1358 Sb-Te bond 1143 scaling 1358 scaling of integrated circuits 625 scaling targets 627 scanning electron microscopy (SEM) 11, 318, 343, 568 scanning probe microscopy 1358 scanning spreading resistance microscopy (SSRM) 414 scanning thermal microscopy (SThM) 763 scanning–tunnelling microscopy (STM) 660 scattering – carrier–carrier 449 – carrier–lattice 448 – cross section 21 – mechanism 420 SCH laser 1358 Schiff’s base 940 Schott glass code 76 Schottky barrier 9, 701 Schottky barrier diode SiC 547 Schottky contact – capacitance-voltage characteristics 150 – current–voltage characteristics 149 – GaAs 524 Schottky contacts 1358 Schottky defect pairs 215
Subject Index
recrystallization 306 rectangular columnar phase 924 rectifier 1219 reduced dislocation density 506 re-entrant phase 924 reflectance 48, 694 reflection coating 696 reflection coefficient 70 Reflection difference spectroscopy (RDS) 317 reflection high energy electron diffraction (RHEED) 291, 344, 510 reflectometer 1357 reflow 1357 reflow soldering 727 refractive index 47, 52, 75, 694, 1077, 1086 – average 52 – complex frequency-dependent 189 – intensity-dependent 1065, 1078 – MQWs 1083 – nonlinear 1077 – nonlinear changes 1077 – oxide glass 52 – semi-empirical 1066 – tuning 1011 – ultrafast nonlinear response 1077 refractory compound 213 refractory layer 976 relative dielectric permittivity 629 relative permittivity 48, 188 relative sensitivity 382 relative susceptibility – frequency-dependent 191 relaxation – ferroelectric 199 – frequency 202, 205 – rate 200 – response 192, 194, 199 – responses 196 relaxation time 401 – approximation 29, 448 – dipole density fluctuation 200 reliability of dielectrics 640 remanent magnetization 1160, 1173, 1357 remanent polarization 1357 remote impurity scattering 40 residual resistivity 23 resistance – contact 415 – junction 1219 – limit 1196
1397
1398
Subject Index
Subject Index
Schottky diode – Ni-a-Si:H 591 Schottky emission 707 Schottky formation energy 216 Schottky–Mott rule 147, 1358 Schr¨odinger equation 1024 Schroder van Laar equation 942 schubweg 1123 scintillator 250, 994 scintillator material – growth 235 screen 1358 screen printing 1358 screen printing (SP) 717, 1127 – polymer thick films 724 screen-printed paste 1099 secondary electrons 1358 secondary electrons (SE) 348 secondary ion mass spectrometry (SIMS) 127, 311, 343 secondary ion yields 381 secondary-ion emission spectroscopy (SIMS) 846 secondary-ion mass spectrometry (SIMS) 512, 1358 secondary-ion mass spectroscopy (SIMS) 792 Seebeck effect 730 seed crystal 335 seeded chemical vapor transport (SCVT) 335 seeded physical vapor transport (SPVT) 335 segregation 125, 264, 272 segregation coefficient, k 1359 segregation of components 674 selection rule 1359 selective – epitaxy 1359 – etching 514 – growth 493 selenization 1359 self-assembled monolayer (SAM) 1247 self-assembly 1001, 1359 – organic material 1231 self-assembly method – inverse opal 1008 self-defocusing 1359 self-diffusion 130 – dopants 128 self-diffusion parameter 128 self-focusing 1359 self-interstitial configurations 103 self-interstitials 128 – concentration 122
– semiconductor 122 self-organized process 736 self-scanned electronic readout 1122 self-similar correlations 203 Sellmeier equation 51 semiconduction – CdTe film 703 – filmelectronic properties 1108 – layer 412 – material 499 – nanotubes 1151 – thin film 659, 700 semiconductor 3, 5, 13, 22, 51, 59, 288, 442, 725, 766, 805, 1000, 1084, 1149 – absorber layer 1097 – alloy 11, 338, 736, 741 – alloy concept 736 – amorphous 59 – amorphous organic 1113 – amorphous phase 1140 – analysis 373 – band gap 435, 1098 – band gaps 8 – band picture 1066 – band structure 895 – band-structure 152 – binary compound 122 – carrier scattering mechanisms 750 – chalcogenides 1140 – characterization 362, 373 – characterization techniques 348 – components 418 – compound 8 – conductivity 696 – core levels 152 – crystalline 38, 121 – crystals 1066 – cut-off wavelength 1097 – density of states 163 – detector 621, 1359 – device 409, 538, 696, 888 – device applications 3 – die 1271 – dielectric band gap 154 – dielectric constant 154 – diode lasers 895 – direct band gap 890 – dislocation 13 – electrical characterization 410 – electron transport 806 – figures of merit 1081 – film 678, 1244 – first generation 537
– free carriers 411 – functional properties 343 – future-generation 537 – gain 907 – gain/loss 892 – gauges 1115 – glass 162 – Group III–V 131 – Group IV 131 – growth method 233 – Hall effect 418 – heterostructures 147, 154, 363, 365 – ideal 154 – impurities 63 – indirect band gap 890 – indirect-band-gap 442 – industry 5, 255 – interband transition region 749 – interfaces 153 – laser 12 – material 891 – materials 4 – microelectronics 625 – mobility 418 – modulator 907 – nanofabrication 1080 – nondegenerate 22 – nonlinear response 1083 – n-type piezo-electric 336 – optical amplifier (SOA) 889, 907 – optical band gap 890 – optical properties 1066 – organic 1226 – oxide sensing 1241 – permittivity 416 – physical properties 541 – properties 577 – pseudo-binary (CdTe–ZnTe) 338 – quantum well 1021 – quantum wells 907 – refractive index 892, 1080 – relative permittivity 22 – resistivity 410, 411 – response time 1083 – silicon 234, 256 – specific heat 764 – spontaneous emission 890 – spontaneous ordering 736 – strain in 894 – structural characterization 365 – tetrahedral 895 – thermal conductivity 393 – thermal properties 741 – traveling heater method 338
Subject Index
shallow – acceptors SI GaAs 521 – donor electron 783 – impurities 445 – impurity doping 448 – structures 380 – trap level 702 – traps 1125 – trench isolation (STI) 630 shallow-energy-level dopant 1359 shape – anisotropy 89 – forming 611 shear modulus 758, 1359 sheet resistance 418, 1359 sheet resistivity 411 Sheik–Bahae relation 1066 Shell Solar 1104 shields 1359 Shockley-Read 871 short waveband (SW) 856 short-range atomic structure 1359 short-wavelength infrared (SWIR) 312 shot noise 868, 1188 showerhead reactor 285 Si – conduction-band effective mass 445 – diffusion coefficient of electrons 470 – doping effect 450 – drift velocity 469 – electrical properties 451 – Energy levels of impurities 444 – equilibrium concentrations 108 – Hall-effect parameter 459 – intersititials 103 – interstitial aggregation 113 – interstitials 103, 108 – nitrogen influence 113 – optical absorption spectrum 477 – oxidation kinetics 636 – room-temperature resistivity 453 – thyristors 551 Si/SiGe quantum structures 488 Si1−x Gex alloys 129 Si1−x Gex system – electron transport properties 368 Si/SiO2 system – point defects system 639 Si:H – conduction tail states 59 Si-based diodes 539 Si-based transistor 639 Si-based transistor technology 635
SiC 129 – a-face growth 543 – defects 543 – device 542, 544 – diffusion coefficient of dopant 544 – electrical properties 543 – electronic application due 542 – high-frequency devices 544 – IGBT (insulated gate bipolar transistor) 551 – MOSFET 539, 550 – packaging 544 – polytypes 541 – rectifiers 548 – Schottky barrier 544 – Schottky barrier diodes 547 – stacking sequence 541 – substrates 543 – thyristors 551 SiGaAs – electrical analysis of defects 518 – MESFET 525 – shallow-level defects 519 – TSC spectrum 519 SiGe 355 – growth 492 – hydrogen passivation 492 – in-situ hydrogen bake 492 – optical properties 488 – pre-epitaxy cleaning 492 – quantum wells 488 signage 993 signal decay rate 1359 signal processing 1076 – optical 1076 signal processing device 1078 signal switching 1076 signal-to-noise ratio (SNR) 1133 SiH3 – enhanced surface diffusion 588 silane (SiH4 ) 290, 581 silica glass 569 – PbO 1069 – surface roughness 1045 silicon 4, 5, 7, 913 – amorphous 59 – bandgap 255 – crystal 256 – crystals growth new methods 266 – CZ crystal growth 260 – Czochralski (CZ) grown 112 – device 5
Subject Index
– undoped 890 – wafer 412 – work function 700 – wurtzite 740 – zincblende 740 semiconductor alloy 9 semiconductor laser 753, 888 – current confinement 898 – double heterostructure 897 – edge emitting 896 – index-guiding 898 – radiative recombination 901 semiconductor layer – analysis 376 – H diffusion 128 – hydrogen 127 – rare-earth doping 273 – sheet resistivity 411 semiconductor material 411 semiconductor nanocrystals 1359 semiconductor third generation 538 semiconductor–dielectric interface – electrical characterization 410 semiconductor-grade silicon (SG-Si) 257 semiconductor–insulator interfaces – charge pumping 428 semiconductor–semiconductor interfaces 156 semi-insulating 1359 semi-insulating planar buried heterostructure (SIPBH) 899 sense transistor 1185 sensing – element 1228 – filament 1255 – material 1241 – mechanism 1258 – membrane 1250 – membrane fabrication 1259 sensitivity 1128, 1244 sensitivity relative 382 sensor 1131, 1155, 1241, 1249, 1257 – amperometric 224 – microelectronics 717 – microstructure glass 1257 – miniaturization 214 – optical absorption 1251 – organic vapour 1259 – oxygen 223 – solid-state 1244 – thick film technology 728 separate confinement heterojunction (SCH) 530
1399
1400
Subject Index
Subject Index
– device electrical performance 1268 – device power dissipation 1268 – dislocation-free 256 – dopants 265 – doping 260 – element 256 – FZ method 259 – growth 267 – hydrochlorination 257 – lattice constant 482 – magnetic-field-applied continuous CZ 267 – materials preparation 256 – metallurgical-grade 257 – microelectronics 1152 – multiplexer 876, 878 – nitride 704 – nitride films 679 – nitrogen concentration 112 – n-type 260 – optical constants 472 – optical properties 472 – physicochemical characteristics 263 – power devices 539 – p-type 260 – semiconductor industry 255 – silica 256 – single-crystal growth 258, 260 – stacking faults 112 – tetrachloride 257 silicon carbide (SiC) 13, 275, 537 – carrier mobilities 540 – domain walls 543 – lattice match 541 – material properties 540 – micropipes 543 – preparation 542 silicon crystal growth – magnetic-field-applied CZ 266 silicon polymorphous 142 silicon surface capacitance 425 silicon technology 3, 539, 1244 silicon tetrachloride (SiCl4 ) 257 silicon wafer technology 102 silicon-based light emitter 488 silicon-germanium (SiGe) 7 – epitaxial layers 492 – growth 492 – physical properties 482 silicon-on-insulator (SOI) 421 silicon-oxynitride – SiON 641 silk screen method 1276 silk screen printing 719
silver conductors – thick film 721 silver/palladium conductors – thick film 721 simply thermoluminescence (TL) 989 SIMS (secondary ion mass spectrometry) 373 single crystal diamond 552 single crystal growth 261, 361 single crystal Si – optical constants 472 single crystal silicon 259 – conversion efficiency 1099 – CZ growth 261 single crystal substrates 9 single electron tunnelling 1199 single flux quantum 1212 single photon counting 991 single quantum wells (SQW) 332 single-crystal aluminium nitride 786 single-electron density of states 1198 single-electron transistor (SET) 1151 single-junction cells 1100 single-layer organic photoconductor 1359 single-photon emission computed tomography (SPECT) 994 single-wall carbon nanotube (SWCN) 1148, 1225 sintered ceramics – aluminium nitride 786 sintering 611 Si–O bond stretching vibrations 450 Si–O bonding network 638 SiO2 8 – physicochemical properties 638 – reliability 641 SiON film 642 S-I-S junction 1199 Si–SiO2 interface 428 SIT (static induction transistor) 549 slab waveguide 1001 slider 1359 sliding 873 – boat 273, 1359 – boat LPE 310 – boat method 274 – boat process 873 slope parameter 1359 slush 1359
small-angle X-ray scattering (SAXS) 568 smart sensor 1257 smart windows 226 smectic liquid crystal 947 smectic phase 919, 1359 sodium nitrite (NaNO2 ) – order–disorder ferroelectric 600 soft lithographic method 1232 soft magnetic materials 91 soft underlayer (SUL) 1175, 1359 – materials 1182 solar cell 13, 532, 565, 581, 731, 1360 – amorphous silicon (a-Si:H) 1100 – a-Si:H-based 591 – band gap 1034 – crystalline silicon 1099 – GaAs 1101 – heterojunctions 1101 – µc-Si:H-based 593 – photocurrent 1034 – photovoltaic 1095 – single-junction 1101 – thin-film polycrystalline silicon 1099 solar cell photovoltaic 1095 solar electric modules 1099 solar energy 1095 solder – alloy 1277 – alternatives 1281 – conduction 1282 – dipping 727 – electronic interconnect 1274 – flip chip 1277 – interconnect 1273 – interconnect reliability 1275 – intergranular failure 1275 – intermetallic compound 1275 – microstructure 1274 – paste 1276 – Pb-free product 1277 – Pb–Sn 1277 – plating 1276 – RF circuit 1277 – wetting 1276 solder joint – flip chip 1276 – microstructure 1275 – strain 1275 soldering 727 sol-gel techniques 1070 solid electrolyte 223–225 solid ionic conductors 221, 226
Subject Index
spin–orbit scattering 1167 spin–spin scattering 1167 spintronic devices 80 spintronics 98 splay deformation 926 splay elastic constant 927 spontaneous emission (SE) 896 spontaneous ordering 736 spontaneous polarization 598, 1360 spreading resistance technique 410, 412, 414 SPRITE 1360 SPRITE detector – carrier concentration 864 – carrier velocity 866 – conductivity modulation 864 – power dissipation 866 – scan velocity 866 sputter depth profile 1360 sputter-induced roughness 382 sputtering 345, 378, 668, 1360 – asymmetric-AC 675 – chemical information 378 sputtering radio-frequency (RF) 675 sputtering reactive 581, 674 sputtering yield 669, 671 square-root DOS 62 squeegee 1360 SRAM 1360 SSR 1360 SSR crystals 306 stability parameter – superparamagnetic 1175 stabilized a-Se 1125 stacked capacitors 649 Staebler–Wronski effect 576 stainless steel – substrate 720 standing wave 897 Stark effect – quadratic 1029 – quantum-confined 1028 static dielectric constant 457 static disorder 62 static random-access memory (SRAM) 647 static SIMS (SSIMS) 377, 378 steady state photoconductivity (SSPC) 138 steady-state electron transport 811, 820 steady-state plasma 582 STEBIC 362, 368 Stepanov technique 1360 stimulated luminescence 983
Stirling cryocoolers – long term reliability 860 Stirling engine refrigerator 857 stoichiometry 1360 Stokes Shift 1360 stop band 999 storage capacitor – leakage of charge 648 storage densities 1144 storage time 1172 strain 1360 – axial 895 – components 1117 – compressive 1026 – gauge 1114 – hydrstatic 895 – relaxation 332 – tensile 1026 – tuning 1013 strained bond formation 588 strained quantum well 1025, 1360 strained-layer techniques 907 strain-induced modulation 1117 Stranski–Krastanov (SK) growth 332 Stranski–Krastanov mode 298 stress 1360 strong anchoring 1360 structural characterization – semiconductor heterostructures 365 structural parameters – crystal density 737 – lattice parameter 737 – lattice-matching condition 737 – molecular density 737 structural relaxation 1360 structure factor 349 sub-100-nm (CMOS) technology 643 sublimation epitaxy – growth rate 542 sublimation growth 245 sublimation travelling heater method (STHM) 246 submicron machining 1002 substitutional impurities 1361 substrate 1361 – Al2 O3 ceramic 1279 – basic types 665 – dielectric constant 1278 – embedding of components 1280 – flip chip interconnect 1279 – lattice-matched 873 – LTCC 1279 – material 1279
Subject Index
solid oxide electrolytes 215 solid oxide fuel cells (SOFC) 224, 226 solid polymers 389 solid silicon – impurity solubility 264 solid state ionics 213 – future trends 226 solid state recrystallization (SSR) 245, 303, 305 solid/liquid/gaseous phase equilibria 1360 solids – electrical behavior 221 solid-source MBE – effusion cell 295 solid-state lightening 13 solid-state polymerization 1086 solidus 1360 solid–vacuum interfaces 153 solitonic propagation of pulses 1360 sonoluminescence 984 sound velocity 545 space charge region 221, 1211 space system 538 space transformer 1268 space-charge-limited conductivity 701 spacing layer 1163 spatial frequency 1360 spatial resolutions 375 specific heat 764, 1360 – III–V binaries 741 specific volume 401 spectral broadening 1068 spectroscopic ellipsometry 488 spectroscopic response 191 specularity parameter 698 spherical aberration 353, 1360 spin coating 1245 – film thickness 1247 spin injection 99 spin magnetic energy 43 spin manipulation 98 spin polarization ratio 1186 spin split-off band 901 spin valve 1162, 1167, 1360 – memory application 1187 – resistance 1168 spin valve read head 1163 spin-based electronics 79 spin–charge separation 1151 spinels 92 spin-on-glass 1099 spin–orbit coupling 985
1401
1402
Subject Index
Subject Index
– non-lattice-matched 315 – organic 1278, 1279 – Poisson’s ratios 1115 substrate debiasing 879 substrate material 1022, 1279 – underfill 1280 subthreshold slope 427 SUMIT (simultaneous unipolar multispectral integrated technology) 880 super-bandgap 1065 superconducting oxide 1194 superconducting state – resistance 1195 superconductivity 1151, 1193 – BCS theory 1198 – history 1194 – mechanism 1198 – MgB2 1214 – organic materials 1195 superconductor 1202 – electrodynamics 1197 – high-temperature 1193 – magnetic behaviour 1196 – metallic 1194 – phase diagram 1203 – phase diagrams 1201 – zero field-cooled 1196 superconductors of type I 1361 superconductors of type II 1361 supercontinuum 1068 supercooled liquid 399 supercooling temperature 1361 superlattice (SL) 845, 883, 1021, 1024, 1083, 1162, 1361 – THz-frequency emission 1031 – avalanche photodiode (SL-APD) 1035 – cell size 1031 – effective band gap 1035 – mathematical description 1026 – structures 124 – vertical transport 1031 superlattice avalanche photodiode (SL-APD) 1361 superparamagnetic effect 1171, 1173 superparamagnetic limit 1156, 1187 superparamagnetism 1361 super-resolution near-field structure (super-RENS) 1139 supersaturated solutions 310 supersaturation 109, 335, 683, 1361 superstrate 1361 supramolecular assembly 1248 supramolecule 1241
surface – absorption 587 – anisotropy 90 – band bending 424 – energy 95 – kinetics 683 – mobility 686 – morphology 279 – plasmon 1252 – reaction concept 583 – roughness 1045 – scattering 30 – source 664 – topography 374 surface acoustic wave (SAW) 729 – device 608 surface alignment 925 – director orientation 932 – energy 926 – homeotropic 925 – hybrid 939 – pre-tilt 928, 937 – strong anchoring 926 – uniform planar 925 – weak anchoring 926 surface analysis – dopant concentrations 377 surface chemical analysis 373 – ISO TC 201 373 surface emitting laser structures (VCSEL) 296 surface mount components 727 surface mount devices – component 724 – thick film techniques 724 surface passivation 1361 surface-mount devices (SMDs) 1361 susceptibility 64, 86, 209 – frequency dependence 205 – linear 195 – nonlinear 1063 – self-similar scaling 205 susceptibility increment 198 – dipole contribution 209 susceptibility relative – frequency-dependent 191 susceptor 1361 SW 1361 Swanepoel’s method 71 s-wave symmetry 1203 switching – thermally driven 1172 switching field 1185 switching time 627 SWNT (single wall nanotube)
– electrical transport 1150 – intrinsic superconductivity 1151 – ropes 1150 SWNZ (single wall nanotube) – electrical transport 1150 synthetic antiferromagnet 1361 synthetic antiferromagnetic film 1166, 1185 synthetic ferrimagnetic media (SFM) 1361 synthetic ferromagnetic media (SFM) 1173 system performance 1131 system-on-a-chip (SOC) 255
T T nonlinear figure of merit 1361 TA Instruments 405 tail states 1361 target material 673 Tauc gap 1362 Te concentration 846 Teal-Little (TL) 451 – method 259 technology node 1362 – cycle time 626 telecommunication 888, 997, 1032 television screens 983 TEM – imaging 353 – lenses 352 temperature coefficient of refractive index (TCRI) 51 temperature coefficient of resistance (TCR) 1362 temperature coefficient of resistivity (TCR) 697 temperature-modulated differential scanning calorimetry (MDSC) – thermal conductivity 403 template – self-assembled 1005 templating 1001 tensile strain 1026, 1362 ternaries – III–V 740 ternary alloy 1022, 1165 – mobility 750 – optical band gap 791 ternary and quaternary alloys 1362 ternary InGaN 792 ternary parameter 736 ternary systems 305 Tersoff potential function 104
Subject Index
thermionic emission current 416 thermistors 729, 1362 – positive temperature coefficient (PTC) 730 thermochromism 921 thermocompression 726 thermocompression bonding 726 thermodynamic melting temperature 398 thermoelectric cooler 879 thermomechanical fatigue 1275, 1362 thermophotovoltaic (TPV) 286, 1362 thermophysical properties 103 thermoplastic polymer – adhesive 1281 – glass-transition temperature 1281 thermoplastic polymer thick films 724 thermosetting – material 1281 thermosetting polymer thick films 724 thermosonic bonding 726 thermotropic 1362 thick film 613, 698, 717, 720, 721, 1244, 1362 – copper 722 – definition 717 – dielectric pastes 723 – fabrication 717 – photoconductor 730 – piezoelectrics 729 – platinum conductor 728 – polymer 723 – substrates 720 thick film chemical sensor 730 thick film components – resistors 725 – tolerance 725 thick film conductor – characteristics 721 – sheet resistivity 721 thick film definition 717 thick film fabrication 717 thick film hybrid circuits – active components 725 – manufacturing 717 – packaging 727 thick film platinum 722 thick film resistor – material 722 – pastes 722 thick film solar cell 731
thick film technology 718, 724 – printing 718 thick heteroepitaxial layers 782 thin dielectric film 700 thin film 47, 659, 696 – cobalt alloys 1169 – deposition 661 – deposition methods 660 – electrical properties 660, 696 – fundamental properties 692 – morphological characteristic 689 – optical properties 660, 692 – optics 692 – organic 1233, 1241, 1248 – properties 660, 682 – resistivity 31 – semiconductor 1107 – solar cells 1103 – stack transmission properties 695 – structure 682, 683 – technology 659 – transducer 1114 – transistor (TFT) 565, 581, 590, 660, 1107, 1121 thin film deposition – variable 682 thin film phthalocyanine 1254 thin metallic films 696, 698 thin organic film 1245 – island density 1245 third generation semiconductor 538 third harmonic generation 1069 third-order nonlinearities 1070 third-order nonlinearity – organic molecules 1086 Thomas–Fermi screening 1211 threading dislocations 763, 1362 threading screw dislocations 543 three-dimensional lattice 386 three-terminal structure 1226 three-valley Monte Carlo simulation 806 three-zone model – microstructure 690 threshold 898 threshold current 903 – characteristic temperature 904 threshold electric field 928 threshold voltage (Vth ) 427, 1112, 1117, 1362 – modulation 1118 thyristors 539 Ti/SiC Schottky diode 548 tight-binding model 1026
Subject Index
tertiarybutylarsine (TBA) 286 tertiarybutylphosphine (TBP) 286 tetracyanoquinodimethane (TCNQ) 1224, 1249 tetraethoxysilane (TEOS) 651 tetragonal ferroelectric phase 605 tetrahydrofuran (THF) 614 tetrathiafulvalene (TTF) 1224 TFT – fabrication 1108 – inverted staggered 1112 – longitudinal 1117 thallium bromide 1130 thallium-based compounds 1202 thermal analysis 385 thermal budget 1362 thermal coefficient of expansion (TCE) 720 thermal conductivity 385, 539, 545, 1362 – bulk AlN 763 – ceramics 391 – crystalline insulators 391 – crystalline polymers 394 – crystalline semiconductors 393 – CVD diamond 555 – glasses 391, 393 – lattice 393 – metal alloys 391 – metals 391, 395 – nanotube 1148 – noncrystalline insulators 393 – polymeric composite 395 – polymers 391 – substrate 720 thermal current 1030 thermal decomposition 678 thermal diffusion current 870 thermal expansion – principle 396 thermal expansion coefficient (TEC) 385, 396, 545, 761, 1362 – Group III–V 741 thermal expansion matching 544 thermal imaging 857, 879 – photovoltaic HgCdTe 857 thermal nonlinearities 1362 thermal poling 1069 thermal resistivity – Group III–V binaries 742 thermally grown SiO2 706 thermally stimulated current (TSC) spectroscopy 1362 thermally stimulated luminescence (TSL) 989 thermionic emission 149
1403
1404
Subject Index
Subject Index
tilted perpendicular recording – geometry 1181 time delay and integration (TDI) 867 time division multiplexing 1362 time-dependent dielectric breakdown (TDDB) 640 time-domain charge measurement (TDCM) 519, 1362 time-of-flight (TOF) 144, 572, 959 – electron mobility 176 – post-transit current 145 time-of-flight SIMS (ToFSIMS) 377 tipping 873, 1362 – boat 273 tipping techniques 308 toner 955 total heat flow 404 track density 1174, 1184 track width 1170 transconductance 427, 640 transfer mold process 1280 transfer reaction 679 transferred electron effect 1362 transformer 92 transient electron transport 810, 824 – characteristics 821 – drift velocity 821 transient enhanced diffusion (TED) 124 transient photoconductivity (TPC) 142, 143 transistor 3–5, 410, 725, 1363 – average price 7 – in-plane 553 – performance 9 – p-n junction 9 – scaling 628 transistor channel scaling 630 transistor design technology – switching time 627 transistor electrical characteristics 626 transistor gate dielectric – industry scaling 632 transistor performance 632 transistors on a single chip 7 transit time 1363 transition – dipoles 191 – insulator–metal 445 transition probabilities – relative 986 transition region entropy 201 transition shape 1180
transition temperature 920, 1193 – liquid crystal–isotropic 924 – nematic-isotropic 920 transition-metal films 1162 transition-metal ions 82, 986 transition-metals – magnetic properties 97 transmission coefficient 70, 1186 transmission electron diffraction (TED) 351 transmission electron microscope (TEM) 343, 568, 588 transmission electron microscopy (TEM) 11, 689, 846 transmission line measurement (TLM) 410 transmission line model (TLM) 417 – test structure 417 transmission modulator 1036 transmission probability 1030 transmittance 71 transparent conducting oxide (TCO) 1102, 1363 transparent films 695 transparent layer 694 transport – agent 333 – bipolar 1030 – chamber 317 – currents 1211 – energy 174, 182 – unipolar 1030 – vertical 1030 transport coefficients 170, 179 – field dependence 180 transport phenomena – low-dimensional 1150 transport-limited growth 286 transverse electric (TE) gain 896 transverse magnetic (TM) gain 896 transverse magnetic bias film 1363 transverse optic (TO) 55, 759 – modes 741 trap concentration 703 trap distribution 1113 trap level 702 TRAPATT diode 471 trap-free space-charge-limited current density (TFSCLC) 962 trap-free transport 962 trapped carrier 1135 – density 1110 trapping 871 – levels 143 trapping centers 1363
traveling heater method (THM) 237, 245, 303, 306, 1363 – ion implantation 866 triangular lattice 1000 triboluminescence 984 trichlorosilane (SiHCl3 ) 257 triethylamine (TEN) 289 triethylgallium (TEGa) 287 trimethyl-aluminium (TMA) 508 trimethylantimony (TMSb) 287 trimethyl-gallium (TMG) 508 trimethyl-indium (TMI) 508 trimming 1363 triode sputtering 673 triphenylenes 924 triple-junction cell 1101 triple-layer graded heterojunction (TLHJ) 312 trisazo compound 972 true specific heat capacity 390 tungsten bronze – PbNb2 O6 (lead metaniobate) 605 tuning photonic crystal response 1013 tunnel conductivity 1203 tunneling conduction 1363 tunneling current 634 tunneling magnetoresistance (TMR) 1188, 1363 tunneling transition – charge carrier 179 – coefficient 1186 – probability 169 tunnelling single electron 1199 turbo-disc reactor 285 twin crystal 1363 twinning 315 twist deformation 926, 927 twisted nematic display – chiral dopant 936 two-beam experiment 1067 two-dimensional electron gas (2DEG) 297 two-photon absorption 1067, 1079, 1084, 1363 two-zone furnace 246 type of film 682 type I band alignment 1024 type I low-Tc superconductor 1196 type II band alignment 1024 type II superconductor 1200 – mixed state 1201 – pinning 1212 – vortex–vortex interaction 1211 Tzero technology 405
Subject Index
U
V V/I boundary 1363 vacancy 1363 – aggregation 109 – concentration 110, 115, 123, 125 – diffusivity 112 – flux 124 – formation energy 104 vacuum deposition 663 vacuum distillation 838 vacuum field effect transistor (VFET) – diamond 554 vacuum permittivity 48 valence band 1363 valence band (VB) 57, 890, 1221 – amorphous semiconductors 566
void 1364 – density 111 – size 107 Volmer–Weber (VW) growth 332 voltage noise measurement 432, 433 – low-impedance devices 433 voltage scaling 629 vortex structures 1187 VPE 1364 VPE growth – group II–VI wide bandgap 330 – source materials 330
W W nonlinear figure of merit 1364 wafer fusion 1008 Wannier–Mott excitons 63 waste in electrical and electronic equipment (WEEE) 1277 wave – in-plane 1027 wave function – Bloch-type 442 wave soldering 727 wavelength dispersive X-ray analysis (WDX) 343 wavelength division multiplexing 1364 weak absorption tail (WAT) 62 weak links 1364 web photoreceptors 1364 white-light LED 13, 992 wide bandgap – compounds 325, 332 – electron mobilities 774 – epitaxial growth 328 – Group II–VI compound semiconductors 327 – Group II–VI compounds 328 – InN 774 – materials 781 – quantum dot 332 – quantum well 332 – semiconductor 147, 158, 537, 538 wide-band X-ray 839 Wiedemann–Franz–Lorenz law 395 windows growth 235 wire bonding 726, 1364 – digital applications 1272 – materials 1272 work function 700, 1030, 1364 write efficiency 1364 write gap 1364
Subject Index
UHV 1363 ultrafast nonlinear response 1363 ultrafast nonlinearity real part 1084 ultrahigh recording densities 1181 ultrahigh-vacuum chemical vapour deposition (UHV-CVD) 481 ultrahigh-vacuum electron–cyclotron resonance-radio-frequency magnetron sputtering (UHVECR-RMS) 774 ultra-large-scale integration (ULSI) 255 ultrasonic 726 ultrasonic bonding 726 ultrathin films 1212 ultraviolet light absorption spectroscopy (UVLAS) 582 Umklapp process 392 unbalanced magnetron technique 677 under-bump metallurgy (UBM) 1276, 1278 underfill 1363 – material 1280 undoped GaAs 510 uniaxial anisotropy 1363 uniform planar alignment 1363 unipolar avalanche photodiode (UAPD) 1035, 1363 unit cell 682 upper critical field (Bc2 ) 1363 Urbach tail 62 UV lithography 1002 UV poling 1069 UV sensors 754
– deformation potentials 747 – offset 152 valence band offset 154, 157 valence electrons 60 valence-alternation pairs (VAP) 567 van der Pauw technique 413 van Hove singularities 59 vapor growth 246 vapor growth techniques 1363 vapor pressure controlled Czochralski (VCZ) 244 vapor sensing 1254 vapor-phase epitaxy (VPE) 6, 325, 508, 873, 889, 1364 variable-range hopping (VRH) 172, 705, 1113 (VCSEL) 1364 vector flow epitaxy (VFE) 285 Vegard’s law 1364 vehicle 1364 velocity–field characteristic 811 – AlN 813 – GaN 813 – Group III–V nitride semiconductor 813 – InN 813 Verdet constant 69 Verneuil growth method 233, 237, 250 Verneuil technique 1364 vertical dipper LPE 876 vertical gradient freeze (VGF) 237, 245, 506 – GaAs 506 vertical transport 1030, 1364 vertical unseeded vapor growth (VUVG) 246 vertical-cavity surface-emitting laser (VCSEL) 531, 889, 1021, 1032, 1364 – active region 905 – beam profile 905 – semiconductor–air interface 905 vertical-gradient freeze technique 1364 VI recombination 1364 via-hole device 875 – Group (I–V) characteristics 869 vibration dynamics 207 vibrational mean energy 386 virtual gap states 1364 virtual gap states (ViGS) 153 viscosity coefficient – Miesowicz experiments 930 – nematodynamics 931 viscous torque 931
1405
1406
Subject Index
write head 1364 – efficiency 1161 write pole tip 1180 wrong bond 1364 wurtzite – AlN 809 – GaN 761, 811 – Group III–V binaries 747, 748 – Group III–V semiconductors 747 – structures 755 Würtzite structure 1364 WZ-GaN – specific heat 765
X
Subject Index
xerographic discharge 965, 1364 xerographic gain 1365 xerography 955, 1365 XeroX Copier 955 X-ray 11, 1365 X-ray absorption fine-structure (XAFS) spectroscopy 1141 X-ray absorption near-edge structure (XANES) 1141 X-ray absorption spectroscopy (XAS) 758 X-ray damage 1124 X-ray diffraction 1365 X-ray diffraction (XRD) 331, 354, 523, 1141 – crystal plane spacings 350 X-ray emission 344 X-ray fatigue 1124
X-ray image – clinical 1130 – detector 1123 – photoconductor 1130 – sensors 1124 X-ray lithography 1007 X-ray photoconductor 1122, 1123 – absorbed energy 1131 – material properties 1131 – properties 1123 X-ray photoelectron spectrometry (XPS) 343 X-ray photoelectron spectroscopy (XPS) 373, 636, 1365 – primary excitation 375 X-ray sensitivity 1365 X-ray storage 983 – phosphor (XRSP) 993 X-ray topography 1365 X-ray-sensitive electron-beam image tube (XEBIT) 1130
Y YAG (yttrium aluminium garnet) 726 yellow luminescence 785 yield strength 1365 Young’s modulus 757, 1365 – semiconductors 740 yttrium stabilized zirconia (YSZ) 547 Y-type construction 1246 Y-type deposition 1231
Z ZBLAN glass 389 Zeeman energy 81, 88 zero-phonon transition 988 zinc compound 684 zinc selenide (ZnSe) 12 zincblende structure 500, 755, 1365 zirconia auto exhaust sensor 223 zirconia based systems 225 Zn in GaAs – diffusion profiles 512 ZnBeSe – Be content 848 ZnO – deep green luminescence 849 – MBE-grown 850 – optical properties 850 – p-type doping 849 – quantum wires 851 – single crystals 336 – undoped 850 – wide band gap 843 ZnSe 849 – delta-doping 843 – DX centers 843 – epitaxial layer 330 – N doping 843 – p-type doping 843 – single crystal 338 ZnSe-based devices 843 zone refining 1365 zone-refining 5