Logic and Computer Design Fundamentals (4th Edition) Solutions textbook

  • 35 1,609 3
  • Like this paper and download? You can publish your own PDF file online for free in a few minutes! Sign Up

Logic and Computer Design Fundamentals (4th Edition) Solutions textbook

Solutions to Problems Marked with a * in Logic and Computer Design Fundamentals, 4th Edition Chapter 1 © 2008 Pearson E

4,813 193 603KB

Pages 42 Page size 595.22 x 842 pts (A4) Year 2010

Report DMCA / Copyright

DOWNLOAD FILE

Recommend Papers

File loading please wait...
Citation preview

Solutions to Problems Marked with a * in Logic and Computer Design Fundamentals, 4th Edition

Chapter 1 © 2008 Pearson Education, Inc.

1-3* Decimal, Binary, Octal and Hexadecimal Numbers from (16)10 to (31)10 Dec Bin Oct Hex

16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 1 0000 1 0001 1 0010 1 0011 1 0100 1 0101 1 0110 1 0111 1 1000 1 1001 1 1010 1 1011 1 1100 1 1101 1 1110 1 1111 20 21 22 23 24 25 26 27 30 31 32 33 34 35 36 37 10 11 12 13 14 15 16 17 18 19 1A 1B 1C 1D 1E 1F

1-7* ( 1001101 ) 2 = 2 6 + 2 3 + 2 2 + 2 0 = 77 ( 1010011.101 ) 2 = 2 6 + 2 4 + 2 1 + 2 0 + 2 – 1 + 2 – 3 = 83.625 ( 10101110.1001 ) 2 = 2 7 + 2 5 + 2 3 + 2 2 + 2 1 + 2 –1 + 2 – 4 = 174.5625

1-9* Decimal

Binary

Octal

369.3125

101110001.0101

561.24

Hexadecimal 171.5

189.625

10111101.101

275.5

BD.A

214.625

11010110.101

326.5

D6.A

62407.625

1111001111000111.101

171707.5

F3C7.A

1-10* a) 8|7562 8|945 8|118 8|14 8|1 0 b) c)

2 1 6 6 1

0.45 × 8 = 3.6 => 3 0.60 × 8 = 4.8 => 4 0.80 × 8 = 6.4 => 6 0.20 × 8 =3.2 => 3

16612

3463

(7562.45)10 = (16612.3463)8 (1938.257)10 = (792.41CB)16 (175.175)10 = (10101111.001011)2

1-11* a)

(673.6)8

b)

(E7C.B)16

c)

(310.2)4

=

(110 111 011.110)2

=

(1BB.C)16

=

(1110 0111 1100.1011)2

=

(7174.54)8

=

(11 01 00.10)2

=

(64.4)8

1-16* a)

(BEE)r = (2699)10 11 × r 2 + 14 × r 1 + 14 × r 0 = 2699 11 × r 2 + 14 × r – 2685 = 0

By the quadratic equation: r = 15 or ≈ –16.27 ANSWER: r = 15

1

Problem Solutions – Chapter 1 b)

(365)r = (194)10 3 × r 2 + 6 × r 1 + 5 × r 0 = 194 3 × r 2 + 6 × r – 189 = 0

By the quadratic equation: r = – 9 or 7 ANSWER: r = 7

1-18* a) (0100 1000 0110 0111)BCD b) (0011 0111 1000.0111 0101)BCD

=

(4867)10

=

(1001100000011)2

=

(378.75)10

=

(101111010.11)2

1-19* (694)10

=

(0110 1001 0100)BCD

(835)10

=

(1000 0011 0101)BCD

1

0001

0110

1001

0100

+1000

+0011

+0101

1111

1100

1001

+0110

+0110

+0000

0101

1 0010

1001

1-20* (a)

101 100 0111 1000 Move R 011 1100 0 100 column > 0111 Subtract 3 -0011 011 1001 0 Subtract 3 -0011 01 1001 Move R 0 1100 110 100 column > 0111 Subtract 3 -0011 0 1001 110 Move R 0100 1110 Move R 010 01110 Move R 01 001110 Move R 0 1001110 Leftmost 1 in BCD number shifted out: Finished

102 101 100 0011 1001 0111 Move R 001 1100 1011 Subtract 3 -0011 -0011 001 1001 1000 Move R 00 1100 1100 Subtract 3 -0011 -0011 00 1001 1001 Move R 0 0100 1100 Subtract 3 -0011 0 0100 1001 Move R 0010 0100 Move R 001 0010 Move R 00 1001 Subtract 3 -0011 00 0110 Move R 0 0011 Move R 0001 Move R 000 ished

(b)

1

101 and 100 columns > 0111

1 01

101 and 100 columns > 0111

01 101

100 column > 0111

1101 01101 001101

100 column > 0111

001101 0001101 10001101 110001101Leftmost 1 in BCD number shifted out: Fin-

2

Problem Solutions – Chapter 1

1-25* a)

(11111111)2

b)

(0010 0101 0101)BCD

c)

011 0010

011 0101

011 0101ASCII

d)

0011 0010

1011 0101

1011 0101ASCII with Odd Parity

3

Solutions to Problems Marked with a * in Logic and Computer Design Fundamentals, 4th Edition

Chapter 2 © 2008 Pearson Education, Inc.

2-1.*

a)

XYZ = X + Y + Z

Verification of DeMorgan’s Theorem X

Y

Z

XYZ

XYZ

X+Y+Z

0

0

0

0

1

1

0

0

1

0

1

1

0

1

0

0

1

1

0

1

1

0

1

1

1

0

0

0

1

1

1

0

1

0

1

1

1

1

0

0

1

1

1

1

1

1

0

0

X + YZ = ( X + Y ) ⋅ ( X + Z )

b)

The Second Distributive Law X

Y

Z

YZ

X+YZ

X+Y

X+Z

(X+Y)(X+Z)

0

0

0

0

0

0

0

0

0

0

1

0

0

0

1

0

0

1

0

0

0

1

0

0

0

1

1

1

1

1

1

1

1

0

0

0

1

1

1

1

1

0

1

0

1

1

1

1

1

1

0

0

1

1

1

1

1

1

1

1

1

1

1

1

XY + YZ + XZ = XY + YZ + XZ

c)

2-2.*

X

Y

Z

XY

YZ

0

0

0

0

0

0

0

0

0

0

0

0

1

0

1

0

1

0

0

1

1

0

1

0

1

0

0

1

0

1

0

1

0

1

1

1

0

0

1

0

0

1

1

1

0

0

0

0

1

1

1

0

0

1

1

0

1

0

1

0

1

1

0

0

1

1

1

0

0

0

1

1

0

1

0

1

1

1

1

0

0

0

0

0

0

0

0

a)

XZ XY+YZ+XZ XY

XY + XY + XY

YZ

XZ XY+YZ+XZ

=

X+Y

=

1

0

= ( XY + XY ) + ( XY + XY ) = X(Y + Y) + Y( X + X ) = X+Y b)

AB + BC + AB + BC = ( AB + AB ) + ( BC + BC ) = B(A + A) + B(C + C)

1

Problem Solutions – Chapter 2

B+B = 1 c)

Y + XZ + XY

=

X+Y+Z

=

XY + XZ + YZ

= Y + XY + XZ = ( Y + X ) ( Y + Y ) + XZ = Y + X + XZ = Y + ( X + X )( X + Z ) = X+Y+Z d)

XY + YZ + XZ + XY + YZ = X Y + YZ ( X + X ) + XZ + XY + YZ = XY + XYZ + XYZ + XZ + XY + YZ = XY ( 1 + Z ) + XYZ + XZ + XY + YZ = XY + XZ ( 1 + Y ) + XY + YZ = XY + XZ + XY ( Z + Z ) + YZ = XY + XZ + XYZ + YZ ( 1 + X ) = XY + XZ ( 1 + Y ) + YZ = XY + XZ + YZ

2-7.*

a)

XY + XYZ + XY = X + XYZ = ( X + XY ) ( X + Z ) = ( X + X ) ( X + Y ) ( X + Z ) = ( X + Y ) ( X + Z ) = X + YZ

b)

X + Y ( Z + X + Z ) = X + Y ( Z + XZ ) = X + Y ( Z + X ) ( Z + Z ) = X + YZ + XY

c)

WX ( Z + YZ ) + X ( W + WYZ ) = WXZ + WXYZ + WX + WXYZ

= ( X + X ) ( X + Y ) + YZ = X + Y + YZ = X + Y = WXZ + WXZ + WX = WX + WX = X d)

( AB + AB ) ( CD + CD ) + AC = ABCD + ABCD + ABCD + ABCD + A + C = ABCD + A + C = A + C + A ( BCD ) = A + C + C ( BD ) = A + C + BD

2-9.*

a)

F = (A + B )( A + B )

b)

F = ( ( V + W )X + Y )Z

c)

F = [ W + X + ( Y + Z ) ( Y + Z ) ] [ W + X + YZ + YZ ]

d)

F = ABC + ( A + B )C + A ( B + C )

2-10.* Truth Tables a, b, c X

Y

Z

a

A

B

C

b

W

X

Y

Z

c

0

0

0

0

0

0

0

1

0

0

0

0

0

0

0

1

0

0

0

1

1

0

0

0

1

0

0

1

0

0

0

1

0

0

0

0

1

0

1

0

1

1

1

0

1

1

1

0

0

1

1

0

1

0

0

0

1

0

0

0

0

1

0

0

0

1

0

1

1

1

0

1

0

0

1

0

1

0

1

1

0

1

1

1

0

0

0

1

1

0

1

1

1

1

1

1

1

1

1

0

1

1

1

0

1

0

0

0

0

2

Problem Solutions – Chapter 2 Truth Tables a, b, c

a)

Sum of Minterms:

1

0

0

1

0

1

0

1

0

1

1

0

1

1

0

1

1

0

0

1

1

1

0

1

1

1

1

1

0

1

1

1

1

1

1

XYZ + XYZ + XYZ + XYZ

Product of Maxterms: ( X + Y + Z ) ( X + Y + Z ) ( X + Y + Z ) ( X + Y + Z ) b)

ABC + ABC + ABC + ABC

Sum of Minterms:

Product of Maxterms: ( A + B + C ) ( A + B + C ) ( A + B + C ) ( A + B + C ) c)

WXYZ + WXYZ + WXYZ + WXYZ + WXYZ + WXYZ

Sum of Minterms:

+ W XYZ Product of Maxterms: ( W + X + Y + Z ) ( W + X + Y + Z ) ( W + X + Y + Z ) ( W + X + Y + Z )( W + X + Y + Z ) ( W + X + Y + Z ) ( W + X + Y + Z )( W + X + Y + Z ) ( W + X + Y + Z )

2-12.*

( AB + C ) ( B + CD ) = AB + ABCD + BC = AB + BC s.o.p.

a)

= B ( A + C ) p.o.s. X + X ( X + Y ) ( Y + Z ) = ( X + X ) ( X + ( X + Y) ( Y + Z ) )

b)

= ( X + X + Y ) ( X + Y + Z ) p.o.s. = ( 1 + Y ) ( X + Y + Z ) = X + Y + Z s.o.p. ( A + BC + CD ) ( B + EF ) = ( A + B + C ) ( A + B + D ) ( A + C + D ) ( B + EF )

c)

= ( A + B + C ) ( A + B + D ) ( A + C + D ) ( B + E ) ( B + F ) p.o.s. ( A + BC + CD ) ( B + EF ) = A ( B + EF ) + BC ( B + EF ) + CD ( B + EF ) = A B + AEF + BCEF + BCD + CDEF s.o.p.

2-15.* a)

b)

Y

1

1 1

X

1

1

c)

B 1

1

1

1

A

B 1

1

1

A 1

1

1

C A + CB

Z XZ + XY

C B+C

2-18.* a)

b)

1

1

1

1

Z Σm ( 3, 5 , 6 , 7 )

W

1

1

1

1 1

C 1

1

Y 1 X

c)

Y

X

1 Z Σm ( 3, 4, 5, 7, 9, 13, 14, 15 )

1 1 1

A 1

1

1

B

1

D Σm ( 0, 2 , 6, 7 , 8 , 10, 13, 15 )

3

Problem Solutions – Chapter 2

2-19.* a) Prime = XZ, WX, XZ, WZ

b) Prime = CD, AC, BD, ABD, BC

Essential = XZ, XZ

c) Prime = AB, AC, AD, BC, BD, CD

Essential = AC, BD , ABD

Essential = AC, BC, BD

2-22.* a) s.o.p. CD + AC + BD

c) s.o.p. BD + ABD + ( A BC or ACD )

b) s.o.p. AC + BD + AD

p.o.s. ( C + D ) ( A + D ) ( A + B + C )

p.o.s. ( C + D ) ( A + D ) ( A + B + C )

p.o.s. ( A + B ) ( B + D ) ( B + C + D )

2-25.* b)

a)

1

B X A

1 X

1

1 1

W 1

C Primes = AB, AC, BC, ABC Essential = AB, AC, BC F = AB + AC + BC

c)

Y 1 1

X

X

1

1

C X X 1

X

X

Z Primes = XZ, XZ, WXY, WXY, WYZ, WYZ Essential = XZ F = XZ + WXY + WXY

A

X 1

1

1

1 X

1

X X

B

D Primes = AB, C, AD, BD Essential = C, AD F = C + AD + ( BD or AB )

2-32.* X ⊕ Y = XY + XY Dual (X ⊕ Y ) = Dual ( XY + XY ) = (X + Y)(X + Y) = XY + XY = XY + XY = X⊕Y

4

Solutions to Problems Marked with a * in Logic and Computer Design Fundamentals, 4th Edition

Chapter 3 © 2008 Pearson Education, Inc.

3-2.* C

A

1

1

1

1

1

1

B

F = AB + AC

D

3-24.* a)

b) VDD F7

A

G7

F6

A

G6

F5

0

G5

F4

1

G4

F3

A

G3

F2

A

G2

F1

1

G1

F0

1

G0

1

Problem Solutions – Chapter 3

3-30.* D0 D1 D2 D3 D4 D5 D6 D7 D8

DECODER

A0 A1 A2

0 1 2 3 4 5 6 7

A0 A1 A2

D9 D10 D11 D12 D13

A3 A4

DECODER

D14

A0 A1

D15

0 1 2 3

D16 D17 D18 D19 D20 D21 D22 D23 D24 D25 D26 D27 D28 D29 D30 D31

3-35.* D3 0 X X X 1

D2 0 X X 1 0

D1 0 X 1 0 0

D0 0 1 0 0 0

A1 X 0 0 1 1

A0 X 0 1 0 1

V = D0 + D1 + D2 + D3 A0 = D0 ( D 1 + D 2 )

V 0 1 1 1 1

D1

A1 X 1 D3

D2

1

D0 D1

A1

D2

A0

1 D0

V

A0

D1 X

1 1

A1 = D0 D1 D3

D3

1 1

1

D2

D0

2

Problem Solutions – Chapter 3

3-42.* 8x1 MUX

D(7:0)

D(7:0) Y 0

A(2:0)

S(2:0) 8x1 MUX

D(14:8)

D(6:0) Y 0 D(7) S(2:0)

A(3)

3 OR gates

3-43.* A1 0 0 0 0 1 1 1 1

A0 0 0 1 1 0 0 1 1

E 0 1 0 1 0 1 0 1

A 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1

B 0 0 0 0 1 1 1 1 0 0 0 0 1 1 1 1

D0 0 1 0 0 0 0 0 0

D1 0 0 0 1 0 0 0 0

D2 0 0 0 0 0 1 0 0

Consider E as the data input and A0, A1 as the select lines. For a given combination on (A1, A0), the value of E is distributed to the corresponding D output. For example for (A1, A0) = (10), the value of E appears on D2, while all other outputs have value 0.

D3 0 0 0 0 0 0 0 1

3-47.* C 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1

D 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1

F 0 1 0 1 1 0 0 0 0 0 0 1 1 1 1 1

C D

F=D B A

F=C D

VDD

4 x 1 MUX S0 S1 D0 D1 D2 D3

Y

F

F=C D

F=1

3

Solutions to Problems Marked with a * in Logic and Computer Design Fundamentals, 4th Edition

Chapter 4 © 2008 Pearson Education, Inc.

4-2.* C 1 = T 3 + T 2 = T 1 C 0 + T 2 = A 0 B 0 C 0 + A 0 + B 0 = ( A 0 + B 0 )C 0 + A 0 B 0 = ( A 0 B 0 + C 0 ) ( A 0 + B 0 ) C1 = A0 B0 + A 0C 0 + B 0C 0 S0 = C 0 ⊕ T4 = C 0 ⊕ T1 T2 = C0 ⊕ A 0 B0 ( A0 + B 0 ) = C0 ⊕ ( A0 + B 0 ) ( A0 + B 0 ) = C0 ⊕ A 0B 0 + A0 B 0 S0 = A 0 ⊕ B0 ⊕ C0

T3

T1

T4

T2

4-3.* Unsigned 1001 1100 1001 1101 1010 1000 0000 0000 1000 0000 1’s Complement 0110 0011 0110 0010 0101 0111 1111 1111 0111 1111 2’s Complement 0110 0100 0110 0011 0101 1000 0000 0000 1000 0000

4-6.* +36

=

0100100

36

- 24

=

1101000

+(–24)

- 35

=

1011101

0100100 +

1101000 10001100

= 12

=

–35

0001100 1011101

- (–24)

+

0011000

= –11

=

1110101

4-16.* a) b) c) d) e)

S 0 1 1 0 1

A 0111 0100 1101 0111 0001

B 0111 0111 1010 1010 1000

C4 S3 S2 S1 S0 0 1 1 1 0 0 1 1 0 1 1 0 0 1 1 1 0 0 0 1 0 1 0 0 1

1

Problem Solutions – Chapter 4

4-20.* X1

N1

N2

X2

N6

N3

f

N4 X3 N5 X4

4-24.* begin F