Fundamentals of Circuits and Filters (The Circuits and Filters Handbook, 3rd Edition)

  • 83 362 1
  • Like this paper and download? You can publish your own PDF file online for free in a few minutes! Sign Up

Fundamentals of Circuits and Filters (The Circuits and Filters Handbook, 3rd Edition)

Fundamentals of Circuits and Filters The Circuits and Filters Handbook Third Edition Edited by Wai-Kai Chen Fundame

1,566 528 24MB

Pages 918 Page size 595 x 842 pts (A4) Year 2009

Report DMCA / Copyright

DOWNLOAD FILE

Recommend Papers

File loading please wait...
Citation preview

Fundamentals of Circuits and Filters

The Circuits and Filters Handbook Third Edition

Edited by

Wai-Kai Chen

Fundamentals of Circuits and Filters Feedback, Nonlinear, and Distributed Circuits Analog and VLSI Circuits Computer Aided Design and Design Automation Passive, Active, and Digital Filters

The Circuits and Filters Handbook Third Edition

Fundamentals of Circuits and Filters

Edited by

Wai-Kai Chen University of Illinois Chicago, U. S. A.

CRC Press Taylor & Francis Group 6000 Broken Sound Parkway NW, Suite 300 Boca Raton, FL 33487-2742 © 2009 by Taylor & Francis Group, LLC CRC Press is an imprint of Taylor & Francis Group, an Informa business No claim to original U.S. Government works Printed in the United States of America on acid-free paper 10 9 8 7 6 5 4 3 2 1 International Standard Book Number-13: 978-1-4200-5887-1 (Hardcover) This book contains information obtained from authentic and highly regarded sources. Reasonable efforts have been made to publish reliable data and information, but the author and publisher cannot assume responsibility for the validity of all materials or the consequences of their use. The authors and publishers have attempted to trace the copyright holders of all material reproduced in this publication and apologize to copyright holders if permission to publish in this form has not been obtained. If any copyright material has not been acknowledged please write and let us know so we may rectify in any future reprint. Except as permitted under U.S. Copyright Law, no part of this book may be reprinted, reproduced, transmitted, or utilized in any form by any electronic, mechanical, or other means, now known or hereafter invented, including photocopying, microfilming, and recording, or in any information storage or retrieval system, without written permission from the publishers. For permission to photocopy or use material electronically from this work, please access www.copyright.com (http:// www.copyright.com/) or contact the Copyright Clearance Center, Inc. (CCC), 222 Rosewood Drive, Danvers, MA 01923, 978-750-8400. CCC is a not-for-profit organization that provides licenses and registration for a variety of users. For organizations that have been granted a photocopy license by the CCC, a separate system of payment has been arranged. Trademark Notice: Product or corporate names may be trademarks or registered trademarks, and are used only for identification and explanation without intent to infringe. Library of Congress Cataloging-in-Publication Data Fundamentals of circuits and filters / edited by Wai-Kai Chen. p. cm. Includes bibliographical references and index. ISBN-13: 978-1-4200-5887-1 ISBN-10: 1-4200-5887-8 1. Electronic circuits. 2. Electric filters. I. Chen, Wai-Kai, 1936- II. Title. TK7867.F835 2009 621.3815--dc22 Visit the Taylor & Francis Web site at http://www.taylorandfrancis.com and the CRC Press Web site at http://www.crcpress.com

2008048126

Contents Preface .................................................................................................................................................. vii Editor-in-Chief .................................................................................................................................... ix Contributors ........................................................................................................................................ xi

SECTION I Mathematics

1

Linear Operators and Matrices .......................................................................................... 1-1 Cheryl B. Schrader and Michael K. Sain

2

Bilinear Operators and Matrices ........................................................................................ 2-1 Michael K. Sain and Cheryl B. Schrader

3

Laplace Transformation ....................................................................................................... 3-1 John R. Deller, Jr.

4

Fourier Methods for Signal Analysis and Processing ................................................... 4-1 W. Kenneth Jenkins

5

z-Transform ............................................................................................................................ 5-1 Jelena Kovačevic

6

Wavelet Transforms ............................................................................................................. 6-1 P. P. Vaidyanathan and Igor Djokovic

7

Graph Theory ......................................................................................................................... 7-1 Krishnaiyan Thulasiraman

8

Signal Flow Graphs ............................................................................................................... 8-1 Krishnaiyan Thulasiraman

9

Theory of Two-Dimensional Hurwitz Polynomials ...................................................... 9-1 Hari C. Reddy

10

Application of Symmetry: Two-Dimensional Polynomials, Fourier Transforms, and Filter Design .......................................................................... 10-1 Hari C. Reddy, I-Hung Khoo, and P. K. Rajan

v

Contents

vi

SECTION II

11

Circuit Elements, Devices, and Their Models

Passive Circuit Elements.................................................................................................... 11-1 Stanisław Nowak, Tomasz W. Postupolski, Gordon E. Carlson, and Bogdan M. Wilamowski

12

RF Passive IC Components .............................................................................................. 12-1 Tomas H. Lee, Maria del Mar Hershenson, Sunderarajan S. Mohan, Hirad Samavati, and C. Patrick Yue

13

Circuit Elements, Modeling, and Equation Formulation ........................................... 13-1 Josef A. Nossek

14

Controlled Circuit Elements ............................................................................................. 14-1 Edwin W. Greeneich and James F. Delansky

15

Bipolar Junction Transistor Amplifiers .......................................................................... 15-1 David J. Comer and Donald T. Comer

16

Operational Amplifiers ...................................................................................................... 16-1 David G. Nairn and Sergio B. Franco

17

High-Frequency Amplifiers .............................................................................................. 17-1 Chris Toumazou and Alison Payne

SECTION III

18

Linear Circuit Analysis

Fundamental Circuit Concepts ........................................................................................ 18-1 John Choma, Jr.

19

Network Laws and Theorems .......................................................................................... 19-1 Ray R. Chen, Artice M. Davis, and Marwan A. Simaan

20

Terminal and Port Representations ................................................................................ 20-1 James A. Svoboda

21

Signal Flow Graphs in Filter Analysis and Synthesis ................................................. 21-1 Pen-Min Lin

22

Analysis in the Frequency Domain................................................................................. 22-1 Jiri Vlach and John Choma, Jr.

23

Tableau and Modified Nodal Formulations .................................................................. 23-1 Jiri Vlach

24

Frequency-Domain Methods ............................................................................................ 24-1 Peter B. Aronhime

25

Symbolic Analysis ............................................................................................................... 25-1 Benedykt S. Rodanski and Marwan M. Hassoun

26

Analysis in the Time Domain .......................................................................................... 26-1 Robert W. Newcomb

27

State-Variable Techniques ................................................................................................. 27-1 Kwong S. Chao

Index ................................................................................................................................................ IN-1

Preface The purpose of this book is to provide in a single volume a comprehensive reference work covering the broad spectrum of mathematics for circuits and filters; circuits elements, devices, and their models; and linear circuit analysis. This book is written and developed for the practicing electrical engineers in industry, government, and academia. The goal is to provide the most up-to-date information in the field. Over the years, the fundamentals of the field have evolved to include a wide range of topics and a broad range of practice. To encompass such a wide range of knowledge, this book focuses on the key concepts, models, and equations that enable the design engineer to analyze, design, and predict the behavior of large-scale circuits. While design formulas and tables are listed, emphasis is placed on the key concepts and theories underlying the processes. This book stresses fundamental theories behind professional applications and uses several examples to reinforce this point. Extensive development of theory and details of proofs have been omitted. The reader is assumed to have a certain degree of sophistication and experience. However, brief reviews of theories, principles, and mathematics of some subject areas are given. These reviews have been done concisely with perception. The compilation of this book would not have been possible without the dedication and efforts of Professors Yih-Fang Huang and John Choma, Jr., and most of all the contributing authors. I wish to thank them all.

Wai-Kai Chen

vii

Editor-in-Chief Wai-Kai Chen is a professor and head emeritus of the Department of Electrical Engineering and Computer Science at the University of Illinois at Chicago. He received his BS and MS in electrical engineering at Ohio University, where he was later recognized as a distinguished professor. He earned his PhD in electrical engineering at the University of Illinois at Urbana–Champaign. Professor Chen has extensive experience in education and industry and is very active professionally in the fields of circuits and systems. He has served as a visiting professor at Purdue University, the University of Hawaii at Manoa, and Chuo University in Tokyo, Japan. He was the editor-in-chief of the IEEE Transactions on Circuits and Systems, Series I and II, the president of the IEEE Circuits and Systems Society, and is the founding editor and the editor-in-chief of the Journal of Circuits, Systems and Computers. He received the Lester R. Ford Award from the Mathematical Association of America; the Alexander von Humboldt Award from Germany; the JSPS Fellowship Award from the Japan Society for the Promotion of Science; the National Taipei University of Science and Technology Distinguished Alumnus Award; the Ohio University Alumni Medal of Merit for Distinguished Achievement in Engineering Education; the Senior University Scholar Award and the 2000 Faculty Research Award from the University of Illinois at Chicago; and the Distinguished Alumnus Award from the University of Illinois at Urbana–Champaign. He is the recipient of the Golden Jubilee Medal, the Education Award, and the Meritorious Service Award from the IEEE Circuits and Systems Society, and the Third Millennium Medal from the IEEE. He has also received more than a dozen honorary professorship awards from major institutions in Taiwan and China. A fellow of the Institute of Electrical and Electronics Engineers (IEEE) and the American Association for the Advancement of Science (AAAS), Professor Chen is widely known in the profession for the following works: Applied Graph Theory (North-Holland), Theory and Design of Broadband Matching Networks (Pergamon Press), Active Network and Feedback Amplifier Theory (McGraw-Hill), Linear Networks and Systems (Brooks=Cole), Passive and Active Filters: Theory and Implements (John Wiley), Theory of Nets: Flows in Networks (Wiley-Interscience), The Electrical Engineering Handbook (Academic Press), and The VLSI Handbook (CRC Press).

ix

Contributors Peter B. Aronhime Electrical and Computer Engineering Department University of Louisville Louisville, Kentucky

David J. Comer Department of Electrical and Computer Engineering Brigham Young University Provo, Utah

Edwin W. Greeneich Department of Electrical Engineering Arizona State University Tempe, Arizona

Gordon E. Carlson Department of Electrical and Computer Engineering University of Missouri–Rolla Rolla, Missouri

Donald T. Comer Department of Electrical and Computer Engineering Brigham Young University Provo, Utah

Marwan M. Hassoun Department of Electrical and Computer Engineering Iowa State University Ames, Iowa

Artice M. Davis Department of Electrical Engineering San Jose State University San Jose, California

Maria del Mar Hershenson Center for Integrated Systems Stanford University Stanford, California

Kwong S. Chao Department of Electrical and Computer Engineering Texas Tech University Lubbock, Texas Ray R. Chen Department of Electrical Engineering San Jose State University San Jose, California Wai-Kai Chen Department of Electrical and Computer Engineering University of Illinois at Chicago Chicago, Illinois John Choma, Jr. Ming Hsieh Department of Electrical Engineering University of Southern California Los Angeles, California

James F. Delansky Department of Electrical Engineering Pennsylvania State University University Park, Pennsylvania John R. Deller, Jr. Department of Electrical and Computer Engineering Michigan State University East Lansing, Michigan Igor Djokovic PairGain Technologies Tustin, California Sergio B. Franco Division of Engineering San Francisco State University San Francisco, California

Yih-Fang Huang Department of Electrical Engineering University of Notre Dame Notre Dame, Indiana W. Kenneth Jenkins Department of Electrical Engineering Pennsylvania State University University Park, Pennsylvania I-Hung Khoo Department of Electrical Engineering California State University Long Beach, California Jelena Kovačevic AT&T Bell Laboratories Murray Hill, New Jersey

xi

Contributors

xii

Tomas H. Lee Center for Integrated Systems Stanford University Stanford, California Pen-Min Lin School of Electrical Engineering Purdue University West Lafayette, Indiana Sunderarajan S. Mohan Center for Integrated Systems Stanford University Stanford, California David G. Nairn Department of Electrical Engineering Queen’s University Kingston, Canada Robert W. Newcomb Electrical Engineering Department University of Maryland College Park, Maryland Josef A. Nossek Institute for Circuit Theory and Signal Processing Technical University of Munich Munich, Germany Stanisław Nowak Institute of Electronics University of Mining and Metallurgy Krakow, Poland Alison Payne Institute of Biomedical Engineering Imperial College of Science, Technology and Medicine London, England Tomasz W. Postupolski Institute of Electronic Materials Technology Warsaw, Poland

P. K. Rajan Department of Electrical and Computer Engineering Tennessee Tech University Cookeville, Tennessee

James A. Svoboda Department of Electrical Engineering Clarkson University Potsdam, New York

Hari C. Reddy Department of Electrical Engineering California State University Long Beach, California

Krishnaiyan Thulasiraman School of Computer Science University of Oklahoma Norman, Oklahoma

and Department of Computer Science=Electrical and Control Engineering National Chiao-Tung University, Taiwan Benedykt S. Rodanski Faculty of Engineering University of Technology, Sydney Sydney, New South Wales, Australia Michael K. Sain Department of Electrical Engineering University of Notre Dame Notre Dame, Indiana Hirad Samavati Center for Integrated Systems Stanford University Stanford, California Cheryl B. Schrader College of Engineering Boise State University Boise, Idaho Marwan A. Simaan Department of Electrical and Computer Engineering University of Pittsburgh Pittsburgh, Pennsylvania

Chris Toumazou Institute of Biomedical Engineering Imperial College of Science, Technology and Medicine London, England P. P. Vaidyanathan Department of Electrical Engineering California Institute of Technology Pasadena, California Jiri Vlach Department of Electrical and Computer Engineering University of Waterloo Waterloo, Ontario, Canada Bogdan M. Wilamowski Alabama Nano=Micro Science and Technology Center Department of Electrical and Computer Engineering Auburn University Auburn, Alabama C. Patrick Yue Center for Integrated Systems Stanford University Stanford, California

1 Linear Operators and Matrices

Cheryl B. Schrader Boise State University

Michael K. Sain

University of Notre Dame

1.1 1.2 1.3 1.4 1.5 1.6 1.7

Introduction ................................................................................ 1-1 Vector Spaces over Fields ........................................................ 1-2 Linear Operators and Matrix Representations.................... 1-4 Matrix Operations ..................................................................... 1-6 Determinant, Inverse, and Rank ............................................ 1-8 Basis Transformations ............................................................ 1-12 Characteristics: Eigenvalues, Eigenvectors, and Singular Values................................................................. 1-15 1.8 On Linear Systems................................................................... 1-18 References ............................................................................................ 1-20

1.1 Introduction It is only after the engineer masters’ linear concepts—linear models and circuit and filter theory—that the possibility of tackling nonlinear ideas becomes achievable. Students frequently encounter linear methodologies, and bits and pieces of mathematics that aid in problem solution are stored away. Unfortunately, in memorizing the process of finding the inverse of a matrix or of solving a system of equations, the essence of the problem or associated knowledge may be lost. For example, most engineers are fairly comfortable with the concept of a vector space, but have difficulty in generalizing these ideas to the module level. Therefore, the intention of this section is to provide a unified view of key concepts in the theory of linear circuits and filters, to emphasize interrelated concepts, to provide a mathematical reference to the handbook itself, and to illustrate methodologies through the use of many and varied examples. This chapter begins with a basic examination of vector spaces over fields. In relating vector spaces, the key ideas of linear operators and matrix representations come to the fore. Standard matrix operations are examined as are the pivotal notions of determinant, inverse, and rank. Next, transformations are shown to determine similar representations, and matrix characteristics such as singular values and eigenvalues are defined. Finally, solutions to algebraic equations are presented in the context of matrices and are related to this introductory chapter on mathematics as a whole. Standard algebraic notation is introduced first. To denote an element s in a set S, use s 2 S. Consider two sets S and T. The set of all ordered pairs (s, t) where s 2 S and t 2 T is defined as the Cartesian product set S 3 T. A function f from S into T, denoted by f : S ! T, is a subset U of ordered pairs (s, t) 2 S 3 T such that for every s 2 S, one and only one t 2 T exists such that (s, t) 2 U. The function evaluated at the element s gives t as a solution ( f(s) ¼ t), and each s 2 S as a first element in U appears exactly once.

1-1

Fundamentals of Circuits and Filters

1-2

A binary operation is a function acting on a Cartesian product set S 3 T. When T ¼ S, one speaks of a binary operation on S.

1.2 Vector Spaces over Fields A field F is a nonempty set F and two binary operations, sum (þ) and product, such that the following properties are satisfied for all a, b, c 2 F: Associativity: (a þ b) þ c ¼ a þ (b þ c); (ab)c ¼ a(bc) Commutativity: a þ b ¼ b þ a ; ab ¼ ba Distributivity: a(b þ c) ¼ (ab) þ (ac) Identities: (Additive) 0 2 F exists such that a þ 0 ¼ a (Multiplicative) 1 2 F exists such that a1 ¼ a 5. Inverses: (Additive) For every a 2 F, b 2 F exists such that a þ b ¼ 0 (Multiplicative) For every nonzero a 2 F, b 2 F exists such that ab ¼ 1 1. 2. 3. 4.

Examples . . . .

Field Field Field Field

of real numbers R of complex numbers C of rational functions with real coefficients R(s) of binary numbers

The set of integers Z with the standard notions of addition and multiplication does not form a field because a multiplicative inverse in Z exists only for 1. The integers form a commutative ring. Likewise, polynomials in the indeterminate s with coefficients from F form a commutative ring F[s]. If field property 2 also is not available, then one speaks simply of a ring. An additive group is a nonempty set G and one binary operation þ satisfying field properties 1, 4, and 5 for addition, that is, associativity and the existence of additive identity and inverse. Moreover, if the binary operation þ is commutative (field property 2), then the additive group is said to be abelian. Common notation regarding inverses is that the additive inverse for a 2 F is b ¼ a 2 F. In the multiplicative case b ¼ a1 2 F. An F-vector space V is a nonempty set V and a field F together with binary operations þ: V 3 V ! V and *: F 3 V ! V subject to the following axioms for all elements v, w 2 V and a, b 2 F: 1. 2. 3. 4. 5.

V and þ form an additive abelian group a * (vþw) ¼ (a * v)þ(a * w) (aþb) * v ¼ (a * v)þ(b * v) (ab) * v ¼ a * (b * v) 1*v¼v

Examples . .

Set of all n-tuples (v1, v2, . . . , vn) for n > 0 and vi 2 F Set of polynomials of degree less than n with real coefficients (F ¼ R)

Elements of V are referred to as vectors, whereas elements of F are scalars. Note that the terminology vector space V over the field F is used often. A module differs from a vector space in only one aspect; the underlying field in a vector space is replaced by a ring. Thus, a module is a direct generalization of a vector space. When considering vector spaces of n-tuples, þ is vector addition defined element by element using the scalar addition associated with F. Multiplication (*), which is termed scalar multiplication, also is defined

Linear Operators and Matrices

1-3

element by element using multiplication in F. The additive identity in this case is the zero vector (n-tuple of zeros) or null vector, and Fn denotes the set of n-tuples with elements in F, a vector space over F. e  V is called a subspace of V if for each v, w 2 V e and every a 2 F, v þ w 2 V, e A nonempty subset V e When the context makes things clear, it is customary to suppress the , and write av in and a * v 2 V. * place of a * v. A set of vectors {v1, v2, . . . , vm} belonging to an F-vector space V is said to span the vector space if any element v 2 V can be represented by a linear combination of the vectors vi. That is, scalars a1, a2, . . . , am 2 F are such that v ¼ a 1 v1 þ a 2 v2 þ    þ a m vm

(1:1)

A set of vectors {v1, v2, . . . , vp} belonging to an F-vector space V is said to be linearly dependent over F if scalars a1, a2, . . . , ap 2 F, not all zero, exist such that a1 v1 þ a2 v2 þ    þ ap vp ¼ 0

(1:2)

If the only solution for Equation 1.2 is that all ai ¼ 0 2 F, then the set of vectors is said to be linearly independent.

Examples . .

.

(1, 0) and (0, 1) are linearly independent. (1, 0, 0), (0, 1, 0), and (1, 1, 0) are linearly dependent over R. To see this, simply choose a1 ¼ a2 ¼ 1 and a3 ¼ 1. s2 þ 2s and 2s þ 4 are linearly independent over R, but are linearly dependent over R(s) by choosing a1 ¼ 2 and a2 ¼ s.

A set of vectors {v1, v2, . . . , vn} belonging to an F-vector space V is said to form a basis for V if it both spans V and is linearly independent over F. The number of vectors in a basis is called the dimension of the vector space, and is denoted as dim(V). If this number is not finite, then the vector space is said to be infinite dimensional.

Examples . .

In an n-dimensional vector space, any n linearly independent vectors form a basis. Natural (standard) basis 2 3 1 607 6 7 607 7 e1 ¼ 6 6 ... 7, 6 7 405 0

2 3 0 617 6 7 607 7 e2 ¼ 6 6 ... 7, 6 7 405 0

2 3 2 3 0 0 607 607 6 7 6 7 607 617 7 7 6 e3 ¼ 6 .. 7, . . . , en1 ¼ 6 6 ... 7, 6 7 6.7 415 405 0 0

2 3 0 607 6 7 607 7 en ¼ 6 6 ... 7 6 7 405 1

both spans Fn and is linearly independent over F.

Consider any basis {v1, v2, . . . , vn} in an n-dimensional vector space. Every v 2 V can be represented uniquely by scalars a1, a2, . . . , an 2 F as

Fundamentals of Circuits and Filters

1-4

v ¼ a 1 v1 þ a 2 v2 þ    þ a n vn 2 3 a1 6a 7 6 27 7 ¼ [v1 v2    vn ]6 6 .. 7 4 . 5

(1:3)

(1:4)

an ¼ [v1 v2    vn ]a

(1:5)

Here, a 2 Fn is a coordinate representation of v 2 V with respect to the chosen basis. The reader will be able to discern that each choice of basis will result in another representation of the vector under consideration. Of course, in the applications, some representations are more popular and useful than others.

1.3 Linear Operators and Matrix Representations First, recall the definition of a function f: S ! T. Alternate terminology for a function is mapping, operator, or transformation. The set S is called the domain of f, denoted by D( f ). The range of f, R( f ), is the set of all t 2 T such that (s, t) 2 U ( f(s) ¼ t) for some s 2 D( f ).

Examples Use S ¼ {1, 2, 3, 4} and T ¼ {5, 6, 7, 8}. . . .

e ¼ {(1, 5), (2, 5), (3, 7), (4, 8)} is a function. The domain is {1, 2, 3, 4} and the range is {5, 7, 8}. U Û ¼ {(1, 5), (1, 6), (2, 5), (3, 7), (4, 8)} is not a function. U ¼ {(1, 5), (2, 6), (3, 7), (4, 8)} is a function. The domain is {1, 2, 3, 4} and the range is {5, 6, 7, 8}.

If R( f ) ¼ T, then f is said to be surjective (onto). Loosely speaking, all elements in T are used up. If f : S ! T has the property that f(s1) ¼ f(s2) implies s1 ¼ s2, then f is said to be injective (one-to-one). This means that any element in R( f ) comes from a unique element in D( f ) under the action of f. If a function is both injective and surjective, then it is said to be bijective (one-to-one and onto).

Examples .

.

e is not onto because 6 2 T is not in R( f). Also U e is not one-to-one because f(1) ¼ 5 ¼ f(2), U but 1 6¼ 2. U is bijective.

Now consider an operator L: V ! W, where V and W are vector spaces over the same field F. L is said to be a linear operator if the following two properties are satisfied for all v, w 2 V and for all a 2 F: L(av) ¼ aL(v)

(1:6)

L(v þ w) ¼ L(v) þ L(w)

(1:7)

Equation 1.6 is the property of homogeneity and Equation 1.7 is the property of additivity. Together they imply the principle of superposition, which may be written as L(a1 v1 þ a2 v2 ) ¼ a1 L(v1 ) þ a2 L(v2 )

(1:8)

for all v1, v2 2 V and a1, a2 2 F. If Equation 1.8 is not satisfied, then L is called a nonlinear operator.

Linear Operators and Matrices

1-5

Examples .

Consider V ¼ C and F ¼ C. Let L: V ! V be the operator that takes the complex conjugate: L(v) ¼ v for v, v 2 V. Certainly L(v1 þ v2 ) ¼ v1 þ v2 ¼ v1 þ v2 ¼ L(v1 ) þ L(v2 ) However, L(a1 v1 ) ¼ a1 v1 ¼ a1 L(v1 ) 6¼ a1 L(v1 ) Then L is a nonlinear operator because homogeneity fails.

.

For F-vector spaces V and W, let V be Fn and W be Fn1. Examine L: V ! W, the operator that truncates the last element of the n-tuple in V, that is, L((v1 , v2 , . . . , vn1 , vn )) ¼ (v1 , v2 , . . . , vn1 ) Such an operator is linear.

The null space (kernel) of a linear operator L: V ! W is the set ker L ¼ {v 2 V such that L(v) ¼ 0}

(1:9)

Equation 1.9 defines a vector space. In fact, ker L is a subspace of V. The mapping L is injective if and only if ker L ¼ 0; that is, the only solution in the right member of Equation 1.9 is the trivial solution. In this case, L is also called monic. The image of a linear operator L: V ! W is the set im L ¼ {w 2 W such that L(v) ¼ w for some v 2 V}

(1:10)

Clearly, im L is a subspace of W, and L is surjective if and only if im L is all of W. In this case, L is also called epic. A method of relating specific properties of linear mappings is the exact sequence. Consider a sequence of linear mappings ~ L

L

V ! W ! U ! 

(1:11)

~ A sequence is called exact if it is exact at each vector This sequence is said to be exact at W if im L ¼ ker L. space in the sequence. Examine the following special cases: L

0!V !W ~ L

W !U!0

(1:12) (1:13)

~ Sequence (Equation 1.12) is exact if and only if L is monic, whereas Equation 1.13 is exact if and only if L is epic. Further, let L: V ! W be a linear mapping between finite-dimensional vector spaces. The rank of L, r(L), is the dimension of the image of L. In such a case

Fundamentals of Circuits and Filters

1-6

r(L) þ dim ( ker L) ¼ dim V

(1:14)

Linear operators commonly are represented by matrices. It is quite natural to interchange these two ideas, because a matrix with respect to the standard bases is indistinguishable from the linear operator it represents. However, insight may be gained by examining these ideas separately. For V and W, n- and m-dimensional vector spaces over F, respectively, consider a linear operator L: V ! W. Moreover, let {v1, v2, . . . , vn} and {w1, w2, . . . , wm} be respective bases for V and W. Then L: V ! W can be represented uniquely by the matrix M 2 Fm3n where 2

m11 6 m21 6 M ¼ 6 .. 4 .

m12 m22 .. .

mm1

mm2

... ... .. .

m1n m2n .. .

3 7 7 7 5

(1:15)

. . . mmn

The ith column of M is the representation of L(vi) with respect to {w1, w2, . . . , wm}. Element mij 2 F of Equation 1.15 occurs in row i and column j. Matrices have a number of properties. A matrix is said to be square if m ¼ n. The main diagonal of a square matrix consists of the elements mii. If mij ¼ 0 for all i > j (i < j), a square matrix is said to be upper (lower) triangular. A square matrix with mij ¼ 0 for all i 6¼ j is diagonal. Additionally, if all mii ¼ 1, a diagonal M is an identity matrix. A row vector (column vector) is a special case in which m ¼ 1 (n ¼ 1). Also, m ¼ n ¼ 1 results essentially in a scalar. Matrices arise naturally as a means to represent sets of simultaneous linear equations. For example, in the case of Kirchhoff equations, Chapter 7 shows how incidence, circuit, and cut matrices arise. Or consider a p network having node voltages vi, i ¼ 1, 2 and current sources ii, i ¼ 1, 2 connected across the resistors Ri, i ¼ 1, 2 in the two legs of the p. The bridge resistor is R3. Thus, the unknown node voltages can be expressed in terms of the known source currents in the manner (R1 þ R3 ) 1 v1  v2 ¼ i1 R 1 R3 R3

(1:16)

(R2 þ R3 ) 1 v2  v1 ¼ i2 R 2 R3 R3

(1:17)

If the voltages, vi, and the currents, ii, are placed into a voltage vector v 2 R2 and current vector i 2 R2, respectively, then Equations 1.16 and 1.17 may be rewritten in matrix form as " # i1 i2

" (R1 þR3 ) ¼

R1 R3

 R13

 R13

(R2 þR3 ) R2 R3

#"

v1

#

v2

(1:18)

A conductance matrix G may then be defined so that i ¼ Gv, a concise representation of the original pair of circuit equations.

1.4 Matrix Operations Vector addition in Fn was defined previously as an element-wise scalar addition. Similarly, two matrices both M and N in Fm3n can be added (subtracted) to form the resultant matrix P 2 Fm3n by mij  nij ¼ pij , i ¼ 1, 2, . . . , m,

j ¼ 1, 2, . . . , n

(1:19)

Linear Operators and Matrices

1-7

Matrix addition, thus, is defined using addition in the field over which the matrix lies. Accordingly, the matrix, each of whose entries is 0 2 F, is an additive identity for the family. One can set up additive inverses along similar lines, which, of course, turn out to be the matrices each of whose elements is the negative of that of the original matrix. Recall how scalar multiplication was defined in the example of the vector space of n-tuples. Scalar multiplication can also be defined between a field element a 2 F and a matrix M 2 Fm3n in such a way that the product aM is calculated element-wise: aM ¼ P , amij ¼ pij , i ¼ 1, 2, . . . , m, j ¼ 1, 2, . . . , n

(1:20)

Examples 

4 (F ¼ R): M ¼ 2

 3 , 1



2 N¼ 1

 3 , 6

a ¼ 0:5

   6 0 4þ2 33 ¼ MþN ¼P ¼ 3 7 2þ1 1þ6     42 3þ3 2 6 M  N ¼ ~P ¼ ¼ 21 16 1 5     (0:5)4 (0:5)3 2 1:5 ^ aM ¼ P ¼ ¼ (0:5)2 (0:5)1 1 0:5 

.

.

.

To multiply two matrices M and N to form the product MN requires that the number of columns of M equal the number of rows of N. In this case the matrices are said to be conformable. Although vector multiplication cannot be defined here because of this constraint, Chapter 2 examines this operation in detail using the tensor product. The focus here is on matrix multiplication. The resulting matrix will have its number of rows equal to the number of rows in M and its number of columns equal to the number of columns of N. Thus, for M 2 Fm3n and N 2 Fn3p, MN ¼ P 2 Fm3p. Elements in the resulting matrix P may be determined by pij ¼

n X

mik nkj

(1:21)

k¼l

Matrix multiplication involves one row and one column at a time. To compute the pij term in P, choose the ith row of M and the jth column of N. Multiply each element in the row vector by the corresponding element in the column vector and sum the result. Notice that in general, matrix multiplication is not commutative, and the matrices in the reverse order may not even be conformable. Matrix multiplication is, however, associative and distributive with respect to matrix addition. Under certain conditions, the field F of scalars, the set of matrices over F, and these three operations combine to form an algebra. Chapter 2 examines algebras in greater detail.

Examples 

4 (F ¼ R): M ¼ 2

  1 3 3 , N¼ 2 4 1

5 6



Fundamentals of Circuits and Filters

1-8  .

MN ¼ P ¼

10 4

24 10

38 16



  1 , and evaluate Equation To find p11, take the first row of M, [4 3], and the first column of N, 2 1.21: 4(1) þ 3(2) ¼ 10. Continue for all i and j.

. .

NM does not exist because that product is not comformable. Any matrix M 2 Fm3n multiplied by an identity matrix I 2 Fn3n such that MI 2 Fm3n results in the original matrix M. Similarly, IM ¼ M for I an m 3 m identity matrix over F. It is common to interpret I as an identity matrix of appropriate size, without explicitly denoting the number of its rows and columns.

The transpose MT 2 Fn3m of a matrix M 2 Fm3n is found by interchanging the rows and columns. The first column of M becomes the first row of MT, the second column of M becomes the second row of MT, and so on. The notations MT and M0 are also used. If M ¼ MT the matrix is called symmetric. Note that two matrices M, N 2 Fm3n are equal if and only if all respective elements are equal: mij ¼ nij for all i, j. The Hermitian transpose M* 2 Cn3m of M 2 Cm3n is also termed the complex conjugate transpose. To compute M*, form MT and take the complex conjugate of every element in MT. The following properties also hold for matrix transposition for all M, N 2 Fm3n, P 2 Fn3p, and a 2 F : (MT)T ¼ M, (M þ N)T ¼ MT þ NT, (aM)T ¼ aMT, and (MP)T ¼ PT MT.

Examples 

j (F ¼ C): M ¼ 4  .

j 1j

4 2 þ j3

j M* ¼ 1þj

4 2  j3

MT ¼ 

.

1j 2 þ j3



 

1.5 Determinant, Inverse, and Rank Consider square matrices of the form [m11] 2 F131. For these matrices, define the determinant as m11 and establish the notation det([m11]) for this construction. This definition can be used to establish the meaning of det(M), often denoted by jMj, for M 2 F232. Consider 

m11 M¼ m21

m12 m22

 (1:22)

The minor of mij is defined to be the determinant of the submatrix which results from the removal of row i and column j. Thus, the minors of m11, m12, m21, and m22 are m22, m21, m12, and m11, respectively. To calculate the determinant of this M, (1) choose any row i (or column j), (2) multiply each element mik (or mkj) in that row (or column) by its minor and by (1)iþk (or (1)kþj), and (3) add these results. Note that the product of the minor with the sign (1)iþk (or (1)kþj) is called the cofactor of the element in question. If row 1 is chosen, the determinant of M is found to be m11(þm22) þ m12(m21), a well-known result. The determinant of 2 3 2 matrices is relatively easy to remember: multiply the two elements along the main diagonal and subtract the product of the other two elements. Note that it makes no difference which row or column is chosen in step 1.

Linear Operators and Matrices

1-9

A similar procedure is followed for larger matrices. Consider   m11  det (M) ¼  m21  m31

 m13  m23  m33 

m12 m22 m32

(1:23)

Expanding about column 1 produces   m22  det (M) ¼ m11   m32

   m12 m23    m  21    m32 m33

   m12 m13   þ m  31    m22 m33

 m13   m23 

(1:24)

¼ m11 (m22 m33  m23 m32 )  m21 (m12 m33  m13 m32 ) þ m31 (m12 m23  m13 m22 )

(1:25)

¼ m11 m22 m33 þ m12 m23 m31 þ m13 m21 m32  m13 m22 m31  m11 m23 m32  m12 m21 m33

(1:26)

An identical result may be achieved by repeating the first two columns next to the original matrix: m11 m21 m31

m12 m22 m32

m13 m23 m33

m11 m21 m31

m12 m22 m32

(1:27)

Then, form the first three products of Equation 1.26 by starting at the upper left corner of Equation 1.27 with m11, forming a diagonal to the right, and then repeating with m12 and m13. The last three products are subtracted in Equation 1.26 and are formed by starting in the upper right corner of Equation 1.27 with m12 and taking a diagonal to the left, repeating for m11 and m13. Note the similarity to the 2 3 2 case. Unfortunately, such simple schemes fail above the 3 3 3 case. Determinants of n 3 n matrices for n > 3 are computed in a similar vein. As in the earlier cases, the determinant of an n 3 n matrix may be expressed in terms of the determinants of (n  1) 3 (n  1) submatrices; this is termed as Laplace’s expansion. To expand along row i or column j in M 2 Fn3n, write det (M) ¼

n X

~ ik ¼ mik m

n X

k¼l

~ kj mkj m

(1:28)

k¼l

~ ik (m ~ kj) are cofactors formed by deleting the ith (kth) where the mik (mkj) are elements of M. The m row and the kth (jth) column of M, forming the determinant of the (n  1) 3 (n  1) resulting submatrix, and multiplying by (1)iþk ( (1)kþj). Notice that minors and their corresponding cofactors are related by 1.

Examples 2

0 (F ¼ R): M ¼ 4 3 2 .

Expanding about row 1 produces

1 4 3

3 2 55 6

Fundamentals of Circuits and Filters

1-10

     3 3 5 4 5     þ 2  1 det (M) ¼ 0   2 2 6 3 6 ¼ (18  10) þ 2(9  8) ¼ 6 .

 4  3

Expanding about column 2 yields       0 2 0 2 3 5     þ 4 det (M) ¼ 1  2 6   3 3 5  2 6 ¼ (18  10) þ 4(0  4)  3(0  6) ¼ 6

.

Repeating the first two columns to form Equation 1.27 gives 0 3 2

1 4 3

2 5 6

0 3 2

1 4 3

Taking the appropriate products, 046þ152þ233136053242 results in 6 as the determinant of M. .

Any square matrix with a zero row and=or zero column will have zero determinant. Likewise, any square matrix with two or more identical rows and=or two or more identical columns will have determinant equal to zero.

Determinants satisfy many interesting relationships. For any n 3 n matrix, the determinant may be expressed in terms of determinants of (n  1) 3 (n  1) matrices or first-order minors. In turn, determinants of (n  1) 3 (n  1) matrices may be expressed in terms of determinants of (n  2) 3 (n  2) matrices or second-order minors, etc. Also, the determinant of the product of two square matrices is equal to the product of the determinants: det (MN) ¼ det (M) det (N)

(1:29)

For any M 2 Fn3n such that jMj 6¼ 0, a unique inverse M1 2 Fn3n satisfies MM 1 ¼ M 1 M ¼ I

(1:30)

For Equation 1.29 one may observe the special case in which N ¼ M1, then (det(M))1 ¼ det(M1). The inverse M1 may be expressed using determinants and cofactors in the following manner. Form the matrix of cofactors 2

~ 11 m 6m ~ 21 ~ ¼6 M 6 .. 4 .

~ n1 m

~ 12 m ~ 22 m .. .

~ n2 m

  .. .

3 ~ 1n m ~ 2n 7 m 7 .. 7 . 5

(1:31)

~ nn  m

The transpose of Equation 1.31 is referred to as the adjoint matrix or adj(M). Then, M 1 ¼

~ T adj(M) M ¼ jM j jM j

(1:32)

Linear Operators and Matrices

1-11

Examples .

Choose M of the previous set of examples. The cofactor matrix is 2

9 4 0 3

8 4 6

3 1 25 3

Because jMj ¼ 6, M1 is 2 6 4

.

 32

0

4 3  16

2 3  13

Note that Equation 1.32 is satisfied.     3 1 2 1 , , adj(M) ¼ M¼ 4 2 4 3

1 2

7 1 5 1 2

 M1 ¼

3

3 2

2

 12 1



In the 2 3 2 case, this method reduces to interchanging the elements on the main diagonal, changing the sign on the remaining elements, and dividing by the determinant. .

Consider the matrix equation in Equation 1.18. Because det(G) 6¼ 0, whenever the resistances are nonzero, with R1 and R2 having the same sign, the node voltages may be determined in terms of the current sources by multiplying on the left of both members of the equation using G1. Then G1i ¼ v.

The rank of a matrix M, r(M), is the number of linearly independent columns of M over F, or using other terminology, the dimension of the image of M. For M 2 Fm3n the number of linearly independent rows and columns is the same, and is less than or equal to the minimum of m and n. If r(M) ¼ n, M is of full-column rank; similarly, if r(M) ¼ m, M is of full-row rank. A square matrix with all rows (and all columns) linearly independent is said to be nonsingular. In this case, det(M) 6¼ 0. The rank of M also may be found from the size of the largest square submatrix with a nonzero determinant. A full-rank matrix has a full-size minor with a nonzero determinant. The null space (kernel) of a matrix M 2 Fm3n is the set ker M ¼ {v 2 F n such that Mv ¼ 0}

(1:33)

Over F, ker M is a vector space with dimension defined as the nullity of M, v(M). The fundamental theorem of linear equations relates the rank and nullity of a matrix M 2 Fm3n by r(M) þ v(M) ¼ n

(1:34)

If r(M) < n, then M has a nontrivial null space.

Examples 

.

0 M¼ 0

1 0



The rank M is 1 because only one linearly independent column of M is found. To examine the null   f space of M, solve Mv ¼ 0. Any element in ker M is of the form 1 for f1 2 F. Therefore, v(M) ¼ 1. 0

1-12

2

.

1 M ¼ 42 3

4 5 6

5 7 9

Fundamentals of Circuits and Filters

3

2 15 0

The rank of M is 2 and the nullity is 2.

1.6 Basis Transformations This section describes a change of basis as a linear operator. Because the choice of basis affects the matrix of a linear operator, it would be most useful if such a basis change could be understood within the context of matrix operations. Thus, the new matrix could be determined from the old matrix by matrix operations. This is indeed possible. This question is examined in two phases. In the first phase the linear operator maps from a vector space to itself. Then a basis change will be called a similarity transformation. In the second phase, the linear operator maps from one vector space to another, which is not necessarily the same as the first. Then a basis change will be called an equivalence transformation. Of course, the first situation is a special case of the second, but it is customary to make the distinction and to recognize the different terminologies. Philosophically, a fascinating special situation exists in which the second vector space, which receives the result of the operation, is an identical copy of the first vector space, from which the operation proceeds. However, in order to avoid confusion, this section does not delve into such issues. For the first phase of the discussion, consider a linear operator that maps a vector space into itself, such as L: V ! V, where V is n-dimensional. Once a basis is chosen in V, L will have a unique matrix representation. Choose {v1, v2, . . . , vn} and {v1, v2, . . . , vn} as two such bases. A matrix M 2 Fn3n may be determined using the first basis, whereas another matrix M 2 Fn3n will result in the latter choice. According to the discussion following Equation 1.15, the ith column of M is the representation of L(vi) with respect to {v1, v2, . . . , vn}, and the ith column of M is the representation of L(vi) with respect to {v1, v2, . . . , vn}. As in Equation 1.4, any basis element vi has a unique representation in terms of the basis {v1, v2, . . . , vn}. Define a matrix P 2 Fn3n using the ith column as this representation. Likewise, Q 2 Fn3n may have as its ith column the unique representation of v1 with respect to {v1, v2, . . . , vn}. Either represents a basis change which is a linear operator. By construction, both P and Q are nonsingular. Such matrices and linear operators are sometimes called basis transformations. Notice that P ¼ Q1. If two matrices M and M represent the same linear operator L, they must somehow carry essentially the same information. Indeed, a relationship between M and M may be established. Consider av, aw, av, aw 2 Fn such that Mav ¼ aw and Mav ¼ aw. Here, av denotes the representation of v with respect to the basis vi, av denotes the representation of v with respect to the basis vi, and so forth. In order to involve P and Q in these equations, it is possible to make use of a sketch: M

av

P

Q

aw

P

av M

Q

aw

In this sketch, a vector at a given corner can be multiplied by a matrix on an arrow leaving the corner and set equal to the vector that appears at the corner at which that arrow arrives. Thus, for example, aw ¼ Mav may be deduced from the top edge of the sketch. It is interesting to perform ‘‘chases’’ around such sketches. By way of illustration, consider the lower right corner. Progress around the sketch

Linear Operators and Matrices

1-13

counterclockwise so as to reach the lower left corner and set the result equal to that obtained by progressing clockwise to the lower left corner. In equations this is carried out as follows: aw ¼ Paw ¼ PMav ¼ PMQav ¼ Mav

(1:35)

Inasmuch as av 2 Fn is arbitrary, it follows that M ¼ PMP1

(1:36)

Sketches that have this type of property, namely the same result when the sketch is traversed from a starting corner to an finishing corner by two paths, are said to be commutative. It is perhaps more traditional to show the vector space Fn instead of the vectors at the corners. Thus, the sketch would be called a commutative diagram of vector spaces and linear operators. M and M are said to be similar because a nonsingular matrix P 2 Fn3n is such that Equation 1.36 is true. The matrix P is then called a similarity transformation. Note that all matrix representations associated with the same linear operator, from a vector space to itself, are similar. Certain choices of bases lead to special forms for the matrices of the operator, as are apparent in the following examples.

Examples .

Choose L: R2 ! R2 as the linear operator that rotates a vector by 908. Pick {v1, v2} as the natural basis { ½1 0 T , ½0 1 T } and {v 1, v 2} as { ½1 1 T , ½1 0 T }. Then,

L(v1 ) ¼ ½ v1

v2 

  0

1  1 v2  0   1 v2  2   1 v2  1 

L(v2 ) ¼ ½ v1 L(v1 ) ¼ ½ v1 L(v2 ) ¼ ½ v1 so that 

0 M¼ 1

 1 , 0



1 M¼ 2

1 1



To find the similarity transformation P, determine the representations of the basis vectors vi in terms of the basis {v 1, v 2}. Then, 

0 P¼ 1

  1 1  , P¼ 1 1

1 0



so that PMP1 ¼ M. .

Suppose that M 2 Fn3n is a representation of L: V ! V. Assume a v 2 Fn exists such that the vectors {v, Mv, . . . , Mn1v} are linearly independent. Thus, these n vectors can be chosen as an alternate basis. (Section 1.7 discusses the characteristic equation of a matrix M.) Using the Cayley–Hamilton

Fundamentals of Circuits and Filters

1-14

theorem, which states that every matrix satisfies its own characteristic equation, it is always possible to write Mnv as a linear combination of these alternate basis vectors an v  an1 Mv      a1 Mn1 v for ai 2 F. The matrix representation of L with respect to the alternate basis given by this set of linearly independent vectors is 2

0 61 6 60 6 M ¼ 6 .. 6. 6 40 0

0  0  1  .. . . . . 0  0 

0 0 0 .. . 0 1

3 an an1 7 7 an2 7 7 .. 7 . 7 7 a2 5 a1

which is the transpose of what is known as the companion form.

For the second phase of the discussion, select a pair of bases, one for the vector space V and one for the vector space W, and construct the resulting matrix representation M of L: V ! W. Another choice of bases exists for V and W, with the property that the resulting matrix M representing L is of the form 

I 0

0 0

 (1:37)

where I is r(M) 3 r(M). Such a matrix is said to be in normal form. It is possible to transform M into M with the assistance of three types of transformations which are called elementary: (1) interchange any two rows or any two columns, (2) scale any row or column by a nonzero element in F, and (3) add any F-multiple of any row (column) to any other row (column). It is apparent that each of the three transformations involving rows may be accomplished by multiplying M on the left by a nonsingular matrix. Column operations require a corresponding multiplication on the right. The secret to understanding elementary transformations is to recognize that each of them will carry one basis into another. Not as easy to see, but equally true, is that any transformation that carries one basis into another basis must be a product of such elementary transformations. The elementary column transformations are interpreted as changing the basis in the vector space from which the operator takes vectors, whereas the elementary row transformations correspond to changing the basis in the vector space into which the operator places its result. It stands to reason that a simultaneous adjustment of both sets of basis vectors could lead to some quite special forms for the matrices of an operator. Of course, a great deal of linear algebra and its applications is concerned with just such constructions and forms. Space does not permit a complete treatment here. If a matrix M has been transformed into normal form, certain types of key information become available. For example, one knows the rank of M because r(M) is the number of rows and columns of the identity in Equation 1.37. Perhaps more importantly, the normal form is easily factored in a fundamental way, and so such a construction is a natural means to construct two factors of minimal rank for a given matrix. The reader is cautioned, however, to be aware that computational linear algebra is quite a different subject than theoretical linear algebra. One common saying is that ‘‘if an algorithm is straightforward, then it is not numerically desirable.’’ This may be an exaggeration, but it is well to recognize the implications of finite precision on the computer. Space limitations prevent addressing numerical issues. Many other thoughts can be expressed in terms of elementary basis transformations. By way of illustration, elementary basis transformations offer an alternative in finding the inverse of a matrix. For a nonsingular matrix M 2 Fn3n, append to M an n 3 n identity I to form the n 3 2n matrix

Linear Operators and Matrices

1-15

^ ¼ [M M

I]

(1:38)

Perform elementary row transformations on Equation 1.38 to transform M into normal form. Then M1 will appear in the last n columns of the transformed matrix.

Examples 

2 (F ¼ R): M ¼ 4 .

1 3



Transform M into normal form. The process can be carried out in many ways. For instance, begin by scaling row 1 by 12,

L1 M ¼

1

0 1

2

0



  1 1 ¼ 3 4

2 4

1 2



3

Clear the first element in row 2 by  L2 L1 M ¼

1 4

0 1



1 2

1 4



 ¼

3



1 2

1 0

1

Finally, perform a column operation to produce M: 

1 2

1 L2 L1 MR1 ¼ 0



1

  1  12 ¼ 0 1

1 0

0 1



The rank of M is 2. .

^ and transform M into normal form by the following Recall M1 from previous examples. Form M row operations:

^¼ L1 M

"1 "

^¼ L2 L1 M " ^¼ L3 L2 L1 M

2

0

0

1

1 4

#"

1

1 0

4 3 #" 0 1

0 1

1

2

#" 1

#

" ¼

1 2

1 2

0

4 3

0

1

# ¼

1

2

1

1 2

1 2

0

0

1

0

1

2

1

1 2

1 2

0

4 3 " 1

0

1

1

# ¼

1 2

#

1 2

0

#

0 1 2 1 " # 3 1 1 0 2 2 0

1

2

1

1.7 Characteristics: Eigenvalues, Eigenvectors, and Singular Values A matrix has certain characteristics associated with it. Of these, characteristic values or eigenvalues may be determined through the use of matrix pencils. In general, a matrix pencil may be formed from two matrices M and N 2 Fm3n and an indeterminate l in the manner

Fundamentals of Circuits and Filters

1-16

[lN  M] 2 F[l]mn

(1:39)

In determining the eigenvalues of a square matrix M 2 Fn3n, one assumes the special case in which N ¼ I 2 Fn3n. Assume that M is a square matrix over the complex numbers. Then, l 2 C is called an eigenvalue of M if some nonzero vector v 2 Cn exists such that Mv ¼ lv

(1:40)

Any such v 6¼ 0 satisfying Equation 1.40 is said to be an eigenvector of M associated with l. It is easy to see that Equation 1.40 can be rewritten as (lI  M)v ¼ 0

(1:41)

Because Equation 1.41 is a set of n linear homogeneous equations, a nontrivial solution (v 6¼ 0) exists if and only if D(l) ¼ det (lI  M) ¼ 0

(1:42)

In other words, (lI  M) is singular. Therefore, l is an eigenvalue of M if and only if it is a solution of Equation 1.42. The polynomial D(l) is the characteristic polynomial and D(l) ¼ 0 is the characteristic equation. Moreover, every n 3 n matrix has n eigenvalues that may be real, complex, or both, where complex eigenvalues occur in complex–conjugate pairs. If two or more eigenvalues are equal they are said to be repeated (not distinct). It is interesting to observe that although eigenvalues are unique, eigenvectors are not. Indeed, an eigenvector can be multiplied by any nonzero element of C and still maintain its essential features. Sometimes this lack of uniqueness is resolved by selecting unit length for the eigenvectors with the aid of a suitable norm. Recall that matrices representing the same operator are similar. One may question if these matrices indeed contain the same characteristic information. To answer this question, examine det (lI  M) ¼ det (lPP1  PMP1 ) ¼ det (P(lI  M)P1 ) ¼ det (P) det (lI  M) det (P1 ) ¼ det (lI  M)

(1:43) (1:44)

From Equation 1.44 one may deduce that similar matrices have the same eigenvalues because their characteristic polynomials are equal. For every square matrix M with distinct eigenvalues, a similar matrix M is diagonal. In particular, the eigenvalues of M, and hence M, appear along the main diagonal. Let l1, l2, . . . , ln be the eigenvalues (all distinct) of M and let v1, v2, . . . , vn be corresponding eigenvectors. Then, the vectors {v1, v2, . . . , vn} are linearly independent over C. Choose P1 ¼ Q ¼ [v1 v2    vn] as the modal matrix. Because Mvi ¼ livi, M ¼ PMP1 as before. For matrices with repeated eigenvalues, a similar approach may be followed wherein M is block diagonal, which means that matrices occur along the diagonal with zeros everywhere else. Each matrix along the diagonal is associated with an eigenvalue and takes a specific form depending upon the characteristics of the matrix itself. The modal matrix consists of generalized eigenvectors, of which the aforementioned eigenvector is a special case; thus the modal matrix is nonsingular. The matrix M is the Jordan canonical form. Space limitations preclude a detailed analysis of such topics here; the reader is directed to Chen (1984) for further development.

Linear Operators and Matrices

1-17

Examples 

1 (F ¼ C): M ¼ 2 .



The characteristic polynomial is D(l) ¼ (l  1)(l  3)  8 ¼ (l  5)(l þ 1). The eigenvalues are l1 ¼ 5, l2 ¼ 1. To find the associated eigenvectors recall that for each li, (liI  M) is singular, and write Equation 1.41 " (l1 I  M)v1 ¼ " (l2 I  M)v2 ¼

4

4

2

2

2

4

2

4

#" #"

v11 v12 v21

# ¼ #

v22

Then, v11 ¼ v12 and v21 ¼ 2v22 so that v1 ¼ ½1 associated with l1 and l2, respectively. .

4 3

¼

" # 0 0 " # 0 0

1 T and v2 ¼ ½2

1 T are eigenvectors

Because the eigenvalues of M are distinct, M may be diagonalized. For verification, choose P1 ¼ [v1 v2]. Then,     1 1 2 1 4 1 2 3 1 1 2 3 1 1      5 0 l1 1 15 0 ¼ ¼ ¼ 3 0 3 0 0 1

M ¼ PMP1 ¼

0 l2



In general, a matrix M 2 Fm3n of rank r can be written in terms of its singular-value decomposition (SVD), M ¼ USV*

(1:45)

For any M, unitary matrices U and V of dimension m 3 m and n 3 n, respectively, form the decomposP ition; that is, UU* ¼ U*U ¼ I and VV* ¼ V*V ¼ I. The matrix 2 F mn of the form P 0

s1 6 0 6 6 .. 4 .

0 s2 .. .

  .. .

0

0

r

for

P r



0 0

(1:46)

2 F rr , a diagonal matrix represented by 2

0 0 .. .

3 7 7 7 5

(1:47)

   sr

The elements si, called singular values, are related by s1  s2    sr > 0, and the columns of U (V) are referred to as left (right) singular vectors. Although the unitary matrices U and V are not unique for a given M, the singular values are unique.

Fundamentals of Circuits and Filters

1-18

SVD is useful in the numerical calculation of rank. After performing an SVD, the size of the matrix may be decided. Additionally, the generalized inverse of a matrix M may be found by 

S1 M ¼V r 0 y

 0 U* 0

P r

(1:48)

It can be verified easily that MMy M ¼ M and My MMy ¼ My. In the special case in which M is square and nonsingular, M y ¼ M 1 ¼ VS1 U*

(1:49)

1.8 On Linear Systems Consider a set of n simultaneous algebraic equations, in m unknowns, written in the customary matrix form w ¼ Mv where 2

3 2 m11 w1 6 w2 7 6 m21 6 7 6 6 .. 7 ¼ 6 .. 4 . 5 4 . wn

mm1

m12 m22 .. . mm2

... ... .. .

m1n m2n .. .

. . . mmn

32

v1 7 6 v2 76 76 .. 54 .

3 7 7 7 5

(1:50)

vm

In the context of the foregoing discussion, Equation 1.50 represents the action of a linear operator. If the left member is a given vector, in the usual manner, then a first basic issue concerns whether the vector represented by the left member is in the image of the operator or not. If it is in the image, the equation has at least one solution; otherwise the equation has no solution. A second basic issue concerns the kernel of the operator. If the kernel contains only the zero vector, then the equation has at most one solution; otherwise more than one solution can occur, provided that at least one solution exists. When one thinks of a set of simultaneous equations as a ‘‘system’’ of equations, the intuitive transition to the idea of a linear system is quite natural. In this case the vector in the left member becomes the input to the system, and the solution to Equation 1.50, when it exists and is unique, is the output of the system. Other than being a description in terms of inputs and outputs, as above, linear systems may also be described in terms of sets of other types of equations, such as differential equations or difference equations. When that is the situation, the familiar notion of initial condition becomes an instance of the idea of state, and one must examine the intertwining of states and inputs to give outputs. Then, the idea of Equation 1.50, when each input yields a unique output, is said to define a system function. If the differential (difference) equations are linear and have constant coefficients, the possibility exists of describing the system in terms of transforms, for example, in the s- or z-domain. This leads to fascinating new interpretations of the ideas of the foregoing sections, this time, for example, over fields of rational functions. Colloquially, such functions are best known as transfer functions. Associated with systems described in the time-, s-, or z-domain, some characteristics of the system also aid in analysis techniques. Among the most basic of these are the entities termed poles and zeros, which have been linked to the various concepts of system stability. Both poles and zeros may be associated with matrices of transfer functions, and with the original differential or difference equations themselves. A complete and in-depth treatment of the myriad meanings of poles and zeros is a challenging undertaking, particularly in matrix cases. For a survey of the ideas, see Schrader and Sain (1989). However, a great many of the definitions involve such concepts as rank, pencils, eigenvalues, eigenvectors, special matrix forms, vector spaces, and modules—the very ideas sketched out in the sections preceding.

Linear Operators and Matrices

1-19

Algebraic methods are of growing importance in system theory. Of particular interest is the historical survey by Schrader and Wyman (2008) which describes the module-theoretic approach to zeros of a linear system and the application of these ideas to inverse systems and system design. The longstanding notion that zeros of a linear system become the poles of its inverse system is resolved using these methods. Additionally, for the first time, by employing module-theoretic approaches, a structural algebraic meaning is given to the principle that the number of zeros of a transfer function matrix equals the number of poles. Central to this result is the Fundamental PoleZero Exact Sequence, an exact sequence of finite-dimensional vector spaces over the same field, represented by 0 ! Z(G) !

X (G) ! W (im G(z)) ! 0 W ( ker G(z))

where Z(G) is the global space of zeros of a transfer function matrix G(z) X (G) is the global space of poles of G(z) W () is the Wedderburn–Forney construction The sequence is presented here to encourage further investigation into these powerful methods. One very commonly known idea for representing solutions to Equation 1.50 is Cramer’s rule. When m ¼ n, and when M has an inverse, the use of Cramer’s rule expresses each unknown variable individually by using a ratio of determinants. Choose the ith unknown vi. Define the determinant Mi as the determinant of a matrix formed by replacing column i in M with w. Then, vi ¼

Mi det (M)

(1:51)

It turns out that this very interesting idea makes fundamental use of the notion of multiplying vectors, which is not part of the axiomatic framework of the vector space. The reader may want to reflect further on this observation, with respect to the foregoing treatment of determinants. When the framework of vector spaces is expanded to include vector multiplication, as in the case of determinants, one gets to the technical subject of algebras. Chapter 2 returns to this concept. The concepts presented previously allow for more detailed considerations in the solution of circuit and filter problems, using various approaches outlined in the remainder of this book. Chapter 2 discusses the multiplication of vectors by means of the foundational idea of bilinear operators and matrices. Chapters 3 through 5 on transforms—Fourier, z, and Laplace—provide the tools for analysis by allowing a set of differential or difference equations describing a circuit to be written as a system of linear algebraic equations. Moreover, each transform itself can be viewed as a linear operator, and thus becomes a prime example of the ideas of this chapter. The remaining chapters focus on graph–theoretical approaches to the solution of systems of algebraic equations. From this vantage point, one can see the entire Section I in the context of linear operators, their addition, and multiplication. A brief treatment cannot deal with all the interesting questions and answers associated with linear operators and matrices. For a more detailed treatment of these standard concepts, see any basic algebra text, for example, Greub (1967).

1-20

Fundamentals of Circuits and Filters

References C.-T. Chen, Linear System Theory and Design, New York: GBS College Publishing, 1984. W. H. Greub, Linear Algebra, New York: Springer-Verlag, 1967. C. B. Schrader and M. K. Sain, Research on system zeros: A survey, Int. J. Control, 50, 4, 1407–1433, Oct. 1989. C. B. Schrader and B. F. Wyman, Modules of zeros for linear multivariable systems, in Advances in Statistical Control, Algebraic System Theory, and Dynamic Systems Characteristics. C.-H Won, C. B. Schrader and A. N. Michel, eds., New York: Birkhauser Boston, Inc., pp. 145–158, 2008.

2 Bilinear Operators and Matrices

Michael K. Sain

University of Notre Dame

Cheryl B. Schrader Boise State University

2.1 Introduction.............................................................................. 2-1 2.2 Algebras ..................................................................................... 2-2 2.3 Bilinear Operators ................................................................... 2-3 2.4 Tensor Product......................................................................... 2-4 2.5 Basis Tensors ............................................................................ 2-5 2.6 Multiple Products .................................................................... 2-8 2.7 Determinants ............................................................................ 2-9 2.8 Skew Symmetric Products ................................................... 2-11 2.9 Solving Linear Equations ..................................................... 2-14 2.10 Symmetric Products .............................................................. 2-16 2.11 Summary.................................................................................. 2-18 Reference.............................................................................................. 2-19

2.1 Introduction The key player in Chapter 1 was the F-vector space V, together with its associated notion of bases, when they exist, and linear operators taking one vector to another. The idea of basis is, of course, quite central to the applications because it permits a vector v in V to be represented by a list of scalars from F. Such lists of scalars are the quantities with which one computes. No doubt the idea of an F-vector space V is the most common and widely encountered notion in applied linear algebra. It is typically visualized, on the one hand, by long lists of axioms, most of which seem quite reasonable, but none of which is particularly exciting, and on the other hand by images of classical addition of force vectors, velocity vectors, and so forth. The notion seems to do no harm, and helps one to keep his or her assumptions straight. As such it is accepted by most engineers as a plausible background for their work, even if the ideas of matrix algebra are more immediately useful. Perhaps some of the least appreciated but most crucial of the vector space axioms are the four governing the scalar multiplication of vectors. These link the abelian group of vectors to the field of scalars. Along with the familiar distributive covenants, these four agreements intertwine the vectors with the scalars in much the same way that the marriage vows bring about the union of man and woman. This section brings forth a new addition to the marriage. As useful as it is, the notion of an F-vector space V fails to provide for one of the most important ideas in the applications—the concept of multiplication of vectors. In a vector space one can add vectors and multiply vectors by scalars, but one cannot multiply vectors by vectors. Yet, there are numerous situations in which one faces exactly these operations. Consider, for instance, the cross and dot products from field theory. Even in the case of matrices, the ubiquitous and crucial matrix multiplication is available, when it is defined. The key to the missing element in the discussion lies in the terminology for 2-1

2-2

Fundamentals of Circuits and Filters

matrix operations, which will be familiar to the reader as the matrix algebra. What must occur in order for vector-to-vector multiplication to be available is for the vector space to be extended into an algebra. Unfortunately, the word ‘‘algebra’’ carries a rather imprecise meaning from the most elementary and early exposures from which it came to signify the collection of operations done in arithmetic, at the time when the operations are generalized to include symbols or literals such as a, b, and c or x, y, and z. Such a notion generally corresponds closely with the idea of a field, F, as defined in Chapter 1, and is not much off the target for an environment of scalars. It may, however, come as a bit of a surprise to the reader that algebra is a technical term, in the same spirit as fields, vector spaces, rings, etc. Therefore, if one is to have available a notion of multiplication of vectors, then it is appropriate to introduce the precise notion of an algebra, which captures the desired idea in an axiomatic sense.

2.2 Algebras Chapter 1 mentioned that the integers I and the polynomials F[s] in s with coefficients in a field F were instances of a ring. In this section, it is necessary to carry the concept of ring beyond the example stage. Of course, a long list of axioms could be provided, but it may be more direct just to cite the changes necessary to the field axioms already provided in Section 1.2. To be precise, the axioms of a commutative ring differ from the axioms of a field only by the removal of the multiplicative inverse. Intuitively, this means that one cannot always divide, even if the element in question is nonzero. Many important commutative rings are found in the applications; however, this chapter is centered on rings, wherein one more axiom is removed—the commutativity of multiplication. The ring of n3n matrices with elements from a field is a classic and familiar example of such a definition. It may be remarked that in some references a distinction is made between rings and rings with identity, the latter having a multiplicative identity and the former not being so equipped. This treatment has no need for such a distinction, and hereafter the term ‘‘ring’’ is understood to mean ring with identity, or, as described above, a field with the specified two axioms removed. It is probably true that the field is the most comfortable of axiomatic systems for most persons because it corresponds to the earliest and most persistent of calculation notions. However, it is also true that the ring has an intuitive and immediate understanding as well, which can be expressed in terms of the wellknown phrase ‘‘playing with one arm behind one’s back.’’ Indeed, each time an axiom is removed, it is similar to removing one of the options in a game. This adds to the challenge of a game, and leads to all sorts of new strategies. Such is the case for algebras, as is clear from the next definition. What follows is not the most general of possible definitions, but probably that which is most common. An algebra A is an F-vector space A, which is equipped with a multiplication a1a2 of vectors a1 and a2 in such a manner that it is also a ring. First, addition in the ring is simply addition of vectors in the vector space. Second, a special relationship exists between multiplication of vectors and scalar multiplication in the vector space. If a1 and a2 are vectors in A, and if f is a scalar in F, then the following identity holds: f (a1 a2 ) ¼ ( fa1 )a2 ¼ a1 ( fa2 )

(2:1)

Note that the order of a1 and a2 does not change in the above equalities. This must be true because no axiom of commutativity exists for multiplication. The urge to define a symbol for vector multiplication is resisted here so as to keep things as simple as possible. In the same way the notation for scalar multiplication, as introduced in Chapter 1, is suppressed here in the interest of simplicity. Thus, the scalar multiplication can be associated either with the vector product, which lies in A, or with one or other of the vector factors. This is exactly the familiar situation with the matrix algebra. Hidden in the definition of the algebra A above is the precise detail arising from the statement that A is a ring. Associated with that detail is the nature of the vector multiplication represented above with the juxtaposition a1a2. Because all readers are familiar with several notions of vector multiplication, the question arises as to just what constitutes such a multiplication. It turns out that a precise notion for

Bilinear Operators and Matrices

2-3

multiplication can be found in the idea of a bilinear operator. Thus, an alternative description of Section 2.3 is that of vector spaces equipped with vector multiplication. Moreover, one is tempted to inquire whether a vector multiplication exists that is so general in nature that all the other vector multiplications can be derived from it. In fact, this is the case, and the following section sets the stage for introducing such a multiplication.

2.3 Bilinear Operators Suppose that there are three F-vector spaces: U, V, and W. Recall that U 3 V is the Cartesian product of U with V, and denotes the set of all ordered pairs, the first from U and the second from V. Now, consider a mapping b from U 3 V into W. For brevity of notation, this can be written b: U 3 V ! W. The mapping b is a bilinear operator if it satisfies the following pair of conditions b( f1 u1 þ f2 u2 , v) ¼ f1 b(u1 , v) þ f2 b(u2 , v)

(2:2)

b(u, f1 v1 þ f2 v2 ) ¼ f1 b(u, v1 ) þ f2 b(u, v2 )

(2:3)

for all f1 and f2 in F, for all u, u1, and u2 in U, and for all v, v1, and v2 in V. The basic idea of the bilinear operator is apparent from this definition. It is an operator with two arguments, having the property that if either of the two arguments is fixed, the operator becomes linear in the remaining argument. A moment’s reflection will show that the intuitive operation of multiplication is of this type. One of the important features of a bilinear operator is that its image need not be a subspace of W. This is in marked contrast with the image of a linear operator, whose image is always a subspace. This property leads to great interest in the manipulations associated with vector products. At the same time, it brings about a great deal of nontriviality. The best way to illustrate the point is with an example.

Example Suppose that U, V, and W have bases {u1, u2}, {v1, v2}, and {w1, w2, w3, w4}, respectively. Then, vectors u in U and v in V can be represented in the following manner u ¼ f1 u1 þ f2 u2

(2:4)

v ¼ g1 v1 þ g2 v2

(2:5)

where fi and gi are elements of F for i ¼ 1, 2. Define a bilinear map by the action b(u, v) ¼ 2f1 g1 w1 þ 3f1 g2 w2 þ 3f2 g1 w3 þ 2f2 g2 w4

(2:6)

h1 w1 þ h2 w2 þ h3 w3 þ h4 w4

(2:7)

It is clear that every vector

in the image of b has the property that 9h1h4 ¼ 4h2h3. If the {hi, i ¼ 1, 2, 3, 4} are given so as to satisfy the latter condition, consider the task of showing that this vector in W is a vector in the image of b. Suppose that h1 ¼ 0. Then either h2 or h3, is zero, or both are zero. If h2 is zero, one may choose f1 ¼ 0, f2 ¼ 1, g1 ¼ h3=3, and g2 ¼ h4=2. If h3 ¼ 0, one may choose g1 ¼ 0, g2 ¼ 1, f1 ¼ h2=3, and f2 ¼ h4=2. An analogous set of constructions is available when h4 ¼ 0. For the remainder of the argument, it is assumed that neither h1 nor h2 is zero. Accordingly, none of the coordinates {hi, i ¼ 1, 2, 3, 4} is zero. Without loss, assume that f1 ¼ 1. Then, g1 is given by h1=2, g2 is found from h2=3, and f2 is constructed from h3=3g1, which is then 2h3=3h1. It is easy to check that these choices produce the correct first three coordinates;

Fundamentals of Circuits and Filters

2-4

the last coordinate is 4h3h2=9h1, which by virtue of the property 9h1h4 ¼ 4h2h3 is equal to h4 as desired. Thus, a vector in W is in the image of b if and only if the relation 9h1h4 ¼ 4h2h3 is satisfied. Next, it is shown that the vectors in this class are not closed under addition. For this purpose, simply select a pair of vectors represented by (1, 1, 9, 4) and (4, 9, 1, 1). The sum, (5, 10, 10, 5), does not satisfy the condition.

It is perhaps not so surprising that the image of b in this example is not a subspace of W. After all, the operator b is nonlinear, when both of its arguments are considered. What may be surprising is that a natural and classical way can be used to circumvent this difficulty, at least to a remarkable degree. The mechanism that is introduced in order to address such a question is the tensor. The reader should bear in mind that many technical personnel have prior notions and insights on this subject emanating from areas such as the theory of mechanics and related bodies of knowledge. For these persons, the authors wish to emphasize that the following treatment is algebraic in character and may exhibit, at least initially, a flavor different from that to which they may be accustomed. This difference is quite typical of the distinctive points of view that often can be found between the mathematical areas of algebra and analysis. Such differences are fortunate insofar as they promote progress in understanding.

2.4 Tensor Product The notions of tensors and tensor product, as presented in this treatment, have the intuitive meaning of a very general sort of bilinear operator, in fact, the most general such operator. Once again, F-vector spaces U, V, and W are assumed. Suppose that b: U 3 V ! W is a bilinear operator. Then the pair (b, W) is said to be a tensor product of U and V if two conditions are met. The first condition is that W is the smallest F-vector space that contains the image of b. Using alternative terminology this could be expressed as W being the vector space generated by the image of b. The term ‘‘generated’’ in this expression refers to the formation of all possible linear combinations of elements in the image of b. The second condition relates b to an arbitrary bilinear operator b: U 3 V ! X in which X is another F-vector space. To be precise, the  W ! X exists with the property that second condition states that for every such b, a linear operator B: b(u, v) ¼ B(b(u,  v))

(2:8)

for all pairs (u, v) in U 3 V. Intuitively, this means that the arbitrary bilinear operator b can be factored in  which does terms of the given bilinear operator b, which does not depend upon b, and a linear operator B depend upon b. The idea of the tensor product is truly remarkable. Moreover, for any bilinear operator b, the induced  is unique. The latter result is easy to see. Suppose that there are two such induced linear linear operator B   2. It follows immediately that operators, e.g., B1 and B  2 )(b(u, v)) ¼ 0 1  B (B

(2:9)

for all pairs (u, v). However, the first condition of the tensor product assures that the image of b contains  2) must in fact be the zero operator. Therefore, once the 1  B a set of generators for W, and thus that (B tensor product of U and V is put into place, bilinear operations are in a one-to-one correspondence with linear operations. This is the essence of the tensor idea, and a very significant way to parameterize product operations in terms of matrices. In a certain sense, then, the idea of this chapter is to relate the fundamentally nonlinear product operation to the linear ideas of Chapter 1. That this is possible is, of course, classical; nonetheless, it remains a relatively novel idea for numerous workers in the applications. Intuitively, what happens here is that the idea of product is abstracted in the bilinear operator b, with all  the remaining details placed in the realm of the induced linear operator B. When a pair (b, W) satisfies the two conditions above, and is therefore a tensor product for U and V, it is customary to replace the symbol b with the more traditional symbol . However, in keeping the notion

Bilinear Operators and Matrices

2-5

that  represents a product and not just a general mapping it is common to write u  v in place of the more correct, but also more cumbersome,  (u, v). Along the same lines, the space W is generally denoted U  V. Thus, a tensor product is a pair (U  V, ). The former is called the tensor product of U with V, and  is loosely termed the tensor product. Clearly,  is the most general sort of product possible in the present  Once situation because all other products can be expressed in terms of it by means of linear operators B. again, the colloquial use of the word ‘‘product’’ is to be identified with the more precise algebraic notion of bilinear operation. In this way the tensor product becomes a sort of ‘‘grandfather’’ for all vector products. Tensor products can be constructed for arbitrary vector spaces. They are not, however, unique. For instance, if U  V has finite dimension, then W obviously can be replaced by any other F-vector space of the same dimension, and  can be adjusted by a vector space isomorphism. Here, the term ‘‘isomorphism’’ denotes an invertible linear operator between the two spaces in question. It can also be said that the two tensor product spaces U  V and W are isomorphic to each other. Whatever the terminology chosen, the basic idea is that the two spaces are essentially the same within the axiomatic framework in use.

2.5 Basis Tensors Attention is now focused on the case in which U and V are finite-dimensional vector spaces over the field F. Suppose that {u1, u2, . . . , um} is a basis for U and {v1, v2, . . . , vn} is a basis for V. Consider the following vectors u1  v1

u1  v2    u1  vn

u2  v1    um  vn

(2:10)

which can be represented in the manner {ui  vj, i ¼ 1, 2, . . . , m; j ¼ 1, 2, . . . , n}. These vectors form a basis for the vector space U  V. To understand the motivation for this, note that vectors in U and V, respectively, can be written uniquely in the following forms u¼

m X

fi ui

(2:11)

g j vj

(2:12)

i¼1



n X j¼1

Recall that , which is an alternate notation for b, is a bilinear operator. It follows then that uv ¼

m X n X i¼1

fi gj ui  vj

(2:13)

j¼1

which establishes that the proposed basis vectors certainly span the image of , and thus that they span the tensor product space U  V. It also can be shown that the proposed set of basis vectors is linearly independent. However, in the interest of brevity for this summary exposition, the details are omitted. From this point onward, inasmuch as the symbol  has replaced b, it will be convenient to use b in  It is hoped that this leads to negligible confusion. Thus, in the sequel b place of b and B in place of B. refers simply to a bilinear operator and B to its induced linear counterpart.

Example Consider the bilinear form b: R2 3 R3 ! R with action defined by b( f1 , f2 , g1 , g2 , g3 ) ¼ 2f2 g3

(2:14)

Fundamentals of Circuits and Filters

2-6 Observe that this can be put into the more transparent form  ½ f1

f2 

0 0

0 0

2 3  g1 0 4 5 g2 2 g3

(2:15)

which, in turn, can be written in the compact notation uTMv. Clearly, U has dimension two, and V has dimension three. Thus, U  V has a basis with six elements. The operator b maps into R, which has a basis with one element. All bases are chosen to be standard. Thus, an ith basis vector contains the multiplicative field element 1 in its ith row, and the additive field element 0 in its other rows. Therefore, the matrix of B has one row and six columns. To compute the entries, it is necessary to agree upon the order of the basis elements in R2  R3. It is customary to choose the natural ordering as introduced previously u1  v1

u1  v2

u1  v3

u2  v1

u2  v2

u2  v3

(2:16)

The coordinate h1 associated with the basis vector [1] in R, considered to be a vector space, is given by h1 ¼ b(1, 0, 1, 0, 0) ¼ 0

(2:17)

when u and v are given by the respective first basis vectors in R2 and R3, respectively,   1 u¼ 0 2 3 1 v ¼ 405 0

(2:18)

(2:19)

Similarly, for the other five pairings in order, one obtains h1 ¼ b(1, 0, 0, 1, 0) ¼ 0

(2:20)

h1 ¼ b(1, 0, 0, 0, 1) ¼ 0

(2:21)

h1 ¼ b(0, 1, 1, 0, 0) ¼ 0

(2:22)

h1 ¼ b(0, 1, 0, 1, 0) ¼ 0

(2:23)

h1 ¼ b(0, 1, 0, 0, 1) ¼ 2

(2:24)

in order. In view of these calculations, together with the definitions of matrices in Chapter 1, it follows that the matrix description of B : R2  R3 ! R is given by [B] ¼ ½ 0

0

0

0

0 2

(2:25)

Observe that all the numerical information concerning B has been arrayed in [B]. It becomes increasingly clear then that such numerical entries define all possible bilinear forms of this type.

Example In order to generalize the preceding example, one has only to be more general in describing the matrix of M. Suppose that

Bilinear Operators and Matrices

2-7 

m12 m22

m11 [M] ¼ m21

m13 m23

 (2:26)

so that the bilinear operator b has action  m11 f2  m21

b( f1 , f2 , g1 , g2 , g3 ) ¼ ½ f1

2 3  g1 m13 6 7 4 g2 5 m23 g3

m12 m22

(2:27)

Thus, it is easy to determine that [B] ¼ ½ m11

m12

m13

m21

m22

m23 

(2:28)

The two examples preceding help in visualizing the linear operator B by means of its matrix. They do not, however, contribute to the understanding of the nature of the tensor product of two vectors. For that purpose, it is appropriate to carry the examples a bit further.

Example The foregoing example presents the following representations   f1 f2

(2:29)

3 g1 6 7 4 g2 5 g3

(2:30)

for u and 2

for v. From the development of the ideas of the tensor product, it was established that b(u, v) ¼ B(u  v). The construction of u  v proceeds according to definition in the manner

uv ¼

2 X

! fi ui

3 X



i¼1

¼

gj vj

(2:31)

j¼1

2 X 3 X i¼1

!

fi gj ui vj

(2:32)

j¼1

From this and the basis ordering chosen above, it is clear that the representation of u  v is given by [u  v] ¼ [ f1 g1

f1 g2

f1 g3

f2 g1

f2 g2

The total picture for the tensor representation of b(u, v), then, is

f2 g3 ]T

(2:33)

Fundamentals of Circuits and Filters

2-8

b( f1 , f2 , g1 , g2 , g3 ) ¼

 T  f1 m11 f2

m21

m12 m22

2 3  g1 m13 6 7 4 g2 5 m23 g3

¼ ½ m11

m12

m13

m21

m22

¼ ½ m11

m12

m13

m21

m22

(2:34) 0 2 31 g1   B f1 6 7C  4 g2 5A m23 @ f2 g3 3 2 f1 g1 7 6 6 f1 g2 7 7 6 6 f1 g3 7 7 6 m23 6 7 6 f2 g1 7 7 6 7 6 4 f2 g2 5

(2:35)

(2:36)

f2 g3

The reader should have no difficulty in extending the notions of these examples to cases in which the dimensions of U and V differ from those used here. The extension to an X with dimension larger than 1 is similar in nature, and can be carried out row by row.

Example Another sort of example, which is likely to be familiar to most readers, is the formation of the ordinary matrix product m(P, Q) ¼ PQ for compatible matrices P and Q over the field F. Clearly, the matrix product m is a bilinear operator. Thus, a linear operator M exists that has the property m(P, Q) ¼ M(P  Q)

(2:37)

The matrix P  Q is known in the applications as the Kronecker product. If the basis vectors are chosen in the usual way, then its computation has the classical form. Thus, the Kronecker product of two matrices is seen to be the most general of all such products. Indeed, any other product, including the usual matrix product, can be found from the Kronecker product by multiplication with a matrix.

2.6 Multiple Products It may happen that more than two vectors are multiplied together. Thus, certain famous and well-known field formulas include both crosses and dots. While the notion of multiple products is part and parcel of the concept of ring, so that no further adjustments need to be made there, one must undertake the question of how these multiple products are reflected back into the tensor concept. The purpose of this section, therefore, is to sketch the major ideas concerning such questions. A basic and natural step is the introduction of a generalization of bilinear operators. For obvious reasons, not the least of which is the finite number of characters in the alphabet, it is now necessary to modify notation so as to avoid the proliferation of symbols. With regard to the foregoing discussion, the modification, which is straightforward, is to regard a bilinear operator in the manner b: U1 3 U2 ! V in place of the previous U 3 V ! W. Generalizing, consider p F-vector spaces Ui, i ¼ 1, 2, . . . , p. Let m: U1 3 U2 3    3 Up ! V be an operator that satisfies the following condition i , uiþ1 , . . . , up ) ¼ fi m(u1 , u2 , . . . , ui1 , ui , uiþ1 , . . . up ) m(u1 , u2 , . . . , ui1 , fi ui þ fi u i , uiþ1 , . . . up ) þ fi m(u1 , u2 , . . . , ui1 , u

(2:38)

Bilinear Operators and Matrices

2-9

i in Ui. Thus, m is said to be a p-linear operator. for i ¼ 1, 2, . . . , p, for all fi and f i in F, and for all ui and u Observe in this definition that when p  1 of the arguments of m are fixed, m becomes a linear operator in the remaining argument. Clearly, the bilinear operator is a special case of this definition, when p ¼ 2. Moreover, the definition captures the intuitive concept of multiplication in a precise algebraic sense. Next, the notion of tensor product is extended in a corresponding way. To do this, suppose that m and V satisfy two conditions. The first condition is that V is the smallest F-vector space that contains the image of m. Equivalently, V is the F-vector space generated by the image of m. Recall that the image of m is not equal to V, even in the bilinear case p ¼ 2. The second condition is that   1 , u2 , . . . , up ) ¼ M(m(u m(u 1 , u2 , . . . , up ))

(2:39)

where  V ! W is a linear operator M: W is an F-vector space  U1 3 U2 3    3 Up ! W is an arbitrary p-linear operator m: If m satisfies these two conditions, the action of m is more traditionally written in the manner m(u1 , u2 , . . . , up ) ¼ u1  u2      up

(2:40)

and the space V is given by the notation V ¼ U1  U2      Up

(2:41)

Once again, existence of the tensor product pair (m, V) is not a problem, and the same sort of uniqueness holds, that is, up to isomorphism. It is now possible to give a major example of the multiple product idea. The general import of this example far exceeds the interest attached to more elementary illustrations. Therefore, it is accorded its own section. The reason for this will shortly become obvious.

2.7 Determinants The body of knowledge associated with the theory of determinants tends to occupy a separate and special part of the memory which one reserves for mathematical knowledge. This theory is encountered somewhat indirectly during matrix inversion, and thus is felt to be related to the matrix algebra. However, this association can be somewhat misleading. Multiplication in the matrix algebra is really a multiplication of linear operators, but determinants are more naturally seen in terms of multiplication of vectors. The purpose of this section is to make this idea apparent, and to suggest that a natural way to correlate this body of knowledge is with the concept of an algebra constructed upon a given F-vector space. As such, it becomes a special case of the ideas previously introduced. Fitting determinants into the larger picture is then much less of a challenge than is usually the case, which can save precious human memory. Consider at the outset a square array of field elements from F, denoted customarily by 0

d11 B d21 B D¼B . @ .. dp1

d12 d22 .. . dp2

1    d1p    d2p C C .. C .. . A .    dpp

(2:42)

The determinant of D will be denoted by det(D). It is assumed that all readers are comfortable with at least one of the algorithms for computing det(D). The key idea about det(D) is that it is a p-linear

Fundamentals of Circuits and Filters

2-10

operator on its columns or upon its rows. In fact, two of the three classical properties of determinants are tantamount precisely to this statement. The third property, which concerns interchanging columns or rows, is also of great interest here (see below). Without loss of generality, suppose that det(D) is regarded as p-linear function of its columns. If the columns, in order, are denoted by d1, d2, . . . , dp, then it is possible to set up a p-linear operator m(d1 , d2 , . . . , dp ) ¼ det (D)

(2:43)

Accordingly, tensor theory indicates that this operator can be expressed in the manner m(d1 , d2 , . . . , dp ) ¼ M(d1  d2      dp )

(2:44)

It is interesting to inquire about the nature of the matrix [M]. In order to calculate [M], it is necessary to select bases Ui, i ¼ 1, 2, . . . , p. In this case it is possible to identify Ui for each i with a fixed space U of dimension p. Let {u1, u2, . . . , up} be a basis for U and represent this basis by the standard basis vectors {e1, e2, . . . , ep} in Fp. Moreover, select a basis for F and represent it by the multiplicative unit 1 in F. Then the elements of [M] are found by calculating det (ei1

ei 2



ei p )

(2:45)

for all sequences i1i2 . . . ip in the increasing numerical order introduced earlier. Thus if p ¼ 3, this set of sequences is 111, 112, 113, 121, 122, 123, 131, 132, 133, 211, 212, 213, 221, 222, 223, 231, 232, 233, 311, 312, 313, 321, 322, 323, 331, 332, 333.

Example For the case p ¼ 3 described previously, it is desired to calculate [M]. The first few calculations are given by det (e1

e1

e1 ) ¼ 0

(2:46)

det (e1

e1

e2 ) ¼ 0

(2:47)

det (e1

e1

e3 ) ¼ 0

(2:48)

det (e1

e2

e1 ) ¼ 0

(2:49)

det (e1

e2

e2 ) ¼ 0

(2:50)

det (e1

e2

e3 ) ¼ þ1

(2:51)

det (e1

e3

e1 ) ¼ 0

(2:52)

det (e1

e3

e2 ) ¼ 1

(2:53)

det (e1

e3

e3 ) ¼ 0

(2:54)

det (e2

e1

e1 ) ¼ 0

(2:55)

det (e2

e1

e2 ) ¼ 0

(2:56)

det (e2

e1 .. .

e3 ) ¼ 1

(2:57)

Bilinear Operators and Matrices

2-11

Rather than providing the entire list in this form, it is easier to give the elements in the right members of the equations. Employing determinant theory, it follows that those sequences with repeated subscripts correspond to 0. Moreover, interchanging two columns changes the sign of the determinant, the third property mentioned previously. Thus, the desired results are 0, 0, 0, 0, 0, þ 1, 0,  1, 0, 0, 0,  1, 0, 0, 0, þ 1, 0, 0, 0, þ 1, 0,  1, 0, 0, 0, 0, 0

(2:58)

Then, [M] is a row matrix having these numerical entries. It is 1 3 27.

Example The preceding example indicates that the formation of the determinant in tensor notation results in the appearance of numerous multiplications by zero. This is inefficient. Moreover, if all the zero entries in [M] are dropped, the result is a product of the form 3 d11 d22 d33 6 d11 d32 d23 7 7 6 6d d d 7 6 21 12 33 7  1]6 7 6 d21 d32 d13 7 7 6 4 d31 d12 d23 5 d31 d22 d13 2

[þ1

1

1

þ1

þ1

(2:59)

easily seen to be the standard formula for classical calculation of the determinant. In view of this result, one immediately wonders what to do about all the dropped zeros. The following section shows how to do away with all the zeros. In the process, however, more things happen than might have been anticipated; as a result, an entirely new concept appears.

2.8 Skew Symmetric Products The determinant is an instance of skew symmetry in products. Consider a p-linear operator m: U1 3 U2 3    3 Up ! V with the property that each interchange of two arguments changes the sign of the result produced by m. Thus, for example, m(u1 , . . . , ui1 , ui , . . . , uj1 , uj , . . . , up ) ¼ m(u1 , . . . , ui1 , uj , . . . , uj1 , ui , . . . , up )

(2:60)

If a list of k interchanges is performed, the sign is changed k times. Such an operator is described as skew symmetric. Provided that only skew symmetric multiplications are of interest, the tensor construction can be streamlined. Let (mskewsym, V) be a pair consisting of a skew symmetric p-linear operator and an F-vector space V. This pair is said to constitute a skew symmetric tensor product for the F-vector spaces U1, U2, . . . ,Up, if two conditions hold. The reader can probably guess what these two conditions are. Condition 1 is that V is the F-vector space generated by the image of mskewsym. Condition 2 is the  skewsym: U1 3 U2 3    3 Up ! W, a linear property that for every skew symmetric p-linear operator m  operator M skewsym: V ! W exists having the feature  skewsym (mskewsym (u1 , u2 , . . . , up ))  skewsym (u1 , u2 , . . . , up ) ¼ M m

(2:61)

If these two conditions hold for the pair (mskewsym, V), then the custom is to write mskewsym (u1 , u2 , . . . , up ) ¼ u1 ^ u2 ^    ^ up

(2:62)

Fundamentals of Circuits and Filters

2-12

for the action of mskewsym and V ¼ U1 ^ U2 ^    ^ Up

(2:63)

for the product of the vector spaces involved. Once again, this skew symmetric tensor product exists, and is unique in the usual way. Now suppose that Ui ¼ U, i ¼ 1, 2, . . . , p and that {u1, u2, . . . , up} is a basis for U. It is straightforward to show that ui1 ^ ui2 ^    ^ uip vanishes whenever two of its arguments are equal. Without loss, assume that uij ¼ uik ¼ u. If uij and uik are switched, the sign of the product must change. However, after the switch, the argument list is identical to the previous list. Because the only number whose value is unchanged after negation is zero, the conclusion follows. Accordingly, the basis U1 ^ U2 ^    ^ Up is the family {ui1 ^ ui2 ^    ^ uip }

(2:64)

where each i1 i2    ip consists of p distinct nonzero natural numbers, and where the ordinary convention is to arrange the {ik} so as to increase from left to right. A moment’s reflection shows that only one such basis element can exist, which is u1 ^ u2 ^    ^ up. Thus, if p ¼ 4, the basis element in question is u1 ^ u2 ^ u3 ^ u4. If we return to the example of the determinant, and regard it as a skew symmetric p-linear operator, then the following representation mskewsym (d1 , d2 , . . . , dp ) ¼ Mskewsym (d1 ^ d2 ^    ^ dp )

(2:65)

is obtained. Next observe that each of the p columns of the array D can be written as a unique linear combination of the basis vectors {u1, u2, . . . , up} in the manner dj ¼

p X

dij ui

(2:66)

i¼1

for j ¼ 1, 2, . . . , p. Then, it follows that d1 ^ d2 ^    ^ dp is given by p X p X i1 ¼1 i2 ¼1



p X

di1 1 di2 2    dip p ui1 ^ ui2 ^    ^ uip

(2:67)

ip ¼1

which is a consequence of the fact that ^ is a p-linear operator. The only nonzero terms in this p-fold summation are those for which the indices {ik, k ¼ 1, 2, . . . , p} are distinct. The reader will correctly surmise that these terms are the building blocks of det(D). Indeed, d1 ^ d2 ^    ^ dp ¼ det (D)u1 ^ u2 ^    ^ up

(2:68)

and, if U is Rp with the {ui} chosen as standard basis elements, then d1 ^ d2 ^    ^ dp ¼ det (D)

(2:69)

because u1 ^ u2 ^    ^ up becomes 1 in F. Moreover, it is seen from this example how the usual formula for det(D) is altered if the columns of D are representations with respect to a basis other than the standard basis. In turn, this shows how the determinant changes when the basis of a space is changed. The main idea is that it changes by a constant that is constructed from the determinant whose columns are the corresponding vectors of the alternate basis. Finally, because this new basis is given by an

Bilinear Operators and Matrices

2-13

invertible linear transformation from the old basis, it follows that the determinant of the transformation is the relating factor. It can now be observed that the change from a tensor product based upon  to a tensor product based upon ^ has indeed eliminated the zero multiplications associated with skew symmetry. However, and this could possibly be a surprise, it has reduced everything to one term, which is the coordinate relative to the singleton basis element in a tensor product space of dimension one. This may be considered almost a tautology, except for the fact that it produces a natural generalization of the determinant to arrays in which the number of rows is not equal to the number of columns. Without loss, assume that the number of columns is less than the number of rows.

Example Consider, then, an array of field elements from F, with fewer columns than rows, denoted by 2

d11 6 d21 6 D¼6 . 4 ..

dq1

d12 d22 .. .

dq2

  .. . 

3 d1p d2p 7 7 .. 7 . 5

(2:70)

dqp

where p < q. The apparatus introduced in this section still permits the formation of a skew symmetric p-linear operation in the manner d1 ^ d2 ^    ^ dp. This is a natural generalization in the sense that the ordinary determinant is recovered when p ¼ q. Moreover, the procedure of calculation is along the same lines as before, with the following representations dj ¼

q X

dij ui

(2:71)

i¼1

for j ¼ 1, 2, . . . , p. Note that the upper limit on the summation has changed from p to q. The reader will observe, then, that d1 ^ d2 ^    ^ dp can be found once again by the familiar step q X q X i1 ¼1 i2 ¼1



q X ip ¼1

di1 1 di2 2    dip p ui1 ^ ui2 ^    ^ uip

(2:72)

which is a consequence once again of the fact that ^ is a p-linear operator. In this case, however, there is more than one way to form nonzero products in the family {ui1 ^ ui2 ^    ^ uip }

(2:73)

in which i1 i2  ip contains p distinct numbers, and where the traditional convention is to arrange the {ik} so that the numbers in each list are increasing, while the numbers which these sequences represent are also increasing. This verbiage is best illustrated quickly.

Example Suppose that p ¼ 3 and q ¼ 4. Thus, the sequences i1i2i3 of interest are 123, 124, 134, 234. It can be observed that each of these sequences describes a 3 3 3 subarray of D in which the indices are associated with rows. In a sense these subarrays lead to all the interesting 3 3 3 minors of D, inasmuch as all the others are either zero or negatives of these four. Some of these designated four minors could also be zero, but that would be an accident of the particular problem instead of a general feature.

Fundamentals of Circuits and Filters

2-14

Example This example investigates in greater detail the idea of q > p. Suppose that p ¼ 2 and q ¼ 3. Further, let the given array be 0

d11 D ¼ @ d21 d31

1 d12 d22 A d32

(2:74)

Choose the standard basis {e1, e2, e3} for U ¼ F3. Then, d1 ¼ d11 e1 þ d21 e2 þ d31 e3

(2:75)

d2 ¼ d12 e1 þ d22 e2 þ d32 e3

(2:76)

from which one computes that d1 ^ d2 ¼ (d11 e1 þ d21 e2 þ d31 e3 ) ^ (d12 e1 þ d22 e2 þ d32 e3 ) ¼ (d11 d22  d21 d12 )e1 ^ e2 þ (d11 d32  d31 d12 )e1 ^ e3 þ (d21 d32  d31 d22 )e2 ^ e3

(2:77) (2:78)

that evidently can be rewritten in the form   d11 d12 d1 ^ d2 ¼ det e1 ^ e2 d21 d22   d11 d12 e1 ^ e3 þ det d31 d32   d21 d22 e2 ^ e3 þ det d31 d32

(2:79)

making clear the idea that the 2 3 2 minors of D become the coordinates of the expansion in terms of the basis {e1 ^ e2, e1 ^ e3, e2 ^ e3} for R3 ^ R3.

2.9 Solving Linear Equations An important application of Section 2.8 is to relate the skew symmetric tensor algebra to one’s intuitive idea of matrix inversion. Consider the following linear equation 2

d11 6 d21 6 6 . 6 . 4 . dp1

d12 d22 .. . dp2

32 3 2 3    d1p x1 c1 6x 7 6c 7    d2p 7 76 2 7 6 2 7 6 7 6 7 .. 7 .. 76 . 7 ¼ 6 . 7 . 54 .. 5 4 .. 5 . xp cp    dpp

(2:80)

With the aid of the usual notation for columns of D, rewrite this equation in the manner p X i¼1

xi di ¼ c

(2:81)

Bilinear Operators and Matrices

2-15

where c is a vector whose ith element is ci. To solve for xk, multiply both members of this equation by the quantity d1 ^ d2 ^    ^ dk1 ^ dkþ1 ^    ^ dp

(2:82)

which will be denoted by dk. This multiplication can be done either on the left or the right, and the vector product which is used is ^. Multiplying on the left provides the result xk dk ^ dk ¼ dk ^ c

(2:83)

Now if det(D) is not zero, then this equation solves for xk ¼ (dk ^ c)=(dk ^ dk )

(2:84)

and this is essentially Cramer’s rule. Using this rule conventionally one performs enough interchanges so as to move c to the kth column of the array. If these interchanges are performed in an analogous way with regard to dk in the denominator, the traditional form of the rule results. This approach to the result shows that the solution proceeds by selecting multiplication by a factor which annihilates all but one of the terms in the equation, where each term is concerned with one column of D. This is simply a new way of viewing an already known result. However, the treatment of Section 2.8 suggests the possibility of extending the construction to the case in which are found more unknowns than equations. The latter procedure follows via a minor adjustment of the foregoing discussion, and thus it seems instructive to illustrate the steps by means of an example.

Example Consider the problem corresponding to q ¼ 3 and p ¼ 2 and given by three equations in two unknowns as follows: 2

1 43 5

3 2 3 2   7 x 45 1 ¼ 485 x2 6 9

(2:85)

Begin by rewriting the equation in the form 2 3 2 3 2 3 1 2 7 x1 4 3 5 þ x2 4 4 5 ¼ 4 8 5 5 6 9

(2:86)

To solve for x2, multiply from the left with [1 3 5]T. This gives 2 3 2 3 2 3 2 3 1 2 1 7 x2 4 3 5 ^ 4 4 5 ¼ 4 3 5 ^ 4 8 5 6 5 9 5

(2:87)

which then implies 3 3 2 13 2 x2 4 4 5 ¼ 4 26 5 13 6 2

(2:88)

Fundamentals of Circuits and Filters

2-16

which implies that x2 ¼ 13=2. Next, consider a left multiplication by [2

4

6]T. Therefore,

2 3 2 3 2 3 2 3 2 1 2 7 x1 4 4 5 ^ 4 3 5 ¼ 4 4 5 ^ 4 8 5 6 5 6 9

(2:89)

which then implies 3 2 3 2 12 2 x1 4 4 5 ¼ 4 24 5 12 2

(2:90)

which implies that x1 ¼ 6. It is easy to check that these values of x1 and x2 are the unique solution of the equation under study.

The reader is cautioned that the construction of the preceding example produces necessary conditions on the solution. If any of these conditions cannot be satisfied, no solution can be found. On the other hand, if solutions to the necessary conditions are found, we must check that these solutions satisfy the original equation. Space limitations prevent any further discussion of this quite fascinating point.

2.10 Symmetric Products The treatment presented in Section 2.8 has a corresponding version for this section. Consider a p-linear operator m: U1 3 U2 3    3 Up ! V with the property that each interchange of two arguments leaves the result produced by m unchanged. Symbolically, this is expressed by m(u1 , . . . , ui1 , ui , . . . , uj1 , uj , . . . , up ) ¼ m(u1 , . . . , ui1 , uj , . . . , uj1 , ui , . . . , up )

(2:91)

Such an operator is said to be symmetric. If only symmetric multiplications are of interest, the tensor construction can once again be trimmed to fit. Let (msym, V) be a pair consisting of a symmetric p-linear operator and an F-vector space V. This pair is said to constitute a symmetric tensor product for the F-vector spaces U1, U2, . . . , Up if two conditions hold. First, V is the F-vector space generated by the image of msym; and, second, for every symmetric  sym: V ! W exists such that  sym: U1 3 U2 3   3 Up ! W, linear operator M p-linear operator m  sym (msym (u1 , u2 , . . . , up ))  sym (u1 , u2 , . . . , up ) ¼ M m

(2:92)

msym (u1 , u2 , . . . , up ) ¼ u1 _ u2 _    _ up

(2:93)

In such a case, one writes

to describe the action of msym and V ¼ U1 _ U2 _    _ Up

(2:94)

for the symmetric tensor product of the vector spaces involved. As before, this symmetric tensor product exists and is essentially unique. Next, let Ui ¼ U, i ¼ 1, 2, . . . , p, and {u1, u2, . . . , up} be a basis for U. Because the interchange of two arguments does not change the symmetric p-linear operator, the basis elements are characterized by the family {ui1 _ ui2 _    _ uip }

(2:95)

Bilinear Operators and Matrices

2-17

where each i1i2 . . . ip consists of all combinations of p nonzero natural numbers, written in increasing order, and where the ordinary convention is to arrange the basis vectors so that the numbers i1i2 . . . ip increase. Unlike the skew symmetric situation, quite a few such basis vectors can, in general, exist. For instance, the first basis element is u1 _ u1 _    _ u1 with p factors and the last one is up _ up _    _ up, again with p factors.

Example Suppose that p ¼ 3 and that the dimension of U is 4. The sequences i1i2i3 of interest in the representation of symmetric p-linear products are 111, 112, 113, 114, 122, 123, 124, 133, 134, 144, 222, 223, 224, 233, 234, 244, 333, 334, 344, 444. Section 2.7 showed a related example which produced 27 basis elements for the tensor product space built upon . In this case, it would be 64. The same situation in Section 2.8 produced four basis elements for a tensor product space constructed with ^. Twenty basis elements are found for the tensor product space produced with _. Notice that 20 þ 4 6¼ 64. This means that the most general space based on  is not just a direct sum of those based on ^ and _.

Example For an illustration of the symmetric product idea, choose U ¼ R2 and form a symmetric bilinear form in the arrangement of a quadratic form uTMu:  T  f m11 msym ( f1 , f2 ) ¼ 1 f2 m21

m12 m22

  f1 f2

(2:96)

A word about the matrix M is in order. Because of the relationship 1 1 M ¼ (M þ MT ) þ (M  MT ) 2 2

(2:97)

it is easy to see that M may be assumed to be symmetric without loss of generality, as the remaining term in this representation of msym ( f1, f2) leads to a zero contribution in the result. Thus, one is concerned with a natural candidate for the symmetric tensor mechanism. The tensor construction begins by considering     f f1 _ 1 f2 f2

(2:98)

Choose a standard basis {e1, e2} for R2. Then the expression introduced previously becomes 2 X 2 X i¼1

fi fj ei _ ej

(2:99)

j¼1

which becomes f12 e1 _ e1 þ 2f1 f2 e1 _ e2 þ f22 e2 _ e2

(2:100)

The result may be represented with the matrix 

f12

2f1 f2

f22

T

(2:101)

Fundamentals of Circuits and Filters

2-18

Because p ¼ 2, the basis vectors of interest are seen to be {e1 _ e1, e1 _ e2, e2 _ e2}. Inserted into the expression for msym, these produce the results m11, m12 ¼ m21, m22, respectively. Thus, [Msym ] ¼ [m11

m12

m22 ]

(2:102)

Finally, the symmetric tensor expression for msym is 3 f12 7 6 m22 ]4 2f1 f2 5 f22 2

msym ( f1 , f2 ) ¼ [m11

m12

(2:103)

Example If M, as in the previous example, is real and symmetric, then it is known to satisfy the equation ME ¼ EL

(2:104)

where E is a matrix of eigenvectors of M, satisfying ETE ¼ I, and L is a diagonal matrix of eigenvalues {li}, which are real. Then, M ¼ ELE T

(2:105)

uT Mu ¼ [E T u]T L[E T u]

(2:106)

and the quadratic form uTMu becomes

¼

p X

li [E T u]i

(2:107)

i¼1

When one considers u to be an arbitrary vector in Rp, this quadratic form is nonnegative for all u if and only if the {li} are nonnegative and is positive for all nonzero u if and only if the {li} are positive. M is then nonnegative definite and positive definite, respectively.

Example With reference to the preceding example, it is sometimes of interest to choose U ¼ Cp, where the reader is reminded that C denotes the complex numbers. In this case a similar discussion can be carried out, with M as a complex matrix. The quadratic form must be set up a bit differently, with the structure u*Mu, in which superscript * denotes a combined transposition and conjugation. Also, without loss one can assume that M* ¼ M. A common instance of this sort of situation occurs when M is a function M(s) of the Laplace variable s, which is under consideration on the axis s ¼ jv.

2.11 Summary The basic idea of this chapter is to examine the axiomatic framework for equipping an F-vector space V with a vector multiplication, and thus developing it into an algebra. As vector multiplication is manifestly a nonlinear operation, a very useful matrix theory can be developed for such multiplications. The treatment is based upon notions of algebraic tensor products from which all other multiplications can

Bilinear Operators and Matrices

2-19

be derived. The authors demonstrate that the determinant is nothing but a product of vectors, with a special skew symmetric character attached to it. Specializations of the tensor product to such cases, and to the analogous case of symmetric products, were discussed. As a final remark, it should be mentioned that tensor products develop into a complete algebra of their own. Although space does not permit treatment here, note that the key idea is the definition (v1      vp )  (vpþ1      vq ) ¼ v1      vq

Reference 1. W. H. Greub, Multilinear Algebra, New York: Springer-Verlag, 1967.

(2:108)

3 Laplace Transformation 3.1 3.2

Introduction ................................................................................ 3-1 Motivational Example............................................................... 3-2 Series RLC Circuit . Homogeneous Solution and the Natural Response . Nonhomogeneous Solution and the Forced Response . Total Solution . Scrutinizing the Solution . Generalizing the Phasor Concept: Onward to the Laplace Transform

3.3

Formal Developments............................................................... 3-8 Definitions of the Unilateral and Bilateral Laplace Transforms . Existence of the Laplace Transform . Example of Laplace Transform Computations and Table of Unilateral Laplace Transforms . Poles and Zeros—Part I . Properties of the Laplace Transform . Inverse Laplace Transform

3.4

Laplace Transform Analysis of Linear Systems ................ 3-32 Solution of the System Differential Equation . System Function . Poles and Zeros—Part II: Stability Analysis of Systems . Laplace-Domain Phasor Circuits

3.5 3.6 3.7

John R. Deller, Jr.

Michigan State University

Conclusions and Further Readings...................................... 3-42 Appendix A: The Dirac Delta (Impulse) Function.......... 3-42 Appendix B: Relationships among the Laplace, Fourier, and z-Transforms..................................................... 3-44 References ............................................................................................ 3-45

3.1 Introduction The Laplace transform (LT) is the cornerstone of classical circuits, systems, and control theory. Developed as a means of rendering cumbersome differential equation solution simple algebraic problems, the engineer has transcended this original motivation and has developed an extensive toolbag of analysis and design methods based on the ‘‘s-plane.’’ After a motivating differential equation (circuit) problem is presented, this chapter introduces the formal principles of the LT, including its properties and methods for forward and inverse computation. The transform is then applied to the analysis of circuits and systems, exploring such topics as the system function and stability analysis. Two appendices conclude the chapter, one of which relates the LT to other signal transforms to be covered in this book.

3-1

Fundamentals of Circuits and Filters

3-2

3.2 Motivational Example 3.2.1 Series RLC Circuit Let us motivate our study of the LT with a simple circuit example. Consider the series RLC circuit shown in Figure 3.1, in which we leave the component values unspecified for the moment. With the input and output to the circuit taken to be the voltages x and y, respectively, the input–output dynamics of this circuit are found to be governed by a linear, constant-coefficient differential equation x(t) ¼ LC

d2 y dy þ RC þ y(t) dt 2 dt

(3:1)

This equation arises from a circuit example, but is typical of many second-order systems arising in mechanical, fluid, acoustic, biomedical, chemical, and other engineering models. We can, therefore, view the circuit as a ‘‘system,’’ and the theory explored here as having broad applicability in modeling and analysis of systems. Suppose we are asked to find the complete solution for the output voltage y, given input x(t) ¼ Mx esx t cos (vx t þ ux )u(t)

(3:2)

in which u denotes the unit step function, def

u(t) ¼ {0, t < 0

1=2, t ¼ 0

1, t > 0}

(3:3)

For convenience and without loss of generality we assume that Mx > 0. The initial conditions (at time t(0)) on the circuit are given to be* i(0) ¼ i0 and y(0) ¼ y0 where y0 and i0 are known quantities.

3.2.2 Homogeneous Solution and the Natural Response The homogeneous or complementary solution of the differential equation, say ~y, represents the natural, or unforced, response of the system. The natural response occurs because of the inequity between the initial conditions and the conditions imposed upon the system by the input at the instant it is applied. The system must adjust to these new conditions and will do so in accordance with its own physical properties (e.g., circuit values). For stable systems (see Section 3.4.3), the natural response will consist of transients, signals which decay exponentially with time. The unforced response will always be present unless the system is stable, and either the input was applied at time t ¼ 1 (transient will have diminished in the infinite time interval prior to R + + L time zero) or the initial conditions on the system C exactly nullify the ‘‘shock’’ of the input so that a y (t) x (t) transient adjustment is not necessary. The form of – – the natural response (homogeneous solution) is not dependent on the input (except for its use in determining changes around time t ¼ 0), but rather on FIGURE 3.1 Series RLC circuit example. the inherent properties of the system.

* The notations 0þ and 0 indicate limits at t ¼ 0 from the right and left, respectively. That y(0þ) ¼ y(0), for example, indicates continuity of y(t) at t ¼ 0.

Laplace Transformation

3-3

The homogeneous solution is found by initially seeking the input-free response which may be written as def

0 ¼ (LC$2 þ RC$ þ 1)y(t) with $i ¼

di dt i

(3:4)

The characteristic equation is therefore 0 ¼ (LCp2 þ RCp þ 1)

(3:5)

Solving for the roots, we find p 1 , p2 ¼

RC 

rffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffi pffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffi R2 C2  4LC R R2 1 ¼   2LC 4L2 LC 2L

(3:6)

In general, p1 and p2 can be real and unequal (overdamped case), equal and real (critically damped case), or complex conjugates (underdamped case). Except in the critically damped case (in which R2C2 ¼ 4LC so that two identical real roots are found), the homogeneous solution takes the form. ~y(t) ¼ Aep1 t þ Bep2 t

(3:7)

with A and B to be specified at the end of the solution. For the sake of discussion, assume the underdamped case in which the natural response will be oscillatory, corresponding to a complex-conjugate pair of roots of Equation 3.5. In this case we def have R2C2 < 4LC and p2 ¼ p1 . Let us define ph ¼ p1 , so the two roots are ph and ¼ ph . The meaning of the subscript ‘‘h’’ will become clear later. Some manipulation of Equation 3.7 will simplify our future work. We have 

~y(t) ¼ Aeph t þ Beph t

(3:8)

We observe that A and B must be complex conjugates if ~y is to be a real signal. Thus, we write ~y(t) ¼ Aeph t þ A eph t

(3:9)

After some manipulation using Euler’s relation, eja ¼ cos(a) þ j sin(a), we have ~y(t) ¼ 2Are esh t cos (vh t)  2Aim esh t sin (vh t) ¼ 2jAjesh t cos (vh t þ uA )

(3:10)

where A ¼ Are þ jAim ¼ jAj ejuA and ph ¼ sh þ jvh. The numbers Are and Aim, or, equivalently, jAj and uA, are to be determined later.* Note that the number ph is often called the complex frequency associated with the dampedy sinusoid. The complex frequency is simply a convenient mathematical way to hold the damping and frequency information in one quantity. Later in the chapter we see that ph is also a ‘‘pole’’ of the system being analyzed.

* In fact, because Are and Aim are unknowns, we could replace 2Are, 2Aim, and 2jAj with some simpler notations if desired. y We use the term ‘‘damping’’ to refer to the real part of any complex frequency, ‘‘s,’’ with the understanding that two other cases are actually possible: If s ¼ 0 the signal is undamped, and if s > 0, the signal is exponentially increasing.

Fundamentals of Circuits and Filters

3-4

3.2.3 Nonhomogeneous Solution and the Forced Response The nonhomogeneous, or particular solution, say y, represents the system’s forced, or driven, response. For certain prevalent types of inputs, the forced response represents an attempt to ‘‘track’’ the forcing function in some sense. If the natural response in a particular problem is transient and the forced response is not, then a ‘‘long time’’ (theoretically, t ! 1) after the input is applied, only this ‘‘tracking’’ response will remain. In the present circuit example the forced response is uniquely present as t ! 1 if sh < 0 (natural response exponentially decays) and sx  0 (forcing function persists in driving the circuit for all time). Further, in the special case in which the forcing function is a periodic or constant signal (an undamped sinusoid, undamped complex exponential, or a constant (in each case sx ¼ 0)), this ‘‘tracking’’ response, in the absence of any transients, is called the steady-state response of the system. Note that the forced response will be present for all t > 0, but may become uniquely evident only after the transient natural response dies out. Also, note that the forced response might never become evident if it is itself a transient (sx < 0), even though in this case the forced response will still represent an attempt to track the input. For mathematical convenience in finding y, we let x be replaced by x(t) ¼ Mx esx (jvx tþ0x ) u(t) ¼ ½Mx esx t cos(vx t þ ux ) þ ½ jMx esx t sin(vx t þ ux )u(t)

(3:11)

Because of the linearity of the system, the real and imaginary parts of the solution y will correspond to the real and imaginary parts of the complex x. Because we want the response to the real part (the cosine), we simply take the real part of the solution at the end.* It is extremely useful to rewrite Equation 3.11 as x(t) ¼ Mx e jux e(sx þjvx )t u(t) ¼ Mx e jux epx t u(t)

(3:12)

We shall call the complex number X ¼ Mxejux a generalized phasor for the sinusoid, noting that the quantity is a conventional phasor (see, e.g., [5]) when sx ¼ 0 (i.e., when x represents an undamped def sinusoid). The complex frequency associated with the signal x is px ¼ sx þ jvx . Any signal that can be written as a sum of exponentials will be an eigensignal of a linear, time-variant (LTI) system such as the present circuit. The forced response of a system to an eigensignal is a scaled, time-shifted version of the eigensignal. This means that an eigensignal generally has its amplitude and phase altered by the system, but never its frequency! Many signals used in engineering analysis are eigensignals. This is the case with the present input x. Because x is an eigensignal, the nonhomogeneous solution will be of the form 

y (t) ¼ jH(px )jMx e

sx t j(vx tþux þarg{H(px )})

e

¼ My e juy ePx t

(3:13)

where jH(px)j represents the amplitude scaling imposed upon a signal of complex frequency px, and arg {H(px)} is the phase shift. For the moment, do not be concerned about the seemingly excessive notation jH(px)j and arg{H(px)}. The number H(px) ¼ jH(px)je jarg{H(px)}, called the eigenvalue of the system for complex frequency px, is a package containing the scaling and phase change induced upon a sinusoid or exponential input of complex frequency px. In Equation 3.13 we have implicitly defined def My ¼ jH(px )jMx and uy ¼ ux þ arg{H(px)}, noting that Y ¼ My e juy ¼ H(px )Mx e jux ¼ H(px )X is the generalized phasor for the forced response y. * Alternatively, we could also find the response x*(t), and average the two responses at each t.

(3:14)

Laplace Transformation

3-5

Let us now put the expressions (Equations 3.12 and 3.13) into the differential equation (Equation 3.1) (ignoring the u(t) because we are seeking a solution for t > 0) Mx e jux epx t ¼ p2x LCMy e juy epx t þ px RCMy e juy epx t þ My e juy e px t

(3:15)

Dividing through by epxt (note this critical step), Mx e jux ¼ p2x LCMy e juy þ px RCMy e juy þ My e juy

(3:16)

Isolating Myejuy on the left side of Equation 3.16, we have My e juy ¼

Mx e jux LCp2x þ RCpx þ 1

(3:17)

Because all quantities on the right are known, we can now solve for My and uy. For example (so that we can compare this result with future work), suppose we have system parameters L ¼ 2 H, C ¼ 1 F,

R ¼ 1 V,

y0 ¼

1 V, 2

i0 ¼ 0 A

(3:18)

ux ¼ p=4 rad

(3:19)

and signal parameters Mx ¼ 3V,

sx ¼ 0:1=s,

vx ¼ 1 rad=s

Then we find Mye juy ¼ 2.076ej(0.519p). Whatever the specific numbers, let us now assume that My and uy are known. We have  ju (s þjv )t y (t) ¼ My e y e x x

(3:20)

Taking the real part, 

y (t) ¼ My e

sx t

cos (vx t þ uy )

(3:21)

This nonhomogeneous solution is valid for t > 0

3.2.4 Total Solution Combining the previous results, we obtain the complete solution for t > 0. s t s t y(t) ¼ ~y(t) þ  y (t) ¼ 2jAje h cos (vh t þ uA ) þ My e x cos (vx t þ uy )

(3:22)

We must apply the initial conditions to find the unknown numbers jAj and uA. By a physical considerations we know y(0þ) ¼ y(0) ¼ y0 and i(0þ) ¼ i(0) ¼ i0, so y(0) ¼ y0 ¼ 2jAj cos (uA ) þ My cos (uy )

(3:23)

and i(0) ¼ i0 ¼ C

dy   ¼ 2jAjC[sh cos (uA )  vh sin (uA ) þ My C½sh cos (uA )  vh sin (uA )] dt t¼0

(3:24)

Fundamentals of Circuits and Filters

3-6

These two equations can be solved for jAj and uA. For example, pffiffiffi for the numbers given in Equations 3.18 and 3.19, using Equation 3.6 we find that ph ¼ 1=4 þ j 7=4 and A ¼ 0.416e j(0.230p). Whatever the specific numbers, let us assume that jAj and uA are known. Then, putting all the known numbers back into Equation 3.23 gives a complete solution for t > 0.

3.2.5 Scrutinizing the Solution The first term in the final solution, Equation 3.22, comprises the unforced response and corresponds to the homogeneous solution of the differential equation in conjunction with the information provided by the initial conditions. Notice that this response involves only parameters dependent upon the circuit components, e.g., sh and vh, and information provided by the initial conditions, A ¼ jAjejuA. The latter term in Equation 3.22 is the forced response. We reemphasize that this part of the response, which corresponds to the nonhomogeneous solution to the differential equation, is of the same form as the forcing function x and that the system has only scaled and time-shifted (as reflected in the phase angle) the input. It is important to understand that the natural or unforced response is not altogether unrelated to the forcing function. The adjustment that the circuit must make (using its own natural modes) depends on the discrepancy at time zero between the actual initial conditions on the circuit components and the conditions the input would ‘‘like’’ to impose on the components as the forcing begins. Accordingly, we can identify two parts of the natural solution, one due to the initial energy storage, the other to the ‘‘shock’’ of the input at time zero. We can see this in the example above by reconsidering Equations 3.23 and 3.24 and rewriting them as y0 ¼ 2Are þ My cos (uy )

(3:25)

  i0 ¼ 2½Are sh  Aim vh  þ My sx cos (uy )  vx sin (uy ) C

(3:26)

and

Solving y0 My cos (uy )  2 2

(3:27)

My cos (uy )(sx  sh )  My sin (uy )vx sh y0  (i0 =C) þ 2vh 2vh

(3:28)

Are ¼ Aim ¼

We see that each of the real and imaginary parts of the complex number A can be decomposed into a part depending on initial circuit conditions, y0 and i0, and a part depending on the system’s interaction with the input at the initial instant. Accordingly, we may write A ¼ Aic þ Ainput

(3:29)

where Aic ¼ Are,ic þ jAim,ic and Ainput ¼ Are,input þ jAim,input. In polar form Aic ¼ jAicje juAic and Ainput ¼ jAinputje juAinput. Therefore, the homogeneous solution can be decomposed into parts   ~y(t) ¼ ~yic (t) þ ~yinput (t) ¼ 2jAic jesh t cos (vh t þ uAic ) þ 2Ainput esh t cos (vh t þ uAinput )

(3:30)

Laplace Transformation

3-7

Hence, we observe that a natural response may occur even if the initial conditions on the circuit are zero. The combined natural and forced response in this case, y(t) þ ~yinput(t), is called the zero-state response to indicate the state of zero energy storage in the system at time t ¼ 0. On the other hand, the response to initial energy only, ~yic, is called the zero-input response for the obvious reason.

3.2.6 Generalizing the Phasor Concept: Onward to the Laplace Transform To begin to understand the meaning of the LT, we reflect on the process of solving the circuit problem above. Although we could examine this solution deeply to understand the LT connections to both the natural and forced responses, it is sufficient for current purposes to examine only the forced solution. Because the input to the system is an eigensignal previously, we could assume that the form of y would be identical to that of x, with modifications only to the magnitude and phase. In noting that both x and y would be of the form Meju, it seems reasonable that the somewhat tedious nonhomogeneous solution would eventually reduce to an algebraic solution to find My and uy from Mx and ux. All information needed and sought is found in the phasor quantities X and Y in conjunction with the system information. The critical step which converted the differential equation solution to an algebraic t one comes in Equation 3.16 in which the superfluous term epx is divided out of the equation. Also observe that the ratio H(px) ¼ Mye juy=Mxe jux depends only on system parameters and the complex frequency of the input, px ¼ sx þ jvx. In fact, this ratio, when considered a function, e.g., H, of a general complex frequency, say, s ¼ s þ jv, is called the system function for the circuit. In the present example, we see that H(s) ¼

LCs2

1 þ RCs þ 1

(3:31)

The complex number H(s), s ¼ s þ jv, contains the scaling and delay (phase) information induced by the system on a signal with damping s and frequency v. Let us now consider a slightly more general class of driving signals. Suppose we had begun the analysis above with a more complicated input of the form*

x(t) ¼

N X

Mi esi t cos (vi t þ ui )

(3:32)

i¼1

which, for convenience, would have been replaced by x(t) ¼

N X i¼1

Mi e jui e(si þjvi )t ¼

N X

Mi e jui e pi t

(3:33)

i¼1

in the nonhomogeneous solution. It follows immediately from linearity that the solution could be obtained by entering each of the components in the input individually, and then combining the N solutions at the output. In each case we would clearly need to rid the analysis of the superfluous term t of the form epi by division. This information is equivalent to the form esit cos(vit) which is known to automatically carry through to the output. Now, recalling that Miejui is the generalized phasor for the ith component in Equation 3.33, let us rewrite this expression as

* We omit the unit step u which appears in the input above because we are concerned only with the forced response for t > 0.

Fundamentals of Circuits and Filters

3-8

x(t) ¼

N X

t

X(pi )epi

(3:34)

i¼1 def

where X(pi ) ¼ Mi e jui . Expression (Equation 3.34) is similar to a Fourier series (FS) (see Chapter 4), except that here (unless all si ¼ 0) the signal is only ‘‘pseudoperiodic’’ in that all of its sinusoidal components may be decaying or expanding in amplitude. The generalized phasors X (pi) are similar to FS coefficients and contain all the information (amplitude and phase) necessary to obtain steady-state solutions. These phasors comprise frequency domain information as they contain packets of amplitude and phase information for particular complex frequencies. With the concepts gleaned from this example, we are now prepared to introduce LT in earnest.

3.3 Formal Developments 3.3.1 Definitions of the Unilateral and Bilateral Laplace Transforms Most signals used in engineering analysis and design of circuits and filters can be modeled as a sort of limiting case of Equation 3.34. Such a representation includes not just several complex frequency exponentials as in Equation 3.34, but an uncountably infinite number of such exponentials, one for every possible value of frequency v. Each of these exponentials is weighted by a ‘‘generalized phasor’’ of infinitesimal magnitude. The exponential at complex frequency s ¼ s þ jv, for example, is weighted by phasor X (s þ jv)dv=2p, where the differential dv assures the infinitesimal magnitude and the scale factor of 2p is included by convention. The uncountably infinite number of terms is ‘‘summed’’ by integration as follows: 1 ð

X(s þ jv)

x(t) ¼ 1

dv (sþjv)t e 2p

(3:35)

The number s in this representation is arbitrary as long as the integral exists. In fact, if the integral converges for any s, then the integral exists for an uncountable infinite number of s. The complex function X (s þ jv) in Equation 3.35 is the LT for the signal x(t). Based on the foregoing discussion, we can interpret the LT as a complex-frequency-dependent, uncountable infinite set of ‘‘phasor densities’’ containing all the magnitude and phase information necessary to find forced solutions for LTI systems. We use the word ‘‘density’’ here to indicate that the LT at complex frequency s þ jv must be multiplied by the differential dv=2p to become properly analogous to a phasor. The LT, therefore has, for example, units volts per hertz. However, we find that the LT is much more than just a phasor-like representation, providing a rich set of analysis tools with which to design and analyze systems, including unforced responses, transients, and stability. As in the preceding simpler examples, the solution of differential equations will be made easier by ridding the signals of superfluous complex exponentials of form e(sþjv), that is, by working directly with LTs. Before doing so we change variables, to put Equation 3.35 into a more conventional form. def Let s denote the general complex frequency s ¼ s þ jv. Then 1 x(t) ¼ j2p

sþj1 ð

X(s)est ds

(3:36)

sj1

where we have dropped the bar over the LT, X. This integral, which we have interpreted as an ‘‘expansion’’ of the signal x in terms of an uncountably infinite set of infinitesimal generalized phasors

Laplace Transformation

3-9

and complex exponentials, offers a means for obtaining the signal x from the LT, X. Accordingly, Equation 3.36 is known as the inverse Laplace transform (inverse LT). The inverse LT operation is often denoted x(t) ¼ +1 f X(s)g

(3:37)

How one would evaluate such an integral and for what values of s it would exist are issues we shall address later. In order to rid the signal x of the superfluous factors est, we can simply compute the LT. Without any rigorous attempt to derive the transform from Equation 3.36, it is believed that 1 ð

X(s) ¼ 1

x(t) dt ¼ est

1 ð

x(t)est dt

(3:38)

1

This is the bilateral, or two-sided, Laplace transform (BLT). The descriptor ‘‘bilateral’’ or ‘‘two-sided’’ is a reference to the fact that the signal may be nonzero in both positive and negative time. In contrast, the unilateral, or one-sided, Laplace transform (ULT) is defined as 1 ð

X(s) ¼ 0

x(t) dt ¼ est

1 ð

x(t)est dt

(3:39)

0

When a signal is zero all for t < 0, the ULT and BLT are identical. The same inverse LT, Equation 3.36, is applied in either case, with the understanding that the resulting time signal is zero by assumption in the ULT case. While the BLT can be used to treat a more general class of signals, we find that ULT has the advantage of allowing us to find the component of the natural response due to nonzero initial conditions. In other words, ULT is used to analyze signals that ‘‘start’’ somewhere, a time we conventionally call* t ¼ 0. These transformations are reminiscent of the process of dividing through by the complex exponential which was first encountered in the forced solution in the motivating circuit problem (see Equation 3.16).

3.3.2 Existence of the Laplace Transform The variable s ¼ s þ jv is a complex variable over which the LT is calculated. The complex plane with s along the abscissa and jv on the ordinate, is called the s-plane. We find some powerful tools centered on the s-plane below. Note that the s-plane is not the LT, nor can the LT be ‘‘plotted’’ in the s-plane. The LT is a complex function of the complex variable s, and a plot of the LT would require another two dimensions ‘‘over’’ the s-plane. For this reason, we need to place some constraints on either s or X(s) or both to create a plot. For example, we could use the LT to plot jX(jv)j as a function of v, by evaluating the magnitude of X (s) along the jv-axis in the s-plane.y An illustration of these points is found in Figure 3.2. We now address the question: For what values of s (i.e., ‘‘where’’ in the s-plane) does the LT exist? Consider first a two-sided (in time) signal, x. x is assumed piecewise continuous in every finite interval of the real line. We assert that the BLT X ordinarily exists in the s-plane in a strip of the form sþ < Re{s} ¼ s < s

(3:40)

as illustrated in Figure 3.3. In special cases the BLT may converge in the half-plane sþ < s, or the halfplane s < s, or even in the entire s-plane. * Note that if we apply the ULT to a signal x(t) that ‘‘starts’’ in negative time, the result will be identical to that for the signal x (t) u(t). y This particular plot is equivalent to the magnitude spectrum of the signal that could be obtained using Fourier techniques discussed in Chapter 4.

Fundamentals of Circuits and Filters

3-10 6 5

H(s)

4 3 2 1 0 –2

–1.5

1.8 –1

1.2

–0.5 Damp 0 ing, σ

0.5

0.6 1

1.5

(a) 2

0



cy

n ue

eq

2

Fr

1

1.5

H(jω)

1.5

1

0.5

0 –2 (b)

– 1.5

–1

– 0.5

0

0.5

2

Frequency, ω (rad/s)

FIGURE 3.2 LT is called ‘‘H’’ instead of ‘‘X’’ in this figure for a reason to be discovered later. (a) A plot of jH(s)j vs. s 2 for the LT H(s) ¼ (0.5)=(sp ffiffiþ ffi 0.5s þ 0.5). Only the upper-half s-plane is shown (v  0). Note that the peak occurs near the value ph ¼ 1=4 þ j 7=4, as a root of the denominator of H(s), which we shall later call a pole of the LT. The LT is theoretically infinite at s ¼ ph. (b) Evaluation of jH(s)j along the jv-axis (corresponding to s ¼ 0) with the magnitude plotted as function of v.

The boundary values sþ and s are associated with the positive-time and negative-time portions of the signal, respectively. The minimum possible value of sþ and maximum possible value of s are called the abscissas of absolute convergence. We henceforth use the notations sþ and s to explicitly mean these extreme values. The vertical strip between but exclusive of, these abscissas is called the region of convergence (ROC) for the LT. In the special cases the ROC extends indefinitely from a single abscissa to the right (sþ < s) or left (s < s) or covers the entire s-plane. To verify that Equation 3.40 is a correct description of the ROC consider the positive-time part of x first. We maintain that X(s) will exist on any s such that Re{s} ¼ s > sþ, if and only if (iff) a positive M exists such x is bounded by Mesþt on t  0, jx(t)j < Mesþ t

t0

(3:41)

Laplace Transformation



3-11

Under this condition (letting Xþ denote the LT of nonnegative-time part of x), 1  ð    st   jXþ (s)j ¼  x(t)e dt   

s-plane

0 1 ð

ROC σ+

σ–

σ



jx(t)est jdt

0 1 ð



jx(t)je

st

0

¼

8
s ¼ s  s : 1, otherwise

(3:44)

and X will not converge in some left neighborhood of s ¼ s if the condition (Equation 3.43) is not met. It should be clear from the discussion of the BLT that a ULT will ordinarily converge in the halfplane Re{s} ¼ s > sþ iff a positive M exists such that Equation 3.41 is met. This follows immediately from the fact that the ULT of x(t) is equivalent to the BLT of x(t)u(t). The ‘‘negative-time’’ part of x(t) yields X(s) ¼ 0 which converges everywhere in the s-plane. The ULT may also converge everywhere in the s-plane in special cases.

3.3.3 Example of Laplace Transform Computations and Table of Unilateral Laplace Transforms A listing of commonly used ULTs with ROCs is given in Table 3.1. Each entry in the table can be verified by direct computation of the appropriate LT integral, or in many cases, properties of the LT can be exploited to make the computation easier. These properties are developed in Section 3.3.5.

Fundamentals of Circuits and Filters

3-12 TABLE 3.1

Table of ULT Pairs

Signal, x(t)

ULT, X(s)

d(t) dk d dt k u(t) tn1u(t) epxtu(t)

ROC

1

Entire s-plane

sk 1 s (n  1)! sn 1 (s  px )

Entire s-plane {s : s > 0} {s : s > 0} {s : s > sx}

tnepxt u(t)

n! (s  px )nþ1

{s : s > sx}

cos(vxt þ ux)u(t)

s cos (ux )  vx sin (ux ) s2 þ v2x

{s : s > 0}

esxt cos(vxt þ ux) u(t)

(s  sx ) cos (ux )  vx sin (ux ) (s  sx )2 þ v2x

{s : s > sx}

Mxtn1esxt cos(vxt þ ux)u(t)

E E þ ; (s  px )n ðs  px Þn

{s : s > sx}

Mx real and positive, E ¼ M2x (n  1)!ejux Note: In each entry, s is the general complex number s þ jv, and, where relevant, px is the specific complex number sx þ jvx.

It is rare to find a table of BLTs in engineering material because most of our work is done with the ULT. However, BLTs can often be found by summing the results of two ULTs in the following way. Suppose x is written as x(t) ¼ xþ (t) þ x (t)

(3:45)

where xþ and x are the causal and anticasual parts of the signal, respectively. To obtain Xþ, we can use a ULT table. To obtain X, note the following easily demonstrated property of the BLT: If +{y(t)} ¼ Y(s) with ROC {s: Re{s} > a}, then +{y(t)} ¼ Y(s) with ROC {s: Re{s} > a}. Therefore, we can find +{x(t)} in a ULT table, and replace the argument s by s to obtain X(s). The X(s) ¼ Xþ (s) þ X(s). This strategy is illustrated in Example 3.1. The ROC of the sum will ordinarily be the intersection of the individual LTs Xþ and X, but the total ROC may be larger than this if a pole–zero cancellation occurs (see Section 3.3.4). Let us consider some examples which illustrate the direct forward computation of the LT and the process discussed in the preceding paragraph.

Example 3.1 Find the BLT and ULT for the signal

x(t) ¼ Aeat u(  t) þ Bebt u(t) Note that A, B, a, and b may be complex.

jAj,jBj < 1

(3:46)

Laplace Transformation

3-13

Solution In the bilateral case, we have 0ð

at st

X(s) ¼

Ae e 1

 (as)t 0

0ð

þ

Bebt est dt

1

1 Ae Be(bs)t   ¼ þ a  s 1 b  s 0 2 3 A  , Re{s} < Re{a} 5 ¼4 sa 1, otherwise 2 3 B , Re{s} > Re{b} 5 þ 4s  b 1, otherwise

(3:47)

The ROC for this LT is {s: Re{b} < Re{s} < Re{a} }. The LT does not exist for any s for which Re{s}  Re {a} or Re{s}  Re{b}. Note also that when Re{b}  Re{a}, then no ROC can be found, meaning that the BLT does not exist anywhere for the signal. The ULT follows immediately from the previous work. We have 1 ð

X(s) ¼

( Bebt est dt ¼

0

B , Re{s} > Re{b} sb 1, otherwise

(3:48)

The ROC in this case is {s: Re{s} > Re{b} }. We need not be concerned about the negative-time part of the signal (and the associated ROC) because the LT effectively zeros the signal on t < 0. Note. The result of this example is worth committing to memory because it will reappear frequently. Note that if we had found the ULT, Equation 3.48, for the casual part of x in a table (call it Xþ (s)), then we could employ the trick suggested above to find the LT for the anticausal part. Let x denote the negative-time part: x(t) ¼ Aeatu(t). We know that the LT of x(t) ¼ Aeatu(t) (a casual signal) is X (s) ¼

A , with ROC {s: Re{s} > a} sþa

(3:49)

A , sa

(3:50)

Therefore, X (s) ¼

with ROC {s: Re{s} < a}

The overall BLT result is then X(s) ¼ Xþ (s) þ X(s) with ROC equal to the intersection of the individual results. This is consistent with the BLT found by direct integration. The preceding simple example suggests that the BLT can treat a broader class of signals at the expense of greater required care in locating its ROC. A further and related complication of the BLT is the nonuniqueness of the transform with respect to the time signals. Consider Example 3.2.

Example 3.2 Find the BLT for the following signals:

x1 (t) ¼ ebt u(t)

and

x2 (t) ¼ ebt u(  t)

(3:51)

Fundamentals of Circuits and Filters

3-14

Solution From our work in Example 3.1, we find immediately that

1 , Re{s} > Re{b} sb 1 X2 (s) ¼ , Re{s} < Re{b} sb X1 (s) ¼

and (3:52)

Neither X1 nor X2 can be unambiguously associated with a time signal without knowledge of its ROC. Another drawback of the BLT is its inability to handle initial conditions in problems like the one that motivated our discussion. For this, reason, and also because signals tend to be casual (occurring only in positive time) in engineering problems, the ULT is more widely used and we shall focus on it exclusively after treating one more important topic in Section 3.3.4. Before moving to Section 3.3.4, let us tackle a few more example computations.

Example 3.3 Find the ULT of the impulse function, d(t) (see Section 3.6).

Solution 1 ð

D(s) ¼

d(t)est dt ¼ 1

for all s

(3:53)

0

The LT converges everywhere in the s-plane. We note that the lower limit 0 is important here to yield the answer 1 (which will provide consistency of the theory) instead of 1=2.

Example 3.4 Find the ULT of the unit step function, u(t) (see Equation 3.3).

Solution 1 ð

U(s) ¼ 0

1 st  e 1  1est dt ¼  ¼ s  s

for Re{s} > 0

(3:54)

0

The ROC for this transform consists of the entire right-half s-plane exclusive of the jv-axis.

Example 3.5 Find the ULT of the damped (sx < 0), undamped (sx ¼ 0), or expanding (sx > 0) sinusoid, x(t) ¼ Mxesxt cos (vxt j ux) u(t).

Solution Using Euler’s relation, write x as

x(t) ¼

i M h i Mx sx t h j(vx tþux ) x e e ejux epx t þ ejux ep*x t þ ej(vx tþux ) ¼ 2 2

(3:55)

Laplace Transformation

3-15

def

with px ¼ sx þ jvx . Taking the LT, Mx X(s) ¼ 2 1 ð

¼

1 ð



  e jux e px t þ ejux e px t est dt

0

Mx jux px t st e e e dt þ 2

0

1 ð

Mx jux px t st e e e dt 2

(3:56)

0

Now using Equation 3.48 on each of the integrals X(s) ¼

(Mx =2)ejux (Mx =2)ejux þ  (s  px ) s  px )

(3:57)

with the ROC associated with each of the terms being Re{s} > Re{px} ¼ sx. Putting the fractions over a common denominator yields   Mx s  px e jux þ (s  px )ejux X(s) ¼ 2 (s  px )(s  px ) " # Mx se jux þ sejux  px e jux  px ejux ¼ 2 s2  2Re{px }s þ jpx j2 " # (s  sx ) cos (ux )  vx sin (ux ) ¼ Mx (3:58) (s  sx )2 þ v2x The ROC of X is {s: Re{s} > sx}. Note. The chain of denominators in Equation 3.58 is worth noting because these relations occur frequently in LT work. (s  px )(s  px ) ¼ s2  2Re{px }s þ jpx j2 ¼ s2  2sx s þ jpx j2 ¼ (s  sx )2 þ v2x

(3:59)

3.3.4 Poles and Zeros—Part I ‘‘Pole–zero’’ analysis is among the most important uses of the LT in circuit and system design and analysis. We need to take a brief look at some elementary theory of functions of complex variables in order to carefully describe the meaning of a pole or zero. When we study methods of inverting the LT in a future section, this side trip will prove to be especially useful. Let us begin with a general function, F, of a complex variable s. We stress that F(s) may or may not be an LT. F is said to be analytic at s ¼ a if it is differentiable at a and in a neighborhood of a. For example, F(s) ¼ s  1 is analytic everywhere (or entire), but G(s) ¼ jsj is nowhere analytic because its derivative exists only at s ¼ 0. On the other hand, a point p is an isolated singular point of F if the derivative F does not exist at p, but F is analytic in a neighborhood of p. The function F(s) ¼ es=(s  1) has a singular point at s ¼ 1. There is a circular analytic domain around any singular point, p, of F, say {s:j s  pj < p}, in which the function F can be represented by a Laurent series [3], F(s) ¼

1 X i¼0

qi, p (s  p) þ i

1 X i¼1

ri,p (s  p)i

(3:60)

The second sum in Equation 3.60 is called the principle part of the function F at p. When the principle part of F at p contains terms up to order n, the isolated singular point p is called an nth-order pole of F.

Fundamentals of Circuits and Filters

3-16

Evidently from Equation 3.60, F tends to infinity at a pole and the order of infinity is n. For def future reference, we note that the complex number rp ¼ r1,p is called the residue of F at s ¼ p. A zero of F is more simply defined as a value of s, say z, at which F is analytic and for which F(z) ¼ 0. If all the derivatives up to the (m  1)st are also zero at z, but mth is nonzero, then z is called an mth-order zero of F. It can be shown that the zeroes of an analytic function F are isolated, except in trivial case F(s) ¼ 0 for all s [3]. Most LTs encountered in signal and system problems are quotients of polynomials in s, say X(s) ¼

N(s) D(s)

(3:61)

because of the signals employed in engineering work, and because (as we shall see later) rational LTs are naturally associated with LTI systems. N and D connote ‘‘numerator’’ and ‘‘denominator.’’ In this case both N and D are analytic everywhere in the s-plane, and the poles and zeroes of X are easily found by factoring the polynomials N and D, to express X in the form X(s) ¼ C

nN Y

!

Y nD (s  zi ) (s  pi )

i¼1

i¼1

(3:62)

where nN is the number of simple factors in N(s) (order of N in s) nD is the number of simple factors in D(s) (order of D in s) C is a constant X is called a proper rational LT if nD > nN After canceling all factors common to the numerator and denominator, if m terms (s  z) are left in the numerator, then X has an mth order zero at s ¼ z. Similarly, if n terms (s  p) are left in the denominator, then X has an nth order pole at s ¼ p. Although the LT does not exist outside the ROC, all of the poles will occur at values of s outside the ROC. None, some, or all of the zeros may also occur outside the ROC. This does not mean that the LT is valid outside the ROC, but that its poles and zeroes may occur there. A pole is ordinarily indicated in the s-plane by the symbol 3; whereas a zero is marked with a small circle .

Example 3.6 Find the poles and zeros of the LT

X(s) ¼

3s2 þ 9s þ 9 (s þ 2)(s2 þ 2s þ 2)

(3:63)

Solution Factoring the top and bottom polynomials to put X in form Equation 3.62, we have



  pffiffiffi  pffiffiffi  s þ 3  j 3 =2 s þ 3 þ j 3 =2 X(s) ¼ 3 (s þ 2)(s þ 1 þ j)(s þ 1  j)

(3:64)

pffiffiffi pffiffiffi There are first-order zeros at s ¼ (3 þ j 3)=2 and s ¼ (3  j 3)=2, and first order-poles at s ¼ 2, s ¼ 1 þ j, and s ¼ 1  j. The pole–zero diagram appears in Figure 3.4.

Laplace Transformation

3-17



s-plane

2

×

1

–1

0

× –2

1

σ

2

× –1

Two points are worth noting. First, complex poles and zeros will always occur in conjugate pairs, as they have here, if the LT corresponds to a real signal. Second, the denominator of Equation 3.64 also can be expressed as (s þ 2)[(s þ 1)2 þ 1] (recall Equation 3.59). Comparing this form with the LT obtained in Example 3.5 suggests that the latter form might prove useful. The purpose of introducing poles and zeros at this point in our discussion is to note the relationship of these singularities to the ROC. The preceding examples illustrate the following facts:

1. For a ‘‘right-sided’’ (nonnegative-time only) signal, x, the ROC of LT X (either ULT or BLT) is {s: Re{s} > Re{pþ} ¼ sþ}, where pþ is the pole of X with maximum real part, namely, sþ. If X has no poles, then the ROC is the entire s-plane. FIGURE 3.4 Pole–zero diagram for Example 3.6. 2. For a ‘‘left-sided’’ (negative-time only) signal, x, the ROC of BLT X is {s: Re{s} < Re{p} ¼ s}, where p is the pole of X with minimum real part, namely, s. If X has no poles, then the ROC is the entire s-plane. 3. For a ‘‘two-sided’’ signal x, the ROC of the BLT X is {s: Re{pþ} ¼ sþ < Re{s} < Re{p} ¼ s} where pþ is the pole of maximum real part associated with the right-sided part of x, and p is the pole of minimum real part associated with the left-sided part of x. If the right-sided signal has no pole, then the ROC extends indefinitely to the right in the s-plane. If the left-sided signal has no pole, then the ROC extends indefinitely to the left in the s-plane. Therefore, if neither part of the signal has a pole, then the ROC is the entire s-plane. –2

Let us revisit three of the previous examples to verify these claims. In Example 3.1, we found the ROC for the BLT to be {s: Re{b} < Re{s} < Re{a}}. The only pole associated with the left-sided sequence is at s ¼ a. The only pole associated with the right-sided signal occurs at s ¼ b. Following rule 3 in the list above yields exactly the ROC determined by analytical means. The poles of X as well as the ROC are shown in Figure 3.5a. In Example 3.4, we found the ROC to be the entire right-half s-plane, exclusive of the jv-axis. The single pole of U(s) ¼ 1=s occurs at s ¼ 0. Figure 3.5b is consistent with rule 1.







ROC

ROC

×

ROC

jωx

× a ×

b

σ

×

σ

σx

× (a)

FIGURE 3.5

(b)

(c)

Pole–zero plots ROCs for the LTs of (a) Examples 3.1, (b) 3.4, and (c) 3.5.

σ

–jωx

Fundamentals of Circuits and Filters

3-18

The ULT of Example 3.5 has poles at s ¼ sx  jvx and a zero at s ¼ sx þ vx tan(ux). Rule 1 therefore specifies that the ROC should be {s: Re{s} > Re{sx  jvx} ¼ sx}, which is consistent with the solution to Example 3.5. The pole–zero plot and ROC are illustrated in Figure 3.5c.

3.3.5 Properties of the Laplace Transform* This section considers some properties of the LT which are useful in computing forward and inverse LTs, and in other manipulations occurring in signal and system design and analysis. A list of properties appears in Table 3.2. In most cases, the verification of these properties follows in a straightforward manner from the definition of the transform. Consider the following examples. For convenience, we define the notation x(t) $ X(s)

(3:65)

to mean that x and X are an LT pair, X(s) ¼ +{x(t)}. TABLE 3.2

Operational Properties of the ULT

Description of Operation

Formal Operation

Corresponding LT

Linearity

ax(t) þ by(t)

aX(s) þ bY (s)

Time delay (t0 > 0)

x(t  t0)u(t  t0)

est0 X(s)

Exponential modulation in time (or complex frequency (‘‘s’’) shift)

es0tx(t)

X(s  s0)

Multiplication by tk, k ¼ 1, 2, . . .

tkx(t)

(1)k

Time differentiation

dk x dt k

dk X dsk k1 X sk X(s)  si x(k1i) (0 ) i¼0

 di x  x (0 ) ¼ i  dt t¼0 (i)

ðt Time integration

x(l)d(l) 1

 def

X(s) x(1) (0 ) þ s s  ðt  (1)  def x(l)d(l) x (0 )¼

1 ð

Convolution

1

x(l)y(t  l)dl

X(s)Y(s)

x(t)y(t þ t)dt

X(s)Y( s)

t¼0

0 1 ð

Correlation 0

Product (s-domain convolution)

x(t)y(t)

1 j2p

sþj1 ð

X(l)Y(s  l)dl sj1

Initial signal value (if time limit exists)

limt!0þ x(t)

lims!1 sX(s)

Final signal value (if time limit exists)

limt!1 x(t)

Time scaling

x(at), a > 0

lims!0 sX(s) 1 s X a a

Periodicity (period T)

1 X

x(t  iT)

i¼0

X(s) ð1  esT Þ

x(t) ¼ 0, t 2 = [0, T] Note: Throughout, X and Y are LTs of signals x and y, respectively; x and y are casual signals. * Henceforth, this study restricts attention to the ULT and uses the acronym ‘‘LT’’ only.

Laplace Transformation

3-19

Example 3.7 Verify the modulation property of the LT which states that if x(t) $ X(s), then es0t x(t) $ X(s  s0).

Solution By definition, 1 ð

+fe x(t)g ¼ s0 t

0 1 ð

¼

es0 t x(t)est dt

x(t)e(ss0 ) dt ¼ X(s  s0 )

(3:66)

0

Example 3.8 Verify the periodicity property and find the LT for a square wave of period T ¼ 2 and duty cycle 1=2.

Solution Using the linearity and time-delay properties of the LT

( +

1 X

) x(t  iT)

¼

1 X

i¼0

X(s)esiT ¼ X(s)

i¼0

1 X

esiT ¼

i¼0

X(s) (1  esT )

(3:67)

Let us call the square wave z(t) and its LT Z(s). Now one period of z can be written as x(t) ¼ u(t)  u (t  1), 0  t < 2 (see Figure 3.6). Using the delay property, therefore, X(s) ¼ (1=s)  (es=s). Using Equation 3.67 with T ¼ 2, we have

Z(s) ¼

(1=s)  (es =s) (1  es ) ¼ (1  e2s ) s(1  e2s )

(3:68)

z(t)

0

FIGURE 3.6

1

Square wave of Example 3.8.

2

3

4

5

t

Fundamentals of Circuits and Filters

3-20

Example 3.9 Verify the time-differentiation property of the LT which states that if x(t) $ X(s), then dx=dt $ sX(s)  x(0).

Solution By definition, +{dx=dt} ¼

Ð1 0

(dx=dt)est dt. Integrating by parts yields

1 1

 ð  dx st  + ¼ x(t)e  þ s x(t)est dt ¼ sX(s)  x(0 ) dt 0

(3:69)

0

Example 3.10 Verify the initial value theorem of the LT which states that if x(0þ ) ¼ limt#0 x(t) < 1, then lims!1 sX(s) ¼ x(0þ ).

Solution In case a discontinuity exists in x at t ¼ 0, define the signal

y(t) ¼ x(t)  cd(t)

(3:70)

where c is the amplitude shift at the discontinuity, c ¼ x(0þ)  x(0). Then y will be continuous at t ¼ 0 (see Figure 3.7). Further, dx dy ¼ þ cd(t) dt dt

(3:71)

so that using the time-differentiation property and the fact that +{cd(t)} ¼ c, we have 

1 ð

sX(s)  x(0 ) ¼ 0

dy st e dt þ c dt

(3:72)

Because c ¼ x(0þ) – x(0), 1 ð

sX(s) ¼

x(t)

0

c y(t) t

dy st e dt þ x(0þ ) dt

(3:73)

Assuming that the LT of the signal y has a ROC (y is of exponential order), the integral in Equation 3.73 vanishes as s ! 1. Finally, therefore, we obtain that lims!1sX (s) ¼ x(0þ).

Example 3.11

FIGURE 3.7

Signals x and y used in Example 3.10.

Verify the convolution property of the LT which states that if x and h are casual signals with x(t) $ X(s), and h(t) $ H(s), then

Laplace Transformation

3-21 1 ð

xðt Þ  hðt Þ ¼

xðjÞhðt  jÞdj $ X ðsÞH ðsÞ

(3:74)

1

Solution Because x(t) ¼ 0 for t < 0, we can write 1 ð

1 ð

xðjÞhðt  jÞdj ¼

xðjÞhðt  jÞdj

(3:75)

0

1

Now 9 11 81 = ð ð sx s  ðsx þ jvx Þ

(3:78)

1 , Refsg > sx s  ðsx  jvx Þ

(3:79)

Fundamentals of Circuits and Filters

3-22

so X ðsÞ ¼

Mx jux 1 e 2 s  ðsx þ jvx Þ Mx jux 1 e , Re{s} > sx þ 2 s  ðsx  jvx Þ

(3:80)

Placing the fractions over a common denominator yields the same result as that found using direct integration in Example 3.5.

Example 3.13 Find the time signals corresponding to the following LTs: X ðsÞ ¼ eps

for all s

e32s Y ðsÞ ¼ log (7) , Re{s} > 0 s pffiffi pffiffiffi 3 e 2s , Re{s} > 5 þ Z ðs Þ ¼ sþ5 s5

(3:81)

Solution Recognize that X(s) ¼ epsD(s), where D(s) ¼ 1 is the LT for the impulse function d(t). Using the time-shift property, therefore, we have x(t) ¼ d(t  p).

Recognize that Y(s) ¼ log(7)e32s U(s) where U(s) ¼ 1=s is the LT for the step function u(t). Using linearity and the time-shift properties, therefore, y(t) ¼ log(7) u(t  32). In finding z, linearity allows us to treat the two terms separately. Further, from Equation 3.48, know pffiffi pffiffiffi wep ffiffiffi that +1{1=(s  5)} ¼ e5tu(t) and +1{1=(s  5)} ¼ e5tu(t). Therefore, x(t) ¼ e5(tþ 2) u(t þ 2) þ 3e5t u(t). Note that the first term has a ROC {s: Re{s} > 5}, while the second has ROC {s: Re{s} < 5}. The overall ROC is therefore consistent with these two components.

3.3.6 Inverse Laplace Transform In principle, finding a time function corresponding to a given LT requires that we compute the integral in Equation 3.36: 1 x ðt Þ ¼ j2p

sþj1 ð

X ðsÞest ds

(3:82)

sj1

Recall that s is constant and taken to be in the ROC of X. Direct computation of this line integral requires a knowledge of the theory of complex variables. However, several convenient computational procedures are available that circumvent the need for a detailed understanding of the complex calculus. These measures are the focus of this section. The reader interested in more detailed information on complex variable theory is referred to [3]. ‘‘Engineering’’ treatments of this subject are also found in Ref. [10]. We first study the most challenging of the inversion methods, and the one that most directly solves the inversion integral above. The reader interested in quick working knowledge of LT inversion might wish to proceed immediately to Section 3.3.6.2.

Laplace Transformation

3-23

3.3.6.1 Residue Theory It is important to be able to compute residues of a function of a complex variable. Recall the Laurent series expansion of a complex function, say, F, which was introduced in Section 3.3.4, Equation 3.60. Also, recall that the coefficient r1,p is called the residue of F at p, and that we defined the simplified def notation rp ¼ r1,p to indicate the residue because the subscript ‘‘1’’ is not useful outside the Laurent series. In the analytic neighborhood of singular point s ¼ p (an nth-order pole) we define the function n

n1

wp ðsÞ ¼ ðs  pÞ F ðsÞ ¼ r1, p ðs  pÞ

n2

þr2, p ðs  pÞ

þ þ rn, p þ

1 X

qi, p ðs  pÞnþi

(3:83)

i¼0

in which it is important to note that rn, p 6¼ 0. Because F is not analytic at s ¼ p, wp is not defined, and is therefore not analytic, at s ¼ p. We can, however, make wp analytic at p by simply defining def wp (p) ¼ rn, p . In this wp is said to have a removable singular point (at p). Note that Equation 3.83 can be interpreted as the Taylor series expansion of wp about the point s ¼ p. Therefore, the residue is apparently given by def

rp ¼ r1,p ¼

w(n1) (p) p

(3:84)

(n  1)!

where w(i) p indicates the ith derivative of wp. When n ¼ 1 (first-order pole), which is frequently the case in practice, this expression reduces to rp ¼ wp (p) ¼ lim (s  p)F(s)

(3:85)

s!p

The significance of the residues appears in the following key result (e.g., see Ref. [3]):

THEOREM 3.1: (Residue theorem) Let C be a simple closed contour within and on which a function F is analytic except for a finite number of singularity points, p1, p2, . . . , pk interior to C. If the respective residues at the singularities are rp1, rp2, . . . , rpk, then

jω c + jp

þ

ROC

F(s)ds ¼ j2p(rp1 þ rp2 þ þ rpk )

C1

C2

(3:86)

C

where the contour C is traversed in the counterclockwise direction. The relevance of this theorem in our work is as follows: In principle, according to Equation 3.82, we want to integrate the complex function F(s) ¼ X (s)est on some vertical line in the ROC, for example, c  j1 to c þ j1, where c > sþ. Instead, suppose we integrate over the contour shown in Figure 3.8. By the residue theorem, we have

σ+

σ=c

σ

c – jp

FIGURE 3.8 Contour in the s-plane for evaluating the inverse LT.

Fundamentals of Circuits and Filters

3-24

þ X(e)est ds ¼ j2p(rp1 þ rp2 þ þ rpk )

(3:87)

C

where rp1, rp2, . . . , rpk are the k residues of the function X(s)est. The integral can be decomposed as þ

þ X(s)e ds ¼ C

þ X(s)e ds þ

st

st

C1

X(s)est ds

(3:88)

C2

where, as r ! 1, C1 approaches the line over which we wish to integrate according to Equation 3.82. It can be shown* that the integral over C2 contributes nothing to the answer for t > 0, provided that X approaches zero uniformlyy on C2. Therefore, þ

þ X(s)e ds ¼ lim st

lim

r!1

X(s)est ds

r!1

C

C1

1 ¼ lim v!1 j2p

cþjv ð

X(s)est ds

(3:89)

cjv

From Equation 3.87, we have 1 j2p

sþj1 ð

X(s)est ds ¼ rp1 þ rp2 þ þ rpk , t > 0

(3:90)

sj1

Thus, recalling that the left side is the original inversion integral, we have x(t) ¼

k X

r pi ,

t>0

(3:91)

i¼1

where the rpi are the residues of X(s)est at its k singular points. Note that the residue method returns a time function only over the positive time range. We might expect a result beginning at t ¼ 0 or t ¼ 0 because we have defined the forward LT as an integral beginning at t ¼ 0. The reason for this lower limit is so that an impulse function at the time origin will be transformed ‘‘properly.’’ Another important place at which the initial condition ‘‘x(0)’’ appears is in the LT of a differentiated time function (see Table 3.2 and Example 3.9). Again, the condition is included to properly handle the fact that if x has a discontinuity at t ¼ 0, its derivative should include an impulse and the LT of the derivative should include a corresponding constant. The residue cannot properly invert LTs of impulse functions (constants over the s-plane) because such LTs do not converge uniformly to zero over the semicircular part of the contour C2. Such constants in the LT must be inverted in a more ad hoc way. If no apparent impulses occur and the residue method has provided x for t > 0, it is, in fact, possible, to assign an arbitrary finite value to x(0). This is because one point in the time signal will not affect the LT, so the proper correspondence between x and X remains.z If it is def necessary to assign a value to x(0), the most natural procedure is to let x(0) ¼ x(0þ ). Then when we * A rigorous mathematical discussion appears in Ref. [3], while a particularly clear ‘‘engineering’’ discussion appears in Appendix B of Ref. [6]. y At the same rate regardless of the angle considered along C2. z In fact, any two signals that differ only on a set of measure zero (e.g., see Ref. [7]) will have the same LT.

Laplace Transformation

3-25

write the final answer as x(t) ¼ [time signal determined by residues] u(t), the signal takes an implied value x(0þ)=2 at t ¼ 0. The preceding discussion emphasizes that, in general, successful application of the residue method depends on the uniform convergence of the LT to zero on the contour segment C2. In principle each LT to be inverted must be checked against this criterion. However, this seemingly foreboding process is usually not necessary in linear signal and system analysis. The reason is that a practical LT ordinarily will take the form of a ratio of polynomials in s like (Equation 3.61). The check for proper convergence is a simple matter of assuring that the order of the denominator in s exceeds that of the numerator. When this not the case, a simply remedy exists which we illustrate in Example 3.15. Another frequent problem is the occurrence of an LT of form X(s) ¼

N(s)est0 , t0 < 0 D(s)

(3:92)

where N and D are polynomials. The trick here is to recognize the factor est0 as corresponding to a time shift which can be taken care of at the end of the problem, once the rational part of the transform is inverted. Finally, we remark that similar results apply to the bilateral LT. When the signal is two-sided in time, the casual part is obtained using the procedure above, but including only residues of poles known to be associated with the nonnegative-time part of the signal. The noncausal part of the signal is found by summing residues belonging to ‘‘noncausal’’ poles. Note that the association of poles with the casual and noncausal parts of the signal follows from a specification of the ROC. Poles belonging to the causal signal are to the left of the ROC, while noncausal poles are to the right. Let us now illustrate the procedure with two examples.

Example 3.14 In Example 3.5, we showed that

"

# (s  s ) cos (u )  v sin (u ) x x x x +fMx esx t cos (vx t þ ux )u(t)g ¼ Mx ðs  sx Þ2 þ v2x

(3:93)

with ROC {s: Re{s} > sx}. Verify that this is correct by finding the inverse LT using residues. Call the signal x and LT X.

Solution We can ignore the scalar Mx until the end due to linearity. Two poles are in the transform: px ¼ sx þ jvx and px ¼ sx þ jvx (k ¼ 2 in the discussion above). These can be obtained by expanding the denominator and using the quadratic equation, but it is useful to remember the relationship between a quadratic polynomial written in this form and the conjugate roots (recall Equation 3.59). The residue for the pole at px is given by

  wpx (px ) ¼ X(s)e (s  px ) st

s¼px

 [(s  sx ) cos (ux )  vx sin (ux )est    ¼  s  px s¼px ¼

½(px  sx ) cos (ux )  vx sin (ux )epx t (px  px )

¼

½ ( jvx ) cos (ux )  vx sin (ux )e(sx þjvx )t (2jvx )

(3:94)

Fundamentals of Circuits and Filters

3-26

Similarly, we have for the pole at px , wpx (px ) ¼ [( jvx ) cos (ux )  vx sin (ux )]e(sx jvx )t =(2jvx ). For t > 0, therefore, x(t) ¼ wpx (px ) þ wpx (px ) Mx esx t ½ jvx cos (ux )(ejvx t þ ejvx t )  vx t sin (ux )(ejvx t  ejvx t ) ¼ 2jvx ¼ esx t ½cos (ux ) cos (vx t)  sin (ux ) sin (vx t) ¼ esx t cos (vx t þ ux )

(3:95) (3:96)

and the transform is verified.

Example 3.15 illustrates the technique for handling polynomial quotients in which the order of the denominator does not exceed the numerator (X is not a proper rational LT).

Example 3.15 Find the causal time signal corresponding to LT

X(s) ¼

N(s) Gs2 , ¼ D(s) (s  p)2

G, p are real

(3:97)

Solution To use the residue method, we must reduce the order of the numerator to at most unity. By dividing polynomial D and N using long division, we can express X as

X(s) ¼ G þ

2Gps  Gp2 def ¼ X1 (s) þ X2 (s) (s  p)2

(3:98)

First note that we can use linearity and invert the two terms separately. The first is simple because (see Table 3.1) +1{X1(s)} ¼ +1{G} ¼ Gd(t). In the second term we find a pole of order n ¼ 2 at s ¼ p. To use Equation 3.84 to compute the residue, we require wp(s) ¼ X2(s)est(s  p)2 ¼ [2Gps  Gp2] est. Then, for t > 0, the residue is  1 dwp  ¼ G[2pept þ 2p2 tept  p2 tept ] ¼ Gpept [2 þ pt] rp ¼ 1! ds s¼p

(3:99)

Because rp is the only residue, we have x(t) ¼ Gd(t)þGpept [2 þ pt]u(t). Remark. If the order of the numerator exceeds the denominator by k > 0, the long division will result in a polynomial of the form Aksk þ Ak  1sk  1 þ þ A0. Consequently, the time domain signal will contain derivatives of the impulse function. In particular, if k ¼ 1, and a ‘‘doublet’’ will be present in the time signal. (See Table 3.1 and Appendix A for more information.) We can usually find several ways to solve inverse LT problems, residues often being among the most challenging. In this example, for instance, we could use Equation 3.98 to write X(s) ¼ G þ

2Gps Gp2  ¼ G þ X3 (s)  X4 (s) (s  p)2 (s  p)2

(3:100)

Laplace Transformation

3-27

then use Table 3.1 to find +1{G} ¼ Gd(t) and +1{X4} ¼ x4(t) [or use residues to find x4(t)]. Noting that X3(s) ¼ 2 psX4(s), we could then use the s-differentiation property (Table 3.2) to find x3 from x4. This alternative solution illustrates a general method that ordinarily is used regardless of the fundamental inversion technique. Linearity allows us to divide the problem into a sum of smaller, easier problems to invert, and then combine solutions at the end. The method presented next is probably the most popular, and clearly follows this paradigm. 3.3.6.2 Partial Fraction Expansion The partial fraction expansion (PFE) method can be used to invert only rational LTs, with the exception that factors of the form est0 can be handled as discussed near Equation 3.92. As noted previously, this is not practically restricting for most engineering analyses. Partial fraction expansion is closely related to the residue method, a relationship evident via our examples. As in the case of residues, a rational LT to be inverted must be proper, having a numerator polynomial whose order is strictly less than that of the denominator. If this is not the case, long division should be employed in the same manner as in the residue method (see Example 3.15). Consider the LT X(s) ¼ N(s)=D(s). Suppose X has k poles, p1, p2, . . . , pk and D is factored as D(s) ¼ (s  p1 )n1 (s  p2 )n2 (s  pk )nk

(3:101)

where ni is the order of the ith pole. (Note that Ski ¼ 1ni ¼ nD.) Now if x is a real signal, the complex poles will appear in the conjugate pairs. It will sometimes be convenient to combine the corresponding factors into a quadratic following Equation 3.59,  2  2     (s  p)(s  p ) ¼ s2 þ bs þ g ¼ s2  Re{p}s þ p ¼ s2  2sp s þ p

(3:102)

for each conjugate pair. Assuming that the poles are ordered in Equation 3.101 so that the first k0 are real and the last 2k00 are complex (k ¼ k0 þ 2k00 ), D can be written D(s) ¼ (s  p1 )nre,1 (s  p2 )nre,2 (s  pk0 )nre,k0 (s2 þ b1 s þ g1 )nc,1 (s2 þ b2 s þ g2 )nc,2 (s2 þ bk00 s þ gk00 )nc,k00

(3:103)

Terms of the form (s  p) are called simple linear factors, while those of form (s2 þ bs þ g) are simple quadratic factors. When a factor is raised to a power greater than one, we say that there are repeated linear (or quadratic) factors. We emphasize that linear factors need not always be combined into quadratics when they represent complex poles. In other words, in the term (s  pi)ni, the pole pi may be complex. Whether quadratics are used depends on the approach taken to solution. The idea behind PFE is to decompose the larger problem into the sum of smaller ones. We expand the LT as N(s) N(s) ¼ D(s) factor1 factor2 factork0 factork0 þ1 factork0 þk00 N1 (s) N2 (s) Nk0 (s) Nk0 þ1 (s) ¼ þ þ

þ þ 0 factor1 factor2 factork factork0 þ1

X(s) ¼

þ

Nk0 þk00 (s) factork0 þk00

(3:104) (3:105)

Now, because of linearity, we can invert each of the partial functions in the sum individually, then add the results. Each of these ‘‘small’’ problems is easy and ordinarily can be looked up in a table or solved by memory.

Fundamentals of Circuits and Filters

3-28

We now consider a series of cases and examples. Case 1: Simple linear factors. Let X(s) ¼ N(s)=D(s). Assume that the order of N is strictly less than the order of D. Without loss of generality (for the case under consideration), assume that the first factor in D is a simpler linear factor so that D can be written D(s) ¼ (s  p1)Dother (s) where Dother is the product of all remaining factors. Then the PFE will take the form N(s) N(s) ¼ D(s) (s  p1 )Dother (s) N1 (s) þ [other PFs corresponding to factors in Dother (s)] ¼ (s  p1 )

X(s) ¼

(3:106)

Now note that N(s) Dother (s) ¼ N1 (s) þ (s  p1 )[other PFs corresponding to factors in Dother (s)]

(s  p1 )X(s) ¼

(3:107)

Letting s ¼ p1 reveals that N1 (p1 ) ¼ A1 ¼

N(p1 ) ¼ [(s  p1 )X(s)]js¼p1 Dother (p1 )

(3:108)

Note that the number A1 is the residue of the pole at s ¼ p1. In terms of our residue notation wp1 (s) ¼ (s  p1 )X(s) ¼

N(s) Dother (s)

and

rp1 ¼ A1 ¼ wp1 (p1 )

(3:109)

Carefully note that we are computing residues of poles of X(s), not X(s)est, in this case.

Example 3.16 Given LT

X(s) ¼

s2 þ 3s (s þ 1)(s þ 2)(s þ 4)

(3:110)

with ROC {s: Re{s} > 1}, find the corresponding time signal x.

Solution Check that the order of the denominator exceeds that of the numerator. Because it does, we can proceed by writing

X(s) ¼

A1 A2 A3 þ þ (s þ 1) (s þ 2) (s þ 4)

(3:111)

Using the previous method, we find that   s2 þ 3s  A1 ¼  (s þ 2)(s þ 4) 

¼ s¼1

2 3

(3:112)

Laplace Transformation

3-29

In a similar manner, we find that A2 ¼ 1 and A3 ¼ 2=3. Therefore, X(s) ¼ 

2=3 1 2=3 þ þ (s þ 1) (s þ 2) (s þ 4)

(3:113)

Now, using linearity and Table 3.1 (or recalling Equation 3.48), we can immediately write 

2 t 2 4t 2t u(t) x(t) ¼  e þ e þ e 3 3

(3:114)

Case 2: Simple quadratic factors. When D(s) contains a simple quadratic factor, the LT can be expanded as N(s) N(s) ¼ 2 D(s) (s  bs þ g)Dother (s) Bs þ C þ [other PFs corresponding to factors in Dother (s)] ¼ 2 (s  bs þ g)

X(s) ¼

(3:115)

The usefulness of this form is illustrated next.

Example 3.17 Find the time signal x corresponding to LT

X(s) ¼

(s þ 4) , (s þ 2)(s2 þ 6s þ 34)

ROC{s: Re{s} > 3}

(3:116)

Solution The order of Dpexceeds ffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffithe order of N, so we may proceed. The roots of the quadratic term are p, p ¼ (6  36  136=2 ¼ 3  j5), so we leave it as a quadratic. (If the roots were real, we would use the simple linear factor approach.) Expand the LT into PFs

X(s) ¼

A Bs þ C þ 2 (s þ 2) (s þ 6s þ 34)

(3:117)

Using the method for simple linear factors, we find that A ¼ 1=13. Now multiply both sides of Equation 3.117 by D(s) ¼ (s þ 2) (s2 þ 6s þ 34) to obtain (s þ 4) ¼

1 2 (s þ 6s þ 34) þ (Bs þ C)(s þ 2) 13

(3:118)

Equating like powers of s on the two sides of the equation yields B ¼ 1=13 and C ¼ 1=13, so X(s) ¼

1=13 [(1=13)s þ (9=13)] þ (s þ 2) (s2 þ 6s þ 34)

(3:119)

The first fraction has become familiar by now and corresponds to time function (1=13)e2t u(t). Let us focus on the second fraction. Note that (recall Equation 3.59) s2 þ 6s þ 34 ¼ (s  p)(s  p ) ¼ (s þ 3  j5)(s þ 3 þ j5) ¼ (s þ 3)2 þ 52

(3:120)

Fundamentals of Circuits and Filters

3-30

The second fraction, therefore, can be written as  1 (s þ 3) 12   13 (s þ 3)2 þ 52 (s þ 3)2 þ 52  1 (s þ 3) (12=5)5  ¼ 13 (s þ 3)2 þ 52 (s þ 3)2 þ 52

(3:121)

The terms in brackets correspond to a cosine and sine, respectively, according to Table 3.1. Therefore, 

1 2t 1 3t 12 3t e  e cos (5t) þ e sin (5t) u(t) X(t) ¼ 13 13 5(13)

(3:122)

Simple quadratic factors need not be handled by the above procedure, but can be treated as simple linear factors, as illustrated by Example 3.18.

Example 3.18 Repeat the previous problem using simple linear factors.

Solution Expand X into simple linear PFs

X(s) ¼

A E E , p ¼ 3 þ j5 þ þ (s þ 2) (s  p) (s  p )

(3:123)

Note that the PFE coefficients corresponding to complex-conjugate pole pairs will themselves be complex conjugates. In order to derive a useful general relationship, we ignore the specific numbers for the moment. Using the familiar inverse transform, we can write x(t) ¼ [Ae2t þ Eept þ E e pt ]u(t)

(3:124)

Letting E ¼ jEjejuE and p ¼ sp þ jvp, we have Eept þ E*ept ¼ jEjejuE e(sp þjvp )t þ jEjejuE e(sp þjvp )t ¼ 2jEjesp t cos (vp t þ uE )

(3:125)

This form should be noted for use with complex-conjugate pairs. Using the method for finding simple linear factor coefficients, we find that A ¼ 1=13. Also   (s þ 4) (p þ 4)  ¼ E ¼ X(s) (s  p)js¼p ¼   (s þ 2)(s  p ) s¼p (p þ 2)( j2Im{p}) ¼

(1 þ j5) ¼ 0:1ej0:626p (1 þ j5)( j10)

Therefore, the answer can be written as  1 2t 3t x(t) ¼ e þ 0:2e cos (5t  0:626p) u(t) 13

(3:126)

(3:127)

This solution is shown to be consistent with that of the previous example using the trigonometric identity cos (a þ b) ¼ cos(a) cos(b) – sin(a) sin(b).

Laplace Transformation

3-31

Case 3: Repeated linear factors. When D(s) contains a repeated linear factor, for example, (s  p)n the LT must be expanded as X(s) ¼

N(s) N(s) An An1 A1 ¼ ¼ n nþ n1 þ þ (s  p) D(s) (s  p) Dother (s) (s  p) (s  p) þ [other PFs corresponding to factors in Dother (s)]

(3:128)

The PFE coefficients of the n fractions are found as follows. Define wp (s) ¼ (s  p)n X(s)

(3:129)

 1 d i  ¼ w i! dsi p s¼p

(3:130)

Then Ani

The similarity of these computations to residues is apparent, but only A1 can be interpreted as the residue of pole p. We illustrate this method by example.

Example 3.19 Find the time signal x corresponding to LT X(s) ¼ (s þ 4)=(s þ 1)3.

Solution The order of D exceeds the order of N, so we may proceed. X has a third-order pole at s ¼ 1, so we expand X as

X(s) ¼

(s þ 4) A3 A2 A1 3 ¼ 3þ 2þ (s þ 1) (s þ 1) (s þ 1) (s þ 1)

(3:131)

Let w1(s) ¼ (s þ 1)3 X(s) ¼ (s þ 4). Then  dw1  ¼ 1, and A3 ¼ w1 (  1) ¼ 3, A2 ¼ ds s¼1

 1 d2 w1  A1 ¼ ¼0 2 ds2 s¼1

(3:132)

So X(s) ¼

3 1 3þ (s þ 1) (s þ 1)2

(3:133)

Using Table 3.1, we have 

3 2 t t x(t) ¼ t e þ te u(t) 2

(3:134)

Case 4: Repeated quadratic factors. When D(s) contains a repeated quadratic factor, e.g., (s2 þ bs þ g)n, the LT may be either inverted by separating the quadratic into repeated linear factors (one nth-order factor for each of the complex roots; see Equation 3.155), then treated using the method of Case 3, or it can be expanded as

Fundamentals of Circuits and Filters

3-32

N(s) N(s) ¼ 2 D(s) (s þ bs þ g)n Dother (s) n1 X (Bni s þ Cni ) ¼ þ [other PFs corresponding to factors in Dother (s)] (s2 þ bs þ g)n i¼0

X(s) ¼

(3:135)

The PFE coefficients of the n factions are found algebraically as we illustrate by example.

Example 3.20 Find the time signal x corresponding to X(s) ¼ 4s2=(s2 þ 1)2 (s þ 1) [10].

Solution Recognize the factor (s2þ 1)2 as an n ¼ 2 order quadratic factor with b ¼ 0 and g ¼ 1. We know from our previous work that b ¼ 2 Re{p}, where p and p* are the poles associated with the quadratic factor, in this case  j. In turn, the real part of p provides the damping term in front of the sinusoid represented by the quadratic factor. In this case, therefore, we should expect one of the terms in the time signal to be a pure sinusoid.

Write X as X(s) ¼

(B2 s þ C2 ) (B1 s þ C1 ) A þ 2 þ 2 2 (s þ 1) (s þ 1) (s þ 1)

(3:136)

Using the familiar technique for simple linear factors, we find that A ¼ 1. Now multiplying both sides of Equation 3.136 by (s2 þ 1)2 (s þ 1), we obtain 4s2 ¼ (B2s þ C2)(s þ 1) þ (B1s þ C1)(s2 þ 1)(s þ 1) þ (s2 þ 1)2. Equating like powers of s, we obtain B2 ¼ 1, C2 ¼ 1, B1 ¼ 2, and C1 ¼  2. Hence, X(s) ¼

1 (2s  2) (s  1) þ 2 2þ 2 (s þ 1) (s þ 1) (s þ 1)

(3:137)

We can now use Tables 3.1 and 3.2 to invert the three fractions. Note that the third term will yield an undamped sinusoid as predicted. Also note that the middle term is related to the third by differentiation. This fact can be used in obtaining the inverse.

3.4 Laplace Transform Analysis of Linear Systems Let us return to the example circuit problem, which originally motivated our discussion, and discover ways in which the LT can be used in system analysis. Three fundamental means are available for using the LT in such problems. The most basic is the use of LT theory to solve the differential equation governing the circuit dynamics. The differential equation solution methods are quite general and apply to linear, constant coefficient differential equations arising in any context. The second method involves the use of the ‘‘system function,’’ an LT-based representation of an LTI system, which embodies all the relevant information about the system dynamics. Finally, we preview ‘‘LT equivalent circuits,’’ an LT method that is primarily used for circuit analysis, and is treated more completely in Chapter 20.

3.4.1 Solution of the System Differential Equation Consider a system is governed by a linear, constant coefficient differential equation of the form nD X ‘¼0

nN X d‘ d‘ a‘ ‘ y(t) ¼ b‘ ‘ x(t) dt dt ‘¼0

(3:138)

Laplace Transformation

3-33

with appropriate initial conditions given. (The numbers nN and nD should be considered fixed integers for now, but are later seen to be consistent with similar notation used in the discussion of rational LTs.) Using the linearity and time-differentiation properties of the LT, we can transform both sides of the equation to obtain nD X

" ‘

a‘ s Y(s) 

‘¼0

¼

nN X

"

‘1 X

# 

y (0 )s

‘i1

i¼0

b‘ s‘ X(s) 

‘¼0

(i)

‘1 X

# x(i) (0 )s‘i1

(3:139)

i¼0

where y(i) and x(i) are the ith derivatives of y and x. Rearranging, we have

Y(s) ¼

X(s)

PnN

‘¼0

b‘ s ‘ 

PnN

‘¼0

b‘

P‘1 i¼0

x(i) (0 )s‘i1 þ PnD ‘ ‘¼0 a‘ s

PnD

‘¼0

a‘

P‘1 i¼0

y(i) (0 )s‘i1

(3:140)

Given the input signal x and all necessary initial conditions on x and y, all quantities on the right side of Equation 3.140 are known and can be combined to yield Y. Our knowledge of LT inversion will then, in principle, allow us to deduce y. This process often turns an unwieldy differential equation solution into simpler algebraic operations. The price paid for this simplification, however, is that the process of inverting Y to obtain y is sometimes challenging. Recall that in the motivating example, a similar conversion of the (nonhomogeneous) differential equation solution to algebraic operations occurred (recall Equation 3.16 and surrounding discussion), as t the superfluous term epx was divided out of the equation. Except for the lack of attention paid to initial conditions, what remains in Equation 3.16 is tantamount to an LT equation of form Equation 3.139, as shown below. The homogeneous solution and related initial conditions were not included in the earlier discussion to avoid obfuscating the main issue. The reader was encouraged to think of the LT as a process of ‘‘dividing’’ the ‘‘est’’ term out of the signals before starting the solution. We can now clearly see this fundamental connection between the differential equation and LT solutions.

Example 3.21 Return to the motivating example in Section 3.2 and solve the problem using LT analysis.

Solution Recall the differential equation governing the circuit, Equation 3.1, x(t) ¼ LC(d2 y=dt2) þ RC(dy=dt) þ y(t). The initial conditions are y(0) ¼ y0 and i(0) ¼ i0. Recall also that for convenience we seek the solution for x(t) ¼ Mx e juxe(sþjvx )t u(t) ¼ Mx e juxe px tu(t) recognizing that the ‘‘correct’’ solution will be the real part of that obtained.

Taking the LT of each side of the differential equation, we have X(s) ¼ LC[s2 Y(s)  sy(0 )  y(1) (0 )] þ RC[sY(s)  y(0 )] þ Y(s)

(3:141)

or Y(s) ¼

X(s) (sLC  RC)y(0 ) þ LCy(1) (0 ) þ (LCs2 þ RCs þ 1) (LCs2 þ RCs þ 1)

(3:142)

Fundamentals of Circuits and Filters

3-34

Dividing both numerator and denominator of each fraction by LC, and inserting the LT X(s) ¼ Mxe jux=(s  px) and the initial conditions [recall that Cy(1) ¼ i(t) ) Cy(1)(0) ¼ i0], we have Y(s) ¼

Mx ejux =LC [s  (R=L)]y0 þ i0 =C þ 2 (s  px )[s þ (R=L)s þ 1] [s2 þ (R=L)s þ 1]

(3:143)

Using PFE, this can be written jux Mx e jux =LC  Mx e =LC 2 p þ (R=L)px þ 1 (p  px ) þ h Y(s) ¼ x (s  px ) (s  ph )   jux Mx e =LC [s  (R=L)]y0 þ i0 =C (ph  px ) þ þ  (s  ph ) (s  ph )(s  ph )



(3:144)

where ph and ph* are the system poles. We have expanded the first fraction in Equation 3.143 using simpler linear factors involving the poles px, ph, and ph*.The latter two poles correspond to the system (roots of (s2 þ (r=L)s þ 1)), and the resulting terms in Equation 3.144 are part of the natural response. The first pole, px, is attributable to the forcing function, and the resulting term in Equation 3.144 will yield the forced response in the time domain. Finally, the last term in Equation 3.144, which arises from the last term in Equation 3.143, is also part of the natural response. The separation of the natural response into these three LT terms harkens back to the time-domain discussion about the distinct contributions of the input and initial conditions to the natural response. The last term is clearly related to initial conditions and the circuit’s natural means of dissipating that energy. The former, terms, which will also yield a damped sinusoid of identical complex frequency to that of the third term, are clearly ‘‘caused’’ by the input. Remark. The reader may wonder why the first fractions in Equations 3.17 and 3.144, both of which represent the forced response, are not identical. After all, we have been encouraged to view the LT as a kind of generalized phasor representation. Recall, however, that the LT at a particular s must be thought of as a ‘‘phasor density.’’ If an eigensignal of the system represents one complex frequency, e.g., s ¼ px, the LT is infinitely dense at that point in the s-plane, corresponding to the existence of a pole there. If the signal does have a conventional phasor representation such as Y ¼ Mye juy, then this phasor will be related to the LT as   ds  ¼ Y(s)  ¼ lim Y(s)(s  px ) Y 2ps¼px s!px

(3:145)

which we recognize as the residue of the LT Y at the pole px. The reader can easily verify this assertion using Equation 3.143. This discussion is closely related to the interconnection between the FS coefficients (which are similar to conventional sinusoidal phasors) and the Fourier transform (FT). These signal representations are discussed in Chapter 4.

3.4.2 System Function

Definition 3.1: In our motivating example an input sinusoid with generalized phasor Mxe jux produced an output sinusoid with generalized phasor Mye juy. We discovered that the ratio of phasors was dependent only upon system parameters and the complex frequency, px. We noted that when considered as a function of the general complex frequency, s, this ratio is called the system function. That is, the system function is a complex function, H, of complex frequency, s, such that if a damped sinusoid

Laplace Transformation

3-35

of complex frequency s ¼ px and with generalized phasor X ¼ Mxe jux is used as input to the system, the forced response will be a sinusoid of complex frequency px and with generalized phasor My e juy ¼ H(px )Mx e jux

(3:146)

3.4.2.1 Preview of Magnitude and Phase Responses It is often important to know how a system will respond to a pure sinusoid of radian frequency, e.g., vx. In particular, we would like to know the amplitude and phase changes imposed on the sinusoid by the system. In terms of the definition just given, we see that this information is contained in the system function evaluated at frequency px ¼ jvx. In particular, *H(jvx)* represents the magnitude factor and arg {H(jvx)} the phase change at this frequency. When plotted as functions of general frequency v, the real functions *H(jv)* and arg {H(jvx)} are called the magnitude (or sometimes frequency) response, and phase response of the system, respectively. The complex function H(jv) will be seen to be the FT of the impulse response (see Appendix B and Chapter 4) and is sometimes called the transfer function for the system. Returning to Figure 3.2b, the reader will discover that we have plotted the magnitude response for the series RLC circuit of Section 3.2 with numerical values given in Equation 3.18. The magnitude and phase responses of the system can be obtained graphically from the pole–zero diagram. Writing H(s) similarly to Equation 3.62, QD QN (s  zi )= ni¼1 (s  pi ). Therefore, H(s) ¼ C ni¼1 QnN j jv  zi j and jH(jv)j ¼ C Qni¼1 D i¼1 j jv  pi j nN nD X X argfH ð jvÞg ¼ argf ( jv  zi )g  argf ( jv  pi )g i¼1

(3:147)

i¼1

where we have assumed C > 0 (if not, add p radians to arg{H(jvx)}). By varying v the desired plots are obtained as illustrated in Figure 3.9.



jω jω – p1 p1 ×

jω – z1

p1

α1

×

jω – p2

β1 z1

p2 (a)

×

z1

σ p2 (b)

×

α2

σ

α1 (ω) = arg ( jω – p1) α2 (ω) = arg ( jω – p2) β1 (ω) = arg ( jω – z1)

FIGURE 3.9 Pole–zero plot for an example system function, H(s) ¼ C(s  z1)=(s  p1)(s  p2). (a) To obtain the magnitude response jH(sv)j at frequency v, the product of lengths from all zeroes to s ¼ jv is divided by the product of lengths from all poles to s ¼ jv. The result must be multiplies by the gain term jCj. (b) To obtain the phase response {H(jvx)} at frequency v, the sum of angles from all poles to s ¼ jv is subtracted from the sum of angles from all zeroes to s ¼ jv. An additional p radians is added if C < 0.

Fundamentals of Circuits and Filters

3-36

Definition 3.2: More generally, the system function, H(s), for an LTI system can be defined as the ratio of the LT, for example, Y(s), of the output, resulting from any input with LT, for example, X(s), when the system is initially at rest (zero initial conditions). In other words, H is the ratio of the LT of the zero-state response to the LT of the input, def

H ðsÞ ¼

Y ðsÞ when all initial conditions are zero X ðsÞ

(3:148)

While the latter definition is more general, the two definitions are consistent when the input is an eigensignal, as we show by example.

Example 3.22 Show that the two definitions of the system function described previously are consistent for the RLC circuit of Section 3.2.

Solution Replacing the specific frequency px by a general complex frequency s in the initial example using phasor analysis, we found that (recall Equation 3.31) H(s) ¼ 1=(LCs2 þ RCs þ 1). On the other hand, using more formal LT analysis on the same problem, we derived Equation 3.142. Forming the ratio Y(s)=X(s) where both initial conditions are set to zero, yields an identical result.

Finally, another very useful definition of the system is as follows.

Definition 3.3: The output of LTI system to an impulse excitation, x(t) ¼ d(t) (see Section 3.6, Appendix A), when all initial conditions are zero, is called the impulse response of the system. The impulse response is usually denoted h(t). The system function can be defined as the LT of the impulse response H(s) ¼ +{h(t)}. The consistency of this definition with the first two is easy to demonstrate. Let H denote the system function for the system, regardless of how it might be related to h(t). From Definition 3.2, we have H(s) ¼ Y(s)=X(s) for any valid LTs X and Y. Let x(t) ¼ d(t), in which X(s) ¼ 1. By definition, y(t) ¼ h(t), so +{h(t)} ¼ +{y(t)} ¼ H(s)X(s) ¼ H(s). This interpretation of H enables us to find the impulse response of the system, a task which is not always easy in the time domain because of the pitfalls of working with impulse functions.

Example 3.23 Find the impulse response, h, for the circuit of Section 3.2.

Solution Using various means we showed that the system function is H(s) ¼ 1=(LCs2 þ RCs þ 1). Let us find h by computing h(t) ¼ +1{H(s)}. Using the quadratic equation, we find the roots of the denominator (poles of the system) to be (cf., Equation 3.6) p1 ,p2 ¼

RC 

rffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffi pffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffi R2 C 2  4LC R R2 1  ¼  4L2 LC 2LC 2L

(3:149)

Laplace Transformation

3-37

Assume that these poles are complex conjugates and call them ph , ph , where R ph ¼  þ j 2L

rffiffiffiffiffi 1 R2 def  2 ¼ sh þ jvh LC 4L

(3:150)

Comparing to our initial work on finding the homogeneous solution of the differential equation, we see that these system poles are the roots of the characteristic equation. The reason for using subscript ‘‘h’’ in our early work should now be clear. In terms of Equation 3.150 we can rewrite H as H(s) ¼

1=LC (s  sh )2 þ v2h

(3:151)

(recall Equation 3.59). Now using Table 3.1: +{esh t cos (vh t þ uh )} ¼ [(s  sh ) cos (uh )  vh sin (uh )]= [(s  sh )2 þ v2h ]. Letting uh ¼ p=2 and using linearity, we have h(t) ¼ 

1 sh t p 1 sh t e cos vh t þ u(t) ¼ e sin (vh t)u(t) LCvh 2 LCvh

We could also note that jph j ¼

(3:152)

pffiffiffiffiffiffiffiffiffiffi 1=LC and write the initial scale factor as jphj2=vh.

We see clearly that the impulse response is closely related to the natural responses of a system, which in turn are tied to the homogeneous solution of the differential equation. These transient responses depend only on properties of the system, and not on properties of the input signal (beyond the initial instant of excitation). The form of the homogeneous differential equation solution is specified by the number and values of the roots of the characteristic equation. These roots are, thus, the poles of the system function H. The system function offers an extremely valuable tool for the design and analysis of systems. We now turn to this important topic.

3.4.3 Poles and Zeros—Part II: Stability Analysis of Systems We return to the issue of poles and zeroes, this time with attention restricted to rational LTs. In particular, we focus on the poles and zeroes of a system function and their effects on the performance of the system. 3.4.3.1 Natural Modes The individual time responses corresponding to the poles of H are often called natural modes of the system. These modes are indicators of the physical properties of the system (e.g., circuit values), which in turn determine the natural way in which the system will dissipate, store, amplify, or respond to energy of various frequencies. Consider two general cases. Suppose H has a real pole of order n at s ¼ p, so that it can be written H(s) ¼

n X i¼1

Ai þ [other terms] (s  p)i

(3:153)

We know from previous work, therefore, that (see Table 3.1) h has corresponding modal components, h(t) ¼

n X i¼1

Ai t i1 ept u(t) þ [other terms] (i  1)!

(3:154)

Fundamentals of Circuits and Filters

3-38

1 0.8

1 0.9

p = –1, n = 1

0.8

p = – 0.4 + j, n = 2

0.4

p = –1, n = 2

0.7 0.6

Amplitude, x (t)

Amplitude, x (t)

p = – 0.4 + j, n = 1

0.6

0.5 0.4 0.3

0.2 0

0

1

2

3

4

5

6

7

8

9

10

–0.2

0.2

–0.4

0.1

–0.6

0 0

0.5

1

1.5

2

2.5 3 3.5 Time, t (s)

(a)

4

4.5

5

5.5

–0.8

6

(b)

Time, t (s)

FIGURE 3.10 Modal components in the impulse response corresponding to (a) a real pole of orders n ¼ 1 and n ¼ 2 at s ¼ p, and (b) a complex pole pair of orders n ¼ 1 and n ¼ 2 and s ¼ p, p*.

When jpj < 0, the modal components due to pole p will decay exponentially with time (modulated by the terms ti  1). When jpj > 0, these modal components will increase exponentially with time (modulated by the terms ti  1). When p ¼ 0, the term will either remain bounded if n ¼ 1, or terms will increase with power n as t increases. These cases are illustrated in Figure 3.10a. Next, let H have a complex pole pair of order n at s ¼ p, p*, so that it can be written H(s) ¼

n X i¼1

"

# Ei Ei* þ [other terms] þ (s  p)i (s  p*)i

(3:155)

Using Table 3.1, we see that h has a corresponding modal component h(t) ¼

n X 2jEi j i1 sp t t e cos (vp t þ uEi )u(t) þ [other terms] (i  1)! i¼1

(3:156)

where p ¼ sp þ jvp, and uEi ¼ arg{Ei}. In this case, when jpj < 0, the sinusoidal components will decay exponentially with time (modulated by the terms ti  1); when jpj > 0, these terms will increases exponentially with time (modulated by the terms ti  1); and when p ¼ 0, the terms due to p will either represent a constant or increasing sinusoid, depending on the value of n. These cases are illustrated in Figure 3.10b. 3.4.3.2 BIBO Stability We digress momentarily to discuss the concept of stability. To avoid some unnecessary complications, we restrict the discussion to causal systems, and, as usual, to the unilateral LT. There are various ways to define stability, but the most frequent and useful definition for an LTI system is that of BIBO stability. A system is said to be bounded-input–bounded-output (BIBO) stable iff every bounded input produces a bounded output. Formally, any bounded input, for example, x such that jx(t)j  Bx < 1 for all t, must result in an output, y, for which By < 1 exists so that jy(t)j  By for all t. A necessary and sufficient condition for BIBO stability of an LTI system is that its impulse response be absolutely integrable

Laplace Transformation

3-39 1 ð

jh(t)jdt < 1

(3:157)

0

This is easy to show. First, assume jx(t)j < Bx for all t. Then, form the convolution integral 1  ð     j y(t)j ¼  x(t  l)h(l)dl  

(3:158)

0

Now, using the Schwarz inequality (see Ref. [7]), we have 1 ð

j y(t)j 

1 ð     x(t  l) h(l)jdl  Bx jh(l)jdl

0

(3:159)

0

Consequently, it is sufficient that Equation 3.157 be true for By < 1 to exist. On the other hand, suppose that condition (Equation 3.157) were not true, but the system were BIBO stable. For a fixed t, consider the input 8 < 1, x(t  l) ¼ 1, : 0,

h(l) > 0 h(l) < 0 h(l) ¼ 0

(3:160)

For this input, the output at time t is 1 ð

y(t) ¼

    h(l)dl

(3:161)

0

which is not bounded according to assumption. Therefore, we encounter a contradiction showing that the condition (Equation 3.157) is also necessary for BIBO stability. 3.4.3.3 Stability and Natural Modes Now we tie the stability analysis to the discussion of modal components above. We assert that a ‘‘causal LTI system with proper rational system function H will be BIBO stable iff all of its poles are in the lefthalf s-plane.’’ That this is true is easily seen. If any pole is in the right-half s-plane, we know that h will contain at least one mode that will increase without bound. Therefore, Equation 3.157 cannot hold and the system is not BIBO stable. Conversely, if all poles are in the left-half s-plane, Equation 3.157 will hold. The case in which one or more simple poles fall exactly on the s ¼ jv-axis (and none in the right-half s-plane) is called marginal BIBO stability. In this case Equation 3.157 does not hold, so the system is not strictly BIBO stable. However, the system does theoretically produce bounded outputs for some inputs. Finally, note that we show by example below Example 3.24(a) that an improper rational system function cannot represent a BIBO stable system. Based on our earlier discussion of LT ROCs, an equivalent way to state the BIBO stability condition is as follows: ‘‘A causal, LTI system is BIBO stable iff the ROC of its system function H includes the s ¼ jv-axis in the s-plane.’’ We recall that the failure to include the jv- axis would imply at least one pole of H in the right-half s-plane. Let us conclude this discussion by considering some examples.

Fundamentals of Circuits and Filters

3-40

Example 3.24 Comment on the BIBO stability of the following systems: (a) (b) (c) (d) (e)

HðsÞ ¼

N ðs Þ ð1=2Þs3 ð1=2Þs3 ¼ ¼ 2 DðsÞ s þ 2s þ 1 ðs þ 1Þ2

ðs  3Þ þ 5s þ 4 ðs  3Þ HðsÞ ¼ 2 ðs þ 5s þ 4Þðs2  2s  3Þ 6 i HðsÞ ¼ h 2 ðs þ 3Þ þ25 ðs þ 8Þ HðsÞ ¼

HðsÞ ¼

s2

s2

s þ4

Solution (a) H is not a proper rational fraction because the order of N(s) > order of D(s). We illustrate that such a system is not BIBO stable. Dividing D into N, we can write 1 3=2 H ðs Þ ¼ s  1 þ 2 2 sðs þ 2s þ 1Þ

(3:162)

Suppose we enter x(t) ¼ u(t) as a (bounded) input. Then Y ðsÞ ¼ HðsÞX ðsÞ ¼

H ðs Þ 1 1 3=2 ¼  þ 2 s 2 s sðs þ 2s þ 1Þ

(3:163)

Therefore,  1 3=2 y ðt Þ ¼ dðt Þ  uðt Þ þ terms resulting from 2 2 sðs þ 2s þ 1Þ

(3:164)

The output is unbounded in response to a bounded input and the system is therefore not BIBO stable. ‘‘A similar result will occur whenever H is not proper.’’ (b) H has poles at s ¼  1,  4, and zero at s ¼ 3. The system is BIBO stable. Note that the right-half plane zero has no adverse effect on stability. (c) H(s) ¼ N(s)=D(s) has a second-order pole at s ¼ 1, and a simple pole at s ¼ 4. Both N and D have roots s ¼ 3, thus neither a pole nor zero is found there (they cancel). The system is therefore BIBO stable. Remark. The response to nonzero initial conditions of a system which has one or more ‘‘cancelled poles’’ in the right-half s-plane will increase without bound, and therefore could be considered ‘‘unstable’’ in some sense, even though it is BIBO stable. The reader is invited to show that the present system will respond in this undesirable manner to nonzero initial conditions. A system is said to be asymptotically stable if it is BIBO stable and its response to initial conditions approaches zero as t ! 1. We see that asymptotic stability implies BIBO stability but the converse is not true. (d) Recalling Equation 3.59, we find H has poles s ¼ 3  j5 and s ¼ 8. No finite zeros are included. The system is BIBO stable and will have both an oscillatory mode and a damped exponential mode. (e) H has poles at s ¼  j2 which are on the jv-axis. The system is marginally BIBO stable.

Laplace Transformation

3-41

Example 3.25 Return to the series RLC pcircuit ffiffiffiffiffiffiffiffi of Section 3.2 and discuss the system’s stability as R varies for a fixed L and C. Assume that R < 2 L=C .

Solution In light of Equation 3.149, the upper bound on R means that the poles of the system will always be complex conjugates, e.g., ph, ph* (h is oscillatory). From Equation 3.150 we see that the poles of the system can be written in polar form as

ph , ph ¼

qffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffi 1 1 1 s2h þ v2h ej tan (vh =sh ) ¼ pffiffiffiffiffiffi e j tan (vh =sh ) LC

(3:165)

pffiffiffiffiffiffi For fixed L and C, the poles remain on a circle of radius 1= LC in the s-plane. Having established pffiffiffiffiffiffiffiffiffiffiffi this given in Equation 3.149 and note that as R ! 0, p ! j 1=LC . On fact, recall the Cartesian form of p h h pffiffiffiffiffiffiffiffiffiffiffi pffiffiffiffiffiffi other hand, as R ! 2 LC, ph !  1=LC (see Figure 3.11). Therefore, the poles remain in the left-half s-plane over the specified pffiffiffiffiffiffi range for R, except when R ¼ 0, in which case the poles are exactly on the jvaxis at s ¼  j(1= LC). Therefore, the system is BIBO stable, except when R ¼ 0, in which case it is marginally stable. Only if R were to take negative values would the circuit go unstable. This is not realistic unless active circuit elements are present which effectively present negative resistance. The reader is encouraged to explore what happens as R continues to increase beyond the given upper bound.

3.4.4 Laplace-Domain Phasor Circuits After completing a sufficient number of circuit problems involving differential equations like Example 3.21, certain patterns would become apparent in a Laplace-transformed differential equation like Equation 3.141. These patterns occur precisely because of the invariant relationships between currents and voltages across lumped parameter components. For example, because the current and voltage jω

s-plane

R increases

When R = 2 pole at ph = –

L , double C 1 LC

×

1 LC

When R = 0, poles at ph,ph* = ± j 1 LC

×

σ

×

R increases

FIGURE 3.11 Locus of the poles as R varies in Example 3.25.

×

Fundamentals of Circuits and Filters

3-42

through an inductor are related as vL(t) ¼ L(diL=dt), whenever this relationship is encountered and transformed in a circuit problem, it becomes VL(s) ¼ sLIL(s)  LiL(0). This relationship may be written without recourse to the time domain by treating VL(s) and IL(s) as a ‘‘DC voltage’’ and a ‘‘DC current,’’ by replacing the inductor with a ‘‘resistor’’ with value sL, by adding a voltage source in series with value LiL(0) having proper polarity, a small DC circuit problem. In general, we can make ‘‘Laplace’’ substitutions for each component in a circuit, then use ‘‘DC’’ analysis to write the Laplace-transformed differential equation directly. The appropriate substitutions and variations for both mesh and nodal analysis are discussed in detail in Chapter 12 of Feedback, Nonlinear, and Distributed Circuits, along with more advanced frequency-domain uses of such LT replacements. After studying that material, the reader may wish to provide closure to the present discussion by solving the following problem.

Example 3.26 Derive the Laplace-transformed differential equation (Equation 3.141) using Laplace circuit equivalents.

3.5 Conclusions and Further Readings The LT is a powerful tool in the analysis and design of linear, time-invariant circuits and systems. In this exposition we have developed the LT by appealing to the manner in which it turns differential equation solutions into simple algebraic problems. We have focused not only on the technique for exploiting this advantage, but also the reasons that this happens. Along the way we have discovered some useful properties of the LT, as well as the meaning of poles and zeros. We also discussed numerous techniques for recovering the time signal from the LT, noting that this sometime difficult task is the price paid for alleviating the difficulties of time-domain solution. In the latter part of the chapter we turned our attention to the analysis of systems, in particular the meaning and derivation of the system function. This study led to the understanding of the relationship between the system function and impulse response, and between the pole locations of the system function and stability of the system. Most of our work has focused on the ULT, although the BLT was carefully discussed at the outset. The advantage of the ULT is that it provides a way to incorporate initial condition information, a very important property in many design and analysis problems, particularly because the initial conditions play an important role in the transient response of the system. On the other hand, the BLT can handle a much broader class of signals, a feature that is often advantageous in theoretical and formal developments. The ROC of the LT in the s-plane becomes much more important for the BLT as the LT itself is not unique without it. Accordingly, we spent a significant amount of effort studying the ROC. The LT is closely related to the Fourier and z-transforms (ZTs), which are the subjects of subsequent chapters. Section 3.7, Appendix B previews these ideas. Finally, we note that several LT topics have not been treated here. These topics, such as the analysis of feedback systems and state-space models, deal principally with control theory and applications and are therefore outside of the scope of this book. Many fine books on signals and systems are available to which the reader can turn to explore these subjects. Some of these texts are referenced below. Many excellent books on circuit analysis and filter design, too numerous to cite here, are also available.

3.6 Appendix A: The Dirac Delta (Impulse) Function The Dirac delta, or impulse, function, d(t), is defined as the function with the following properties: If signal x is continuous at t0 (‘‘where the impulse is located in time’’), then

ðb xðt Þdðt  t0 Þdt ¼ a

0, t0 2 = [a,b] xðt0 Þ, t0 2 (a,b)

(3:166)

Laplace Transformation

3-43

Two special cases are noted: 1. Note that Equation 3.166 does not cover the case in which the impulse is located exactly at one of the limits of integration. In such cases whether x is continuous at t0. The integral takes the value 12 x(t0þ ) if t0 is the lower limit, t0 ¼ a. The integral takes the value 12 x(t0 ) if t0 is the upper limit, t0 ¼ b. 2. The only case not explicitly covered is one in which x is discontinuous at t0 and t0 is not a limit of integration. In this case the integral takes the value 12 [x(t0 ) þ x(t0þ )] if t0 2 (a, b), and 0 otherwise. Note that this answer is also valid if x is continuous at t0, but it is unnecessarily complicated. Note what happens in the special case in which x(t) ¼ 1, a ¼ –1, b ¼ t, and t0 ¼ 0. From the definition, we can write 8 0, t < 0 > < 1, 5t > 0 d(l)dl ¼ > :1, t ¼ 0 1 2 ðt

(3:167)

We see that ðt d(l)dl ¼ u(t)

(3:168)

du ¼ d(t) dt

(3:169)

1

Therefore, except at t ¼ 0,

What emerges here is a very strange function. We see from Equation 3.168 that d must be zero everywhere except at t ¼ 0 because, apparently we accumulate area at only that point. The area under that one point is unity because the integral takes a jump from 0 to 1 as t crosses zero. Because d has zero width and unity total area, it must have infinite amplitude (at that one point!). To indicate the delta function, therefore, we draw ‘‘arrows’’ as shown in Figure 3.12. It is sometimes mathematically useful to indicate a delta function with area other than unity. In this case we simply label the arrow with a number called the ‘‘weight’’ of the impulse. Note that it makes no sense to draw taller and shorter arrows for

7

0

3

FIGURE 3.12 Impulse functions d(t), d(t  3), and 7d(t  8).

8

t

Fundamentals of Circuits and Filters

3-44

different weights, although this is sometimes done in textbooks, because the weight does not indicate the ‘‘height’’ of the function (1!), but instead, its area! Finally, note that computing integrals with delta functions in them is very easy because one need only follow the rules of the definition. For example, 1 ð

ep(l4) d(l  6)dl ¼ e2p

δ(1) (t)

(3:170)

18

0–

t

0+

and ð2 3u(t)d(t)dt ¼ 3

u(0þ ) 3 ¼ 2 2

(3:171)

0

Remark. The kth derivative of the impulse (usually k  2) occasionally appears in LT work. The notation def

d(k) (t) ¼

FIGURE 3.13

Doublet.

dk d dt k

(3:172)

is often used to denote this signal. The signal d(1)(t) is called a doublet and is plotted as shown in Figure 3.13.

3.7 Appendix B: Relationships among the Laplace, Fourier, and z-Transforms The transforms previewed here are most frequently defined and discussed for two-sided signals. Therefore, it is most natural to base this discussion on the BLT, as defined in Equation 3.38. The FT, XF, of a signal x is defined by the integral 1 ð

XF (v) ¼

x(t)ejvt dt

(3:173)

1

Upon comparison with Equation 3.38, it is apparent that the FT evaluated at radian frequency v is equivalent to the BLT of x evaluated at s ¼ jv in the s-plane. The FT can, therefore, be obtained over all v by evaluating the BLT, e.g., XL along the jv-axis: XF (v) ¼ XL (s)js¼jv

(3:174)

Evidently, the FT will only exist for a signal x if its BLT has an ROC that includes the jv-axis. One very important class of signals whose BLT ROCs include the entire left-half s-plane, but not the jv-axis, is the periodic signals. For this purpose, the FS can be used to expand the signal on a set of discrete, harmonically related, basis functions (either complex exponentials or sinusoids). The complex version is

Laplace Transformation

3-45

x(t) ¼

1 X

c‘ e j‘v0 t

(3:175)

‘¼1

where v0 ¼ 2p=T0 is the fundamental radian frequency, with T0 the period of the waveform. The complex numbers c‘, ‘ ¼ . . . , 1, 0, 1, 2, . . . are the FS coefficients computed as c‘ ¼

1 T0

ð

x(t)ej‘v0 t dt

(3:176)

T0

where the integral is taken over any period of the waveform. Comparing Equations 3.176 and 3.173, we see that the FS coefficients are equivalent to (scaled) samples of the FT of one period of x, where the samples are taken at frequencies ‘v0. If we have a periodic waveform, therefore, we can always represent it by samples of the FT of one period. Similarly, if we have ‘‘short’’ signal and want to represent it using only frequency samples, we can let it be periodic and represent it using the FS coefficients. In this case, we simply need to recall that the signal is not truly periodic and work with only one period. Conversely, the FT may be represented using only samples of the time waveform by artificially letting the FT become periodic, then letting the time samples play the role of the FS coefficients. In this case, we in effect, let the BLT become periodic along the jv-axis. This ‘‘backward FS’’ is what is known as the discrete-time Fourier transform (DTFT). The DTFT is discussed in Chapter 4 along with the discrete Fourier transform, a Fourier-type transform which is discrete and periodic in both time and frequency. For the latter, the connections to the BLT are too obtuse to describe in brief terms here. Finally, if we let the BLT become periodic in v with some fixed period along each s line, the BLT can also be represented by discrete-time samples. This is similar to writing a ‘‘FS’’ which changes for each s. This discrete-time Laplace transform (DTLT) could, in principle, be used in the design and analysis of discrete-time systems in much the same way the BLT is used incontinuous-time work. For historical reasons and for mathematical convenience, however, the ZT is almost universally used. The ZT is obtained from the DTLT using the mapping esT ! z, where T is the sample period on the time signal. As a consequence of this mapping, ‘‘strips’’ in the s-plane map into annuli in the z-plane. Therefore, the ROC of a ZT takes the form of an annulus and the unit circle in the z-plane plays the role of the jv-axis in the s-plane. These ideas will become clearer and more precise through the study of successive Chapters 4 and 5. The reader is also encouraged to see Ref. [2] for an elementary approach to discrete FTs.

References 1. G. E. Carlson, Signal and Linear System Analysis, Boston: Houghton-Mifflin, 1992. 2. J. R. Deller, Tom, Dick and Mary discover the DFT, IEEE Signal Process. Mag., 11, 36–50, Apr. 1994. 3. R. V. Churchill, J. W. Brown, and R. F. Verhey, Complex Variables and Applications, 3rd edn., New York: McGraw-Hill, 1976. 4. G. Doetsch, Guide to the Applications of Laplace Transforms, New York: Van Nostrand, 1961. 5. W. H. Hayt and J. E. Kemmerly, Engineering Circuit Analysis, New York: McGraw-Hill, 1971. 6. R. C. Houts, Signal Analysis in Linear Systems, Philadelphia: Saunders, 1989. 7. A. N. Kolmogorov and S. V. Fomin, Introductory Real Analysis, New York: Dover, 1975. Translated and edited by R. A. Silverman. 8. P. Kraniauskas, Transforms in Signals and Systems, Reading, MA: Addision-Wesley, 1992. 9. W. LePage, Complex Variables and the Laplace Transform for Engineers, New York: McGraw-Hill, 1961. 10. C. D. MacGillem and G. R. Copper, Continuous and Discrete Signal and System Analysis, 3rd edn., Philadelphia: Saunders, 1991.

4 Fourier Methods for Signal Analysis and Processing 4.1 4.2

Introduction ................................................................................ 4-1 Classical Fourier Transform for Continuous-Time Signals......................................................... 4-2 Properties of the Continuous-Time Fourier Transform . Sampling Models for Continuous- and Discrete-Time Signals . Fourier Spectrum of a Continuous-Time Sampled Signal . Generalized Complex Fourier Transform

4.3

Fourier Series Representation of Continuous-Time Periodic Signals .......................................................................... 4-7 Exponential Fourier Series . Trigonometric Fourier Series . Convergence of the Fourier Series . Fourier Transform of Periodic Continuous-Time Signals

4.4

Discrete-Time Fourier Transform........................................ 4-12 Properties of the Discrete-Time Fourier Transform . Relationship between the CT and DT Spectra

4.5

Discrete Fourier Transform................................................... 4-15 Properties of the DFT

.

Fast Fourier Transform Algorithms

4.6

Family Tree of Fourier Transforms..................................... 4-19

4.7

Selected Applications of Fourier Methods ......................... 4-21

Walsh–Hadamard Transform DFT (FFT) Spectral Analysis . FIR Digital Filter Design . Fourier Block Processing in Real-Time Filtering Applications . Fourier Domain Adaptive Filtering . Adaptive Fault Tolerance via Fourier Domain Adaptive Filtering

W. Kenneth Jenkins

Pennsylvania State University

4.8 Summary.................................................................................... 4-28 References ............................................................................................ 4-28

4.1 Introduction The Fourier transform is a mathematical tool that is used to expand signals into a spectrum of sinusoidal components to facilitate the signal representation and the analysis of system performance. In certain applications, the Fourier transform is used for spectral analysis, and while in others it is used for spectrum shaping that adjusts the relative contributions of different frequency components in the filtered result. In certain applications, the Fourier transform is used for its ability to decompose the input signal into uncorrelated components, so that signal processing can be more effectively implemented on the

4-1

Fundamentals of Circuits and Filters

4-2

individual spectral components. Different forms of the Fourier transform, such as the continuous-time (CT) Fourier series, the CT Fourier transform, the discrete-time (DT) Fourier transform (DTFT), the discrete Fourier transform (DFT), and the fast Fourier transform (FFT), are applicable in different circumstances. The goal of this section is to clearly define the various Fourier transforms, to discuss their properties, and to illustrate how each form is related to the others in the context of a family tree of Fourier signal processing methods. Classical Fourier methods such as the Fourier series and the Fourier integral are used for CT signals and systems, i.e., systems in which the signals are defined at all values of t on the continuum 1 < t < 1. A more recently developed set of discrete Fourier methods, including the DTFT and the DFT, are extensions of basic Fourier concepts for DT signals and systems. A DT signal is defined only for integer values of n in the range 1 < n < 1. The class of DT Fourier methods is particularly useful as a basis for digital signal processing (DSP) because it extends the theory of classical Fourier analysis to DT signals and leads to many effective algorithms that can be directly implemented on general computers or special-purpose DSP devices.

4.2 Classical Fourier Transform for Continuous-Time Signals A CT signal s(t) and its Fourier transform S(jv) form a transform pair that are related by the following equations for any s(t) for which the integral (Equation 4.1a) converges: 1 ð

S( jv) ¼

s(t)ejvt dt

(4:1a)

S(jv)ejvt dv

(4:1b)

1

1 s(t) ¼ 2P

1 ð

1

In most literatures, Equation 4.1a is simply called the Fourier transform, whereas Equation 4.1b is called the Fourier integral. The relationship S(jv) ¼ F{s(t)} denotes the Fourier transformation of s(t), where F{} is a symbolic notation for the integral operator, and where v is the continuous frequency variable expressed in rad=s. A transform pair s(t) $ S(jv) represents a one-to-one invertible mapping as long as s(t) satisfies conditions which guarantee that the Fourier integral converges. In the following discussion, the symbol d(t) is used to denote a CT impulse function that is defined to be zero for all t 6¼ 0, undefined for t ¼ 0, and has unit area when integrated over the range 1 < t < 1. From Equation 4.1a, it is found that F{d(t  t0)} ¼ ejvt0 due to the well-known shifting property of d(t). Similarly, from Equation 4.1b we find that F 1{2pd(v  v0)} ¼ ejv0t, so that d(t  t0) $ e jvt0 and ejv0t $ 2pd(v  v0) are Fourier transform pairs. Using these relationships, it is easy to establish the Fourier transforms of cos(v0t) and sin(v0t), as well as many other useful waveforms, many of which are listed in Table 4.1. The CT Fourier transform is useful in the analysis and design of CT systems, i.e., systems that process CT signals. Fourier analysis is particularly applicable to the design of CT filters, which are characterized by Fourier magnitude and phase spectra, i.e., by jH(jv)j and arg H(jv), where H(jv) is commonly called the frequency response of the filter.

4.2.1 Properties of the Continuous-Time Fourier Transform The CT Fourier transform has many properties that make it useful for the analysis and design of linear CT systems. Some of the more useful properties are summarized in this section, while a more complete

Fourier Methods for Signal Analysis and Processing TABLE 4.1

Continous Time Fourier Transform Pairs

Signal þ 1 P

Fourier Series Coefficients (if Periodic)

Fourier Transform

ak ejkv0 t

k¼1

þ 1 P

2p

ak d(v  kv0 )

ak

k¼1

2pd(v  v0)

ejv0t

a1 ¼ 1

cos v0t

p[d(v  v0) þ d(v þ v0)]

sin v0t

p j [d(v  v0 )  d(v þ v0 )]

x(t) ¼ 1

2pd(v)

Periodic square wave  1, jtj < T1 x(t) ¼ 0, T1 < jtj  T20 and x(t þ T0) ¼ x(t) þ 1 P

4-3

d(t  nT)

n¼1



1, jtj < T1 0, jtj > T1   W Wt sin Wt sin c ¼ p p pt x(t) ¼

d(t) u(t) d(t  t0) eatu(t), R e{a} > 0

þ 1 P k¼1

ak ¼ 0, otherwise 1 a1 ¼ a1 ¼ 2 ak ¼ 0, otherwise 1 a1 ¼ a1 ¼ 2j ak ¼ 0, otherwise a0 ¼ 1, ak ¼ 0, k 6¼ 0 (has this Fourier series representation for any choice of T0 > 0)

2 sin kv0 T1 d(v  kv0 ) k

! þ1 2pk 2p X d v T k¼1 T   2 sin vT1 vT1 2T1 sin c ¼ p v  1, jvj < W X(v) ¼ 0, jvj > W

  v0 T1 kv0 T1 sin kv0 T1 sin c ¼ p p kp

ak ¼

1 T

for all k — — —

1 1 þ pd(v) jv ejvt0 1 a þ jv

— — —

teatu(t), R e{a} > 0

1 (a þ jv)2



t n1 at e u(t), R e{a} > 0 (n  1)!

1 (a þ jv)n



Source: Oppenheim, A.V. et al., Signals and Systems, Prentice Hall, Englewood Cliffs, NJ, 1983. With permission.

list of the CT Fourier transform properties is given in Table 4.2. Proofs of these properties are found in Oppenheim et al. (1983) and Bracewell (1986). Note that F{} denotes the Fourier transform operation, F 1{} denotes the inverse Fourier transform operation, and ‘‘*’’ denotes the linear convolution operation defined as 1 ð

f1 (t) * f2 (t) ¼

f1 (t)f2 (t  t)dt 1

Fundamentals of Circuits and Filters

4-4 TABLE 4.2

Properties of the CT Fourier Transform If F f (t) ¼ F(jv), then:

Name Definition

F(jv) ¼ f (t) ¼

Superposition

1 Ð

1 2p

(b) f(t) is odd

1 ð

F(jv)ejvt dv 1

F [af1(t) þ bf2(t)] ¼ aF1(jv) þ bF2(jv)

Simplification if: (a) f(t) is even

f (t)ejvt dt

1

F(jv) ¼ 2

1 Ð

F(jv) ¼ 2j

f (t) cos vt dt

0 1 Ð

f (t) sin vt dt

0

Negative t

F f(t) ¼ F*(jv)

Scaling:

  1 jv F jaj a

(a) Time

Ff (at) ¼

(b) Magnitude

F af(t) ¼ aF(jv)  n  d f (t) ¼ (jv)n F(jv) F dt n 2 t 3 ð 1 f (x)dx5 ¼ F(jv) þ pF(0)d(v) F4 jv

Differentiation Integration

1

Time shifting Modulation

Time convolution Frequency convolution

F f(t  a) ¼ F(jv)ejva F f(t)ejv0t ¼ F[j(v  v0)] 1 F f (t) cos v0 t ¼ {F[j(v  v0 )] þ F[j(v þ v0 )]} 2 1 F f (t) sin v0 t ¼ j{F[j(v  v0 )] þ F[j(v þ v0 )]} 2 1 Ð 1 f1 (t)f2 (t  t)dt F [F1 (jv)F2 (jv)] ¼ 1 F [f1 (t)f2 (t)] ¼ 2p

1 ð

1

F1 (jl)F2 [j(v  l)]dl 1

Source: Van Valkinburg, M.E., Network Analysis, 3rd edn., Prentice Hall, Englewood Cliffs, NJ, 1974. With permission.

1. Linearity (a and b are complex constants)

F{af1(t) þ bf2(t)} ¼ aF{f1(t)} þ bF{f2(t)}

2. Time-shifting

F{f(t  t0)} ¼ ejvt0 F{f(t)}

3. Frequency-shifting

ejv0t F1{F{j(v  v0)}

4. Time-domain convolution

F{f1(t)* f2(t)} ¼ F{f1(t)}  F{f2(t)}

5. Frequency-domain convolution

1 F{f1 (t)}*F{f2 (t)} F{f1 (t)  f2 (t)} ¼ 2P

6. Time-differentiation

jvF(jv) ¼ F{d(  t  f(t))=dt} Ð f (t)dt ¼ jv1 F(jv) þ pF(0)d(v) F

7. Time-integration

1

The above properties are particularly useful in CT system analysis and design, especially when the system characteristics are easily specified in the frequency domain, as in linear filtering. Note that properties (1), (6), and (7) are useful for solving differential or integral equations. Property (4) (time-domain

Fourier Methods for Signal Analysis and Processing

4-5

convolution) provides the basis for many signal processing algorithms, since many systems can be specified directly by their impulse or frequency response. Property (3) (frequency-shifting) is useful for analyzing the performance of communication systems where different modulation formats are commonly used to shift spectral energy among different frequency bands.

4.2.2 Sampling Models for Continuous- and Discrete-Time Signals The relationship between the CT and the DT domains is characterized by the operations of sampling and reconstruction. If sa(t) denotes a signal s(t) that has been uniformly sampled every T seconds, then the mathematical representation of sa(t) is given by Sa (t) ¼

nX ¼1

s(t)d(t  nT)

(4:2a)

n¼1

where d(t) is the CT impulse function defined previously. Since the only places where the product s(t)d(t  nT) is not identically equal to zero are at the sampling instances, s(t) in Equation 4.2a can be replaced with s(nT) without changing the overall meaning of the expression. Hence, an alternate expression for sa(t) that is often useful in Fourier analysis is Sa (t) ¼

nX ¼1

s(nT)d(t  nT)

(4:2b)

n¼1

The CT sampling model sa(t) consists of a sequence of CT impulse functions uniformly spaced at intervals of T seconds and weighted by the values of the signal s(t) at the sampling instants, as depicted in Figure 4.1. Note that sa(t) is not defined at the sampling instants because the CT impulse function itself is not defined at t ¼ 0. However, the values of s(t) at the sampling instants are imbedded as ‘‘area under the curve’’ of sa(t), and as such represent a useful mathematical model of the sampling process. In the DT domain, the sampling model is simply the sequence defined by taking the values of s(t) at the sampling instants, i.e., s[n] ¼ s(t)jt¼nT

(4:3)

In contrast to sa(t), which is not defined at the sampling instants, s[n] is well defined at the sampling instants, as illustrated in Figure 4.2. From this discussion, it is now clear that sa(t) and s[n] are different but equivalent models of the sampling process in the CT and DT domains, respectively. They are both useful for signal analysis in their corresponding domains. It will be shown later that their equivalence is established by the fact that they have equal spectra in the Fourier domain, and that the underlying CT

sa (t)

FIGURE 4.1

s(–2T)

s(–T)

–2T

–T

CT model of a sampled CT signal.

s(0)

0

s(T)

s(2T)

T

2T

Fundamentals of Circuits and Filters

4-6

s[n] s(0)

s(–T)

–2

s(2T)

–1

0

1

s(–2T)

FIGURE 4.2

2

s(T)

DT model of a sampled CT signal.

signal from which sa(t) and s[n] are derived can be recovered from either sampling representation provided that a sufficiently high sampling rate is used in the sampling operation.

4.2.3 Fourier Spectrum of a Continuous-Time Sampled Signal The operation of uniformly sampling a CT signal s(t) at every T seconds is characterized by Equation 4.2a and b, where d(t) is the CT time impulse function defined earlier: sa (t) ¼

1 X

sa (t)d(t  nT) ¼

n¼1

1 X

sa (nT)d(t  nT)

n¼1

Since sa(t) is a CT signal, it is appropriate to apply the CT Fourier transform to obtain an expression for the spectrum of the sampled signal: ( F{sa (t)} ¼ F

1 X

) sa (nT)d(t  nT)

¼

n¼1

1 X

sa (nT)[ejvT ]n

(4:4)

n¼1

Since the expression on the right-hand side of Equation 4.4 is a function of ejvT, it is customary to express the transform as F(ejvT) ¼ F{sa(t)}. If v is replaced with a normalized frequency v0 ¼ v=T, so that p < v0 < p, then the right side of Equation 4.4 becomes identical to the DTFT that is defined directly for the sequence s[n] ¼ sa(nT).

4.2.4 Generalized Complex Fourier Transform The CT Fourier transform characterized by Equation 4.1 can be generalized by considering the variable jv to be the special case of u ¼ s þ jv with s ¼ 0, writing Equation 4.1 in terms of u, and interpreting u as a complex frequency variable. The resulting complex Fourier transform pair is given by Equation 4.5a and b (Bracewell 1986): 1 s(t) ¼ 2Pj

sþj1 ð

S(u)ejut du

(4:5a)

sj1 1 ð

S(u) ¼ 1

s(t)ejut dt

(4:5b)

Fourier Methods for Signal Analysis and Processing

4-7

The set of all values of u for which the integral of Equation 4.5b converges is called the region of convergence (ROC). Since the transform S(u) is defined only for values of u within the ROC, the path of integration in Equation 4.5a must be defined so the entire path lies within the ROC. In some literature this transform pair is called the bilateral Laplace transform because it is the same result obtained by including both the negative and positive portions of the time axis in the classical Laplace transform integral. The complex Fourier transform (bilateral Laplace transform) is not often used in solving practical problems, but its significance lies in the fact that it is the most general form that represents the place where Fourier and Laplace transform concepts merge together. Identifying this connection reinforces the observation that Fourier and Laplace transform concepts share common properties because they result from placing different constraints on the same parent form.

4.3 Fourier Series Representation of Continuous-Time Periodic Signals The classical Fourier series representation of a periodic time-domain signal s(t) involves an expansion of s(t) into an infinite series of terms that consist of sinusoidal basis functions, each weighted by a complex constant (Fourier coefficient) that provides the proper contribution of that frequency component to the complete waveform. The conditions under which a periodic signal s(t) can be expanded in a Fourier series are known as the Dirichlet conditions. They require that in each period s(t) has a finite number of discontinuities, a finite number of maxima and minima, and satisfies the absolute convergence criterion of Equation 4.6 (VanValkenburg 1974): T=2 ð

js(t)jdt < 1

(4:6)

T=2

It is assumed throughout the following discussion that the Dirichlet conditions are satisfied by all functions that will be represented by a Fourier series.

4.3.1 Exponential Fourier Series If s(t) is a CT periodic signal with period T the exponential Fourier series expansion of s(t) is given by s(t) ¼

1 X

an ejnv0 t

(4:7a)

n¼1

where v0 ¼ 2p=T. The ans are the complex Fourier coefficients given by 1 an ¼ T

T=2 ð

s(t)ejnv0 t dt,

1 < n < 1

(4:7b)

T=2

For every value of t where s(t) is continuous the right side of Equation 4.7a converges to s(t). At values of t where s(t) has a finite jump discontinuity, the right side of Equation 4.7a converges to the average of s(t) and s(tþ), where s(t  ) ¼ lim (t  e) e!0

and

s(t þ ) ¼ lim (t þ e): e!0

Fundamentals of Circuits and Filters

4-8 s(t) A –2π

FIGURE 4.3

–π

0 –A

π



Periodic CT signal used in Fourier series Example 1.

an A/π A/2π

–4

FIGURE 4.4

–3

–2

–1

0

1

2

3

4

n

Magnitude of the Fourier coefficients for Example 1.

For example, the Fourier series expansion of the sawtooth waveform (Example 1) illustrated in Figure 4.3 is characterized by T ¼ 2p, v0 ¼ 1, a0 ¼ 0, and an ¼ an ¼ A cos(np)=(jnp) for n ¼ 1, 2,. . . . The coefficients of the exponential Fourier series given by Equation 4.7b can be interpreted as a spectral representation of s(t), since the anth coefficient represents the contribution of the (nv0)th frequency component to the complete waveform. Since the ans are complex valued, the Fourier domain (spectral) representation has both magnitude and phase spectra. For example, the magnitudes of the ans are plotted in Figure 4.4 for the sawtooth waveform of Figure 4.3. The fact that the ans constitute a discrete set is consistent with the fact that a periodic signal has a spectrum that contains only integer multiples of the fundamental frequency v0. The equation pair given by Equation 4.7a and b can be interpreted as a transform pair that is similar to the CT Fourier transform for periodic signals. This leads to the observation that the classical Fourier series can be interpreted as a special transform that provides a one-to-one invertible mapping between the discrete-spectral domain and the CT domain.

4.3.2 Trigonometric Fourier Series Although the complex form of the Fourier series expansion is useful for complex periodic signals, the Fourier series can be more easily expressed in terms of real-valued sine and cosine functions for realvalued periodic signals. In the following discussion it is assumed that the signal s(t) is real-valued. When s(t) is periodic and real-valued, it is convenient to replace the complex exponential Fourier series with a trigonometric expansion that contains sin (v0t) and cos (v0t) terms with corresponding real-valued coefficients (VanValkenburg 1974). The trigonometric form of the Fourier series for a real-valued signal s(t) is given by s(t) ¼

1 X n¼0

bn cos (nv0 ) þ

1 X n¼1

cn sin (nv0 )

(4:8a)

Fourier Methods for Signal Analysis and Processing

4-9

where v0 ¼ 2p=T. In Equation 4.8a the bns and cns are real-valued Fourier coefficients determined by 1 b0 ¼ T

bn ¼

2 T

T=2 ð

s(t)dt T=2

(4:8b)

T=2 ð

s(t) cos (nv0 t)dt,

n ¼ 1, 2, . . .

s(t) sin (nv0 t)dt,

n ¼ 1, 2, . . .

T=2

and 2 cn ¼ T

T=2 ð

T=2

An arbitrary real-valued signal s(t) can be expressed as a sum of even and odd components, s(t) ¼ seven(t) þ sodd(t), where seven(t) ¼ seven(t) and sodd(t) ¼ sodd(t), and where seven(t) ¼ [s(t) þ s(t)]=2 and sodd(t) ¼ [s(t)  s(t)]=2. For the trigonometric Fourier series, it can be shown that seven(t) is represented by the (even) cosine terms in the infinite series, sodd(t) is represented by the (odd) sine terms, and b0 is the DC level of the signal. Therefore, if it can be determined by inspection that a signal has a DC level, or if it is even or odd, then the correct form of the trigonometric series can be chosen to simplify the analysis. For example, it is easily seen that the signal shown in Figure 4.5 (Example 2) is an even signal with a zero DC level, and therefore, can be accurately represented by the cosine series with bn ¼ 2A sin(pn=2)=(pn=2), n ¼ 1, 2, . . . as shown in Figure 4.6. In contrast note that the sawtooth waveform used in the previous example is an odd signal with zero DC level, so that it can be completely

s(t)

–2π

FIGURE 4.5

–π

A

0

π



Periodic CT signal used in Fourier series Example 2.

bn 4A

4A/π –3

FIGURE 4.6

–2

–1

0

Fourier coefficients for example of Figure 4.5.

1

2

3

n

Fundamentals of Circuits and Filters

4-10

specified by the sine terms of the trigonometric series. This result can be demonstrated by pairing each positive frequency component from the exponential series with its conjugate partner, i.e., cn ¼ sin(nv0t) ¼ anejnv0t þ anejnv0t, whereby it is found that cn ¼ 2A cos(np)=(np) for this example. In general, it is found that an ¼ (bn  jcn)=2 for n ¼ 1, 2, . . . , a0 ¼ b0, and an ¼ an*. The trigonometric Fourier series is common in the signal processing literature because it replaces complex coefficients with real ones and often results in a simpler and more intuitive interpretation of the results.

4.3.3 Convergence of the Fourier Series The Fourier series representation of a periodic signal is an approximation that exhibits mean squared convergence to the true signal. If s(t) is a periodic signal of period T, and s0 (t) denotes the Fourier series approximation of s(t), then s(t) and s0 (t) are equal in the mean square sense if T=2 ð

mse ¼

js(t)  s0 (t)j dt ¼ 0 2

(4:9)

T=2

Even if Equation 4.9 is satisfied, mean square error (MSE) convergence does not guarantee that s(t) ¼ s0 (t) at every value of t. In particular, it is known that at values of t where s(t) is discontinuous, the Fourier series converges to the average of the limiting values to the left and right of the discontinuity. For example, if t0 is a point of discontinuity, then s0 (t0 ) ¼ [s(t0 ) þ s(t0þ )]=2, where s(t0 ) and s(t0þ ) were defined previously (note that at points of continuity, this condition is also satisfied by the very definition of continuity). Since the Dirichlet conditions require that s(t) have at most a finite number of points of discontinuity in one period, the set St such that s(t) 6¼ s0 (t) within one period contains a finite number of points, and St is a set of measure zero in the formal mathematical sense. Therefore s(t) and its Fourier series expansion s0 (t) are equal almost everywhere, and s(t) can be considered identical to s0 (t) for analysis in most practical engineering problems. The condition of convergence almost everywhere is satisfied only in the limit as an infinite number of terms are included in the Fourier series expansion. If the infinite series expansion of the Fourier series is truncated to a finite number of terms, as it must always be in practical applications, then the approximation will exhibit an oscillatory behavior around the discontinuity, known as the Gibbs phenomenon (VanValkenburg 1974). Let s0N (t) denote a truncated Fourier series approximation of s(t), where only the terms in Equation 4.7a from n ¼ N to n ¼ N are included if the complex Fourier series representation is used, or where only the terms in Equation 4.8a from n ¼ 0 to n ¼ N are included if the trigonometric form of the Fourier series is used. It is well known that in the vicinity of a discontinuity at t0 the Gibbs phenomenon causes s0N (t) to be a poor approximation to s(t). The peak magnitude of the Gibbs oscillation is 13% of the size of the jump discontinuity s(t0 )  s(t0þ ) regardless of the number of terms used in the approximation. As N increases, the region that contains the oscillation becomes more concentrated in the neighborhood of the discontinuity, until, in the limit as N approaches infinity, the Gibbs oscillation is squeezed into a single point of mismatch at t0. The Gibbs phenomenon is illustrated in Figure 4.7 where an ideal low-pass frequency response is approximated by impulse response function that has been limited to having only N nonzero coefficients, and hence the Fourier series expansion contains only a finite number of terms. An important property of the Fourier series is that the exponential basis functions ejnv0t (or sin(nv0t) and cos(nv0t) for the trigonometric form) for n ¼ 0, 1, 2, . . . (or n ¼ 0, 1, 2, . . . for the trigonometric form) constitute an orthonormal set, i.e., tnk ¼ 1 for n ¼ k, and tnk ¼ 0 for n 6¼ k, where

tnk

1 ¼ T

T=2 ð

T=2

(ejnv0 t )(ejkv0 t )dt

Fourier Methods for Signal Analysis and Processing

4-11

|H(σω)|

Truncated filter

0

ω

ωb

FIGURE 4.7 Gibbs phenomenon in a low-pass digital filter caused by truncating the impulse response to N terms.

As terms are added to the Fourier series expansion, the orthogonality of the basis functions guarantees that the approximation error decreases in the mean square sense, i.e., that MSEN decreases monotonically as N is increased, where T=2 ð

  s(t)  s0 (t)2 dt N

MSEN ¼ T=2

Therefore, when applying Fourier series analysis including more terms always improves the accuracy of the signal representation.

4.3.4 Fourier Transform of Periodic Continuous-Time Signals For a periodic signal s(t), the CT Fourier transform can then be applied to the Fourier series expansion of s(t) to produce a mathematical expression for the ‘‘line spectrum’’ that is characteristic of periodic signals: ( F{s(t)} ¼ F

1 X

) an ejnv0 t

¼ 2p

n¼1

1 X

an d(v  v0 )

(4:10)

n¼1

The spectrum is shown in Figure 4.8. Note the similarity between the spectral representation of Figure 4.8 and the plots of the Fourier coefficients in Figures 4.4 and 4.6, which were heuristically interpreted as a line spectrum. Figures 4.4 and 4.6 are different from Figure 4.8 but they are equivalent representations of the Fourier line spectrum that is characteristic of periodic signals.

F{s(t)} 2πc–2

–2

FIGURE 4.8

2πc–1

–1

2πc0

0

2πc1

2πc2

1

2

Spectrum of the Fourier representation of a periodic signal.

n

Fundamentals of Circuits and Filters

4-12

4.4 Discrete-Time Fourier Transform The DTFT is obtained directly in terms of the sequence samples s[n] by taking the relationship obtained in Equation 4.4 to be the definition of the DTFT. Letting T ¼ 1 so that the sampling period is removed from the equations and the frequency variable is replaced with a normalized frequency v0 ¼ vT, the DTFT pair is defined by Equation 4.11. In order to simplify notation, it is not customary to distinguish between v and v0 , but rather to rely on the context of the discussion to determine whether v refers to the normalized (T ¼ 1) or the unnormalized (T 6¼ 1) frequency variable. 0

S(ejv ) ¼

1 X

0

s[n]ejv n

(4:11a)

n¼1

1 s[n] ¼ 2P

ðP

0

0

S(ejv )ejnv dv0

(4:11b)

P

0

The spectrum S(ejv ) is periodic in v0 with period 2p. The fundamental period in the range p < v0  p, referred to as the baseband, is the useful frequency range of the DT system because frequency components in this range can be represented unambiguously in sampled form (without aliasing error). In much of the signal processing literature the explicit primed notation is omitted from the frequency variable. However, the explicit primed notation will be used throughout this section because there is a potential for confusion when so many related Fourier concepts are discussed within the same framework. By comparing Equations 4.4 and 4.11a, and noting that v0 ¼ vT, it is seen that F{sa (t)} ¼ DTFT{s[n]} where s[n] ¼ sa(t)jt ¼ nT. This demonstrates that the spectrum of sa(t) as calculated by the CT Fourier transform is identical to the spectrum of s[n] as calculated by the DTFT. Therefore although sa(t) and s[n] are quite different sampling models, they are equivalent in the sense that they have the same Fourier domain representation. A list of common DTFT pairs is presented in Table 4.3. Just as the CT Fourier transform is useful in CT signal system analysis and design, the DTFT is equally useful for DT system analysis and design. In the same way that the CT Fourier transform was found to be a special case of the complex Fourier transform (or bilateral Laplace transform), the DTFT is a special case of the bilateral z-transform with 0 z ¼ ejv t. The more general bilateral z-transform is given by S(z) ¼

1 X

s[n]z n

(4:12a)

S(z)zn1 dz

(4:12b)

n¼1

1 s[n] ¼ 2pj

þ

c

where C is a counter clockwise contour of integration which is a closed path completely contained within the ROC of S(z). Recall that the DTFT was obtained by taking the CT Fourier transform of the CT sampling model sa(t). Similarly, the bilateral z-transform results by taking the bilateral Laplace transform of sa(t). If the lower limit on the summation of Equation 4.12a is taken to be n ¼ 0, then Equation 4.12a and b becomes the one-sided z-transform, which is the DT equivalent of the one-sided Laplace transform for CT signals.

Fourier Methods for Signal Analysis and Processing

4-13

Some Basic Discrete Time Fourier Transform Pairs

TABLE 4.3 Sequence

Fourier Transform

1. d[n]

1

2. d[n  n0]

ejvn0 1 P 2pd(v þ 2pk)

3. 1 (1 < n < 1)

k¼1

4. a u[n] (jaj < 1)

1 1  aejv

5. u[n]

1 X 1 þ pd(v þ 2pk) 1  ejv k¼1

6. (n þ 1)anu[n] (jaj < 1)

1 (1  aejv )2

n

7.

rn sin vp (n þ 1) u[n] (jrj < 1) sin vp

8.

sin vc n pn



9. x[n] ¼

1, 0,

0nM otherwise

1 1  2r cos vp ejv þ r2 ej2v  1, jvj < vc , X(ejv ) ¼ 0, vc < jvj  p sin [v(M þ 1)=2] jvM=2 e sin (v=2) 1 P

10. ejv0n

2pd(v  v0 þ 2pk)

k¼1 1 P

11. cos (v0n þ f)

p

[ejf d(v  v0 þ 2pk) þ ejf d(v þ v0 þ 2pk)]

k¼1

Source: Oppenheim, A.V. and Schafer, R.W., Discrete-Time Signal Processing, Prentice Hall, Englewood Cliffs, NJ, 1989. With permission.

4.4.1 Properties of the Discrete-Time Fourier Transform Since the DTFT is a close relative of the classical CT Fourier transform, it should come as no surprise that many properties of the DTFT are similar to those of the CT Fourier transform. In fact, for many of the properties presented earlier, there is an analogous property for the DTFT. The following list parallels the list that was presented earlier for the CT Fourier transform, to the extent that the same properties exist (a more complete list of DTFT properties is given in Table 4.4). Note that F{} denotes the DT Fourier transform operation, F1{} denotes the inverse DT Fourier transform operation, and ‘‘*’’ denotes the DT convolution operation defined as f1 [n]*f2 [n] ¼

þ1 X

f1 [n]f2 [n  k]

k¼1

1. Linearity (a and b are complex constants)

DTFT{af1[n] þ bf2[n]} ¼ a  DTFT{f1[n]} þ b  DTFT{f2[n]}

2. Index-shifting

DTFT{f[n  n0]} ¼ ejvn0 DTFT{f[n]}

3. Frequency-shifting

ejv0n f[n] ¼ DTFT1 {F(jv  v0)}

4. Time-domain convolution

DTFT{f1[n]* f2[n]} ¼ F{f1[n]}  F{f2[n]}

5. Frequency-domain convolution

1 DTFT{f1 [n]}  DTFT{f2 [n]} DTFT{f1 [n]  f2 [n]} ¼ 2P

6. Frequency-differentiation

nf[n] ¼ DTFT1{dF(jv)=dv}

Note that the time-differentiation and time-integration properties of the CT Fourier transform do not have analogous counterparts in the DTFT because time-domain differentiation and integration are not

Fundamentals of Circuits and Filters

4-14 TABLE 4.4

Properties of the Discrete Time Fourier Transform

Sequence x[n] y[n]

Fourier Transform X(ejv) Y(ejv)

1. ax[n] þ by[n]

aX(ejv) þ bY(ejv)

2. x[n  nd] (nd an integer)

ejvnd X(ejv)

3. ejv0nx[n]

X(ej(vv0))

4. x[n]

X(ejv) if x[n] real X*(ejv) dX(ejv ) dv X(ejv)Y(ejv) Ðp 1 X(eju )Y(ej(vu) )du 2p

5. nx[n]

j

6. x[n] * y[n] 7. x[n]y[n]

p

Parseval’s theorem ðp 1 P 1 jx[n]j2 ¼ jX(ejv )j2 dv 8. 2p n¼1 p

9.

1 P

x[n]y*[n] ¼

n¼1

1 2p

ðp X(ejv )Y*(ejv )dv p

Source: Oppenheim, A.V. and Schafer, R.W., Discrete-Time Signal Processing, Prentice Hall, Englewood Cliffs, NJ, 1989. With permission.

defined for DT signals. When working with DT systems practitioners must often manipulate difference equations in the frequency domain. For this purpose the properties of linearity and index-shifting are very important. As with the CT Fourier transform, time-domain convolution is also important for DT systems because it allows engineers to work with the frequency response of the system in order to achieve proper shaping of the input spectrum, or to achieve frequency selective filtering for noise reduction or signal detection.

4.4.2 Relationship between the CT and DT Spectra Since DT signals often originate by sampling a CT signal, it is important to develop the relationship between the original spectrum of the CT signal and the spectrum of the DT signal that results. First, the CT Fourier transform is applied to the CT sampling model, and the properties are used to produce the following result: ( F{sa (t)} ¼ F sa (t)

1 X

) d(t  nT)

n¼1

1 ¼ Sa (jv)F 2p

(

1 X

) d(t  nT)

(4:13)

n¼1

Since the sampling function (summation of shifted impulses) on the right-hand side of Equation 4.13 is periodic with period T it can be replaced with a CT Fourier series expansion and the frequency-domain convolution property of the CT Fourier transform can be applied to yield two equivalent expressions for the DT spectrum: S(ejvT ) ¼

1 1 X Sa ( j[v  nvs ]) T n¼1

0

or S(ejv ) ¼

1 1 X Sa (j[v0  n2p=T]) T n¼1

(4:14)

Fourier Methods for Signal Analysis and Processing S(e jω΄) ω΄ = ωT

4-15

Baseband spectrum Sa(jω)

T

–2π

FIGURE 4.9

–ω΄c

ω΄c

0



ω΄

Relationship between the CT and DT spectra.

In Equation 4.14 vs ¼ (2p=T) is the sampling frequency and v0 ¼ vT is the normalized DT frequency 0 axis expressed in radians. Note that S(ejvT) ¼ S(ejv ) consists of an infinite number of replicas of the CT spectrum S(jv), positioned at intervals of (2p=T) on the v axis (or at intervals of 2p on the v0 axis), as illustrated in Figure 4.9. Note that if S(jv) is band-limited with a bandwidth vc, and if T is chosen sufficiently small so that vs > 2vc, then the DT spectrum is a copy of S(jv) (scaled by 1=T) in the baseband. The limiting case of vs ¼ 2vc is called the Nyquist sampling frequency. Whenever a CT signal is sampled at or above the Nyquist rate, no aliasing distortion occurs (i.e., the baseband spectrum does not overlap with the higher order replicas) and the CT signal can be exactly recovered from its 0 samples by extracting the baseband spectrum of S(ejv ) with an ideal low-pass filter that recovers the original CT spectrum by removing all spectral replicas outside the baseband and scaling the baseband by a factor of T.

4.5 Discrete Fourier Transform To obtain the DFT the continuous-frequency domain of the DTFT is sampled at N points uniformly spaced around the unit circle in the z-plane, i.e., at the points vk ¼ (2pk=N), k ¼ 0, 1, . . . , N  1. The result is the DFT transform pair defined by Equation 4.15a and b: S[k] ¼

N 1 X

s[n]ej

2pkn N

, k ¼ 0, 1, . . . , N  1

(4:15a)

n¼0

s[k] ¼

N 1 1 X 2pkn S[k]ej N , N k¼0

n ¼ 0, 1, . . . , N  1

(4:15b)

The signal s[n] is either a finite length sequence of length N, or it is a periodic sequence with period N. Regardless of whether s[n] is a finite length or periodic sequence, the DFT treats the N samples of s[n] as though they are one period of a periodic sequence. This is a peculiar feature of the DFT, and one that must be handled properly in signal processing to prevent the introduction of artifacts.

4.5.1 Properties of the DFT Important properties of the DFT are summarized in Table 4.5. The notation ([k])N denotes k modulo N, and RN[n] is a rectangular window such that RN[n] ¼ 1 for n ¼ 0, . . . , N  1, and RN[n] ¼ 0 for n < 0 and n  N. The transform relationship given by Equation 4.15a and b is also valid when s[n] and S[k] are periodic sequences, each of period N. In this case n and k are permitted to range over the complete set of real integers, and S[k] is referred to as the discrete Fourier series (DFS). In some cases, the DFS is developed as a distinct transform pair in its own right (Jenkins 1986). Whether or not the DFT and the DFS are considered identical or distinct is not important in this discussion. The important point to be

Fundamentals of Circuits and Filters

4-16

Properties of the Discrete Fourier Transform (DFT)

TABLE 4.5

Finite-Length Sequence (Length N)

N-Point DFT (Length N)

1. x[n]

X[k]

2. x1[n], x2[n]

X1[k], X2[k]

3. ax1[n] þ bx2[n]

aX1[k] þ bX2[k]

4. X[n]

Nx[((k))N]

5. x[( (n  m))N]

WNkm X[k]

6. WN‘n x[n] N1 P x1 (m)x2 [((n  m))N ] 7.

X[((k  ‘))N]

8. x1[n]x2[n]

X1[k]X2[k] N1 P 1 X1 (‘)X2 [((k  ‘))N ] N

9. x*[n]

X*[( (k))N]

10. x*[((n))N]

X*[k]

11. R e{x[n]} 12. jI m{x[n]}

Xep [k] ¼ 12 {X[((k))N ] þ X*[((k))N ]} Xop [k] ¼ 12 {X[((k))N ]  X*[((k))N ]}

13. xep [n] ¼ 12 {x[n] þ x*[((n))N ]}

R e {X[k]}

14. xop [n] ¼

jI m {X[k]}

m¼0

‘¼0

1 2 {x[n]

 x*[((n))N ]}

Properties 15–17 apply only when x[n] is real.

15. Symmetry properties

8 X[k] > > > < Re{X[k]} I m{X[k]} > > > : jX[k]j ff\{X[k]}

16. xep [n] ¼ 12 {x[n] þ x[((n))N ]}

R e{X[k]}

17. xop [n] ¼ 12 {x[n]  x[((n))N ]}

jI m{X[k]}

¼ X*[((k))N ] ¼ Re{X[((k))N ]} ¼ I m{X[((k))N ]} ¼ jX[((k))N ]j ¼  ff\{X[((k))N ]}

Source: Oppenheim, A.V. and Schafer, R.W., Discrete-Time Signal Processing, Prentice Hall, Englewood Cliffs, NJ, 1989. With permission.

emphasized here is that the DFT treats s[n] as though it were a single period of a periodic sequence, and all signal processing done with the DFT will inherit the consequences of this assumed periodicity. Most of the properties listed in Table 4.5 for the DFT are similar to those of the Z-transform and the DTFT, although there are important differences. For example, Property (5) (time-shifting property), holds for circular shifts of the finite length sequence s[n], which is consistent with the notion that the DFT treats s[n] as one period of a periodic sequence. Also, the multiplication of two DFTs results in the circular convolution of the corresponding DT sequences, as specified by Property (7). This latter property is quite different from the linear convolution property of the DTFT. Circular convolution is simply a linear convolution of the periodic extensions of the finite sequences being convolved, where each of the finite sequences of length N defines the structure of one period of the periodic extensions. For example, suppose it is desired to implement a digital filter with finite impulse response (FIR) h[n]. The output in response to s[n] is y[n] ¼

N 1 X

h[k]s[n  k]

(4:16)

k¼0

which is obtained by transforming h[n] and s[n] into H[k] and S[k] using the DFT, multiplying the transforms point-wise to obtain Y[k] ¼ H[k]S[k], and then using the inverse DFT to obtain y[n] ¼ DFT1{Y[k]}. If s[n] is a finite sequence of length M, then the results of the circular convolution

Fourier Methods for Signal Analysis and Processing

4-17

implemented by the DFT will correspond to the desired linear convolution if and only if the block length of the DFT, NDFT, is chosen sufficiently large so that NDFT > N þ M  1 and both h[n] and s[n] are padded with zeros to form blocks of length NDFT.

4.5.2 Fast Fourier Transform Algorithms The DFT is typically implemented in practice with one of the common forms of the FFT algorithm. The FFT is not a Fourier transform in its own right, but rather it is simply a computationally efficient algorithm that reduces the complexity of the computing DFT from Order {N2} to Order {N log2N}. When N is large, the computational savings provided by the FFT algorithm is so great that the FFT makes realtime DFT analysis practical in many situations which would be entirely impractical without it. There are numerous FFT algorithms, including decimation-in-time (D-I-T) algorithms, decimation-in-frequency (D-I-F) algorithms, bit-reversed algorithms, normally ordered algorithms, mixed-radix algorithms (for block lengths that are not powers-of-2 [PO2]), prime factor algorithms, and Winograd algorithms (Blahut 1985). The D-I-T and the D-I-F radix-2 FFT algorithms are the most widely used in practice. Detailed discussions of various FFT algorithms can be found in Brigham (1974) and Oppenheim and Schafer (1975). The FFT is easily understood by examining the simple example of N ¼ 8. There are numerous ways to develop the FFT algorithm, all of which deal with a nested decomposition of the summation operator of Equation 4.20a. The development presented here is called an algebraic development of the FFT because it follows straightforward algebraic manipulation. First, each of the summation indices (k, n) in Equation 4.15a is expressed as explicit binary integers, k ¼ k24 þ k12 þ k0 and n ¼ n24 þ n12 þ n0, where ki and ni are bits that take on the values of either 0 or 1. If these expressions are substituted into Equation 4.20a, all terms in the exponent that contain the factor N ¼ 8 can be deleted because ej2pl ¼ 1 for any integer l. Upon deleting such terms and regrouping the remaining terms, the product nk can be expressed in either of two ways: nk ¼ (4k0 )n2 þ (4k1 þ 2k0 )n1 þ (4k2 þ 2k1 þ k0 )n0

(4:17a)

nk ¼ (4n0 )k2 þ (4n1 þ 2n0 )k1 þ (4n2 þ 2n1 þ n0 )k0

(4:17b)

Substituting Equation 4.17a into Equation 4.15a leads to the D-I-T FFT, whereas substituting Equation 4.25b leads to the D-I-F FFT. Only the D-I-T FFT is discussed further here. The D-I-F and various related forms are treated in detail in Oppenheim and Schafer (1975). The D-I-T FFT decomposes into log2 N stages of computation, plus a stage of bit reversal, x1 [k0 , n1 , n0 ] ¼

nX 2 ¼1 n2 ¼0

x2 [k0 , k1 , n0 ] ¼

nX 1 ¼1 n1 ¼0

x3 [k0 , k1 , k2 ] ¼

nX 0 ¼1 n0 ¼0

s[n2 , n1 , n0 ]W84k0 n2 (stage 1)

(4:18a)

x1 [k0 , n1 , n0 ]W84k1 þ2k0 )n1 (stage 2)

(4:18b)

x2 [k0 , k1 , n0 ]W84k2 þ2k1 þk0 )n0 (stage 3)

(4:18c)

s(k2 , k1 , k0 ) ¼ x3 (k2 , k1 , k2 ) (bit reversal)

(4:18d)

In each summation above, one of the nis is summed out of the expression, while at the same time a new ki is introduced. The notation is chosen to reflect this. For example, in stage 3, n0 is summed out, k2 is introduced as a new variable, and n0 is replaced by k2 in the result. The last operation, called bit reversal, is necessary to

Fundamentals of Circuits and Filters

4-18

correctly locate the frequency samples X[k] in the memory. It is easy to show that if the samples are paired correctly, an in-place computation can be done by a sequence of butterfly operations. The term inplace means that each time a butterfly is to be computed, a pair of data samples is read from memory, and the new data pair produced by the butterfly calculation is written back into the memory locations where the original pair was stored, thereby overwriting the original data. An in-place algorithm is designed so that each data pair is needed for only one butterfly, and so the new results can be immediately stored on top of the old in order to minimize memory requirements. For example, in stage 3 the k ¼ 6 and k ¼ 7 samples should be paired, yielding a ‘‘butterfly’’ computation that requires one complex multiply, one complex add, and one subtract. x3 (1, 1, 0) ¼ x2 (1, 1, 0) þ W83 x2 (1, 1, 1)

(4:19a)

x3 (1, 1, 1) ¼ x2 (1, 1, 0)  W83 x2 (1, 1, 1)

(4:19b)

Samples x2(6) and x2(7) are read from the memory, the butterfly is executed on the pair, and x3(6) and x3(7) are written back to the memory, overwriting the original values of x2(6) and x2(7). In general, there are N=2 butterflies per stage and log2N stages, so the total number of butterflies is [N=2]log2N. Since there is at most one complex multiplication per butterfly, the total number of multiplications is bounded by (N=2)log2N (some of the multiplies involve factors of unity and should not be counted). Figure 4.10 shows the signal flow graph of the D-I-T FFT algorithm for N ¼ 8. This algorithm is referred to as an in-place FFT with normally ordered input and bit-reversed output samples. Minor variations that include bit-reversed inputs and normally ordered outputs, and non-in-place algorithms with normally ordered inputs and outputs are also possible. Also, when N is not a ‘‘power-of-2’’ (PO2), a mixed-radix algorithm can be used to reduce the computation. The mixed-radix FFT is most efficient when N is highly composite, i.e., when N can be expressed as N ¼ p1rip2r2  prLL, where the pis are small

x(0)

X(0) WN0

x(1)

–1 W N0

x(2)

x(4)

x(5)

x(6)

x(7)

FIGURE 4.10

X(2)

–1 WN2

WN0

x(3)

–1

–1

WN0 WN1

WN0

–1

–1 WN2 WN2 –1

X(5)

X(3)

–1

–1 WN0

X(6)

X(1)

–1

WN0

X(4)

WN3 –1

D-I-T FFT algorithm with normally ordered inputs and bit-reversed outputs.

–1

X(7)

Fourier Methods for Signal Analysis and Processing

4-19

prime numbers and the ris are positive integers. It can be shown that the order of complexity of the mixed-radix FFT is Order {N(r1(p1  1) þ r2(p2  1) þ    þ rL(pL  1)}. Because of the lack of uniformity of structure among stages, this algorithm has not received much attention for hardware implementation. However, the mixed-radix FFT is often used in software applications, especially for processing data recorded in laboratory experiments where it is not convenient to restrict the block lengths to be PO2. Many advanced FFT algorithms, such as higher-radix forms, the mixed-radix form, the primefactor algorithm, and the Winograd algorithm, are described in Blahut (1985). Algorithms specialized for real-valued data reduce the computational cost by a factor-of-2.

4.6 Family Tree of Fourier Transforms Figure 4.11 illustrates the functional relationships among the various forms of CT and DT Fourier transforms that have been discussed in the previous sections. The family of CT Fourier transforms is shown on the left side of Figure 4.11, whereas the right side of the figure shows the hierarchy of DT Fourier transforms. Note that the most general, and consequently the most powerful, Fourier transform is the classical complex Fourier transform (or equivalently, the bilateral Laplace transform). Note also that the complex Fourier transform is identical to the bilateral Laplace transform, and it is at this level that the classical Laplace transform techniques and Fourier transform techniques become identical. Each special member of the CT Fourier family is obtained by impressing certain constraints on the general

Continuous time domain

Discrete time domain Sampling

Complex Fourier transform Bilateral Laplace transform u = σ + jω (complex frequency)

Bilateral z–transform z = exp uT Reconstruction

u = jω

z = exp jω

Continuous time Fourier transform

DTFT

Signal with period T

Signal with period N

Fourier series

DFT

FIGURE 4.11 Functional relationships among various forms of the Fourier transform.

Fundamentals of Circuits and Filters

4-20

form, thereby producing special transforms that are simpler and more useful in practical problems where the constraints are met. In Figure 4.11 it is seen that the bilateral z-transform is analogous to the complex Fourier transform, the unilateral z-transform is analogous to the classical (one-sided) Laplace transform, the DTFT is analogous to the classical Fourier (CT) transform, and the DFT is analogous to the classical (CT) Fourier series.

4.6.1 Walsh–Hadamard Transform The Walsh–Hadamard transform (WHT) is a computationally attractive orthogonal transform that is structurally related to the DFT, and which can be implemented in practical applications without multiplication, and with a computational complexity for addition that is on the same order of complexity as that of an FFT. The tmkth element of the WHT matrix TWHT is given by p1 1 Y tmk ¼ pffiffiffiffi (1)b1 (m)bp1‘(k) , N ‘¼0

m and k ¼ 0, . . . , N  1

where b‘(m) is the ‘th order bit in the binary representation of m, and N ¼ 2p. The WHT is defined only when N is a PO2. Note that the columns of TWHT form a set of orthogonal basis vectors whose elements are all 1s or 1s, so that the calculation of the matrix–vector product TWHT X can be accomplished with only additions and subtractions. It is well known that TWHT of dimension (N 3 N), for N a PO2, can be computed recursively according to 

Tk=2 Tk ¼ Tk=2

Tk=2 Tk=2



 for

1 K ¼ 4, . . . , N (even) and T2 ¼ 1

1 1



The above relationship provides a convenient way of quickly constructing the Walsh–Hadamard matrix for any arbitrary (even) size N. Due to structural similarities between the DFT and the WHT matrices, the WHT transform can be implemented using a modified FFT algorithm. The core of any FFT program is a butterfly calculation that is characterized by a pair of coupled equations that have the following form: Xiþ1 (‘, m) ¼ Xi (‘, m) þ eju(‘,m,k,s) Xi (k, s) Xiþ1 (‘, m) ¼ Xi (‘, m)  eju(‘,m,k,s) Xi (k, s) If the exponential factor in the butterfly calculation is replaced by a ‘‘1,’’ so the ‘‘modified butterfly’’ calculation becomes Xiþ1 (‘, m) ¼ Xi (‘, m) þ Xi (k, s) Xiþ1 (‘, m) ¼ Xi (‘, m)  Xi (k, s) the modified FFT program will in fact perform a WHT on the input vector. This property not only provides a quick and convenient way to implement the WHT, but it also establishes clearly that in addition to the WHT requiring no multiplication, the number of additions required has order of complexity of (N=2)log2N, i.e., the same as the that of the FFT. The WHT is used in many applications that require signals to be decomposed in real time into a set of orthogonal components. A typical application in which the WHT has been used in this manner is in code division multiple access (CDMA) wireless communication systems. A CDMA system requires spreading

Fourier Methods for Signal Analysis and Processing

4-21

of each user’s signal spectrum using a PN sequence. In addition to the PN spreading codes, a set of length-64 mutually orthogonal codes, called the Walsh codes, are used to ensure orthogonality among the signals for users received from the same base station. The length N ¼ 64 Walsh codes can be thought of as the orthogonal column vectors from a (64 3 64) Walsh–Hadamard matrix, and the process of demodulation in the receiver can be interpreted as performing a WHT on the complex input signal containing all the modulated user’s signals so they can be separated for accurate detection.

4.7 Selected Applications of Fourier Methods 4.7.1 DFT (FFT) Spectral Analysis An FFT program is often used to perform spectral analysis on signals that are sampled and recorded as part of laboratory experiments, or in certain types of data acquisition systems. There are several issues to be addressed when spectral analysis is performed on (sampled) analog waveforms that are observed over a finite interval of time. Windowing: The FFT treats the block of data as though it were one period of a periodic sequence. If the underlying waveform is not periodic, then harmonic distortion may occur because the periodic waveform created by the FFT may have sharp discontinuities at the boundaries of the blocks. This effect is minimized by removing the mean of the data (it can always be reinserted) and by windowing the data so the ends of the block are smoothly tapered to zero. A good rule of thumb is to taper 10% of the data on each end of the block using either a cosine taper or one of the other common windows (e.g., Hamming, Von Hann, Kaiser windows, etc.). An alternate interpretation of this phenomenon is that the finite length observation has already windowed the true waveform with a rectangular window that has large spectral sidelobes. Hence, applying an additional window results in a more desirable window that minimizes frequency-domain distortion. Zero-padding: An improved spectral analysis is achieved if the block length of the FFT is increased. This can be done by (1) taking more samples within the observation interval, (2) increasing the length of the observation interval, or (3) augmenting the original data set with zeros. First, it must be understood that the finite observation interval results in a fundamental limit on the spectral resolution, even before the signals are sampled. The CT rectangular window has a (sin x)=x spectrum, which is convolved with the true spectrum of the analog signal. Therefore, the frequency resolution is limited by the width of the mainlobe in the (sin x)=x spectrum, which is inversely proportional to the length of the observation interval. Sampling causes a certain degree of aliasing, although this effect can be minimized by using a sufficiently high sampling rate. Therefore, lengthening the observation interval increases the fundamental resolution limit, while taking more samples within the observation interval minimizes aliasing distortion and provides a better definition (more sample points) on the underlying spectrum. Padding the data with zeros and computing a longer FFT does give more frequency-domain points (improved spectral resolution), but it does not improve the fundamental limit, nor does it alter the effects of aliasing error. The resolution limits are established by the observation interval and the sampling rate. No amount of zero padding can improve these basic limits. However, zero padding is a useful tool for providing more spectral definition, i.e., it enables one to get a better look at the (distorted) spectrum that results once the observation and sampling effects have occurred. Leakage and the picket-fence effect: An FFT with block length N can accurately resolve only frequencies wk ¼ (2p=N)k, k ¼ 0, . . . , N1 that are integer multiples of the fundamental w1 ¼ (2p=N). An analog waveform that is sampled and subjected to spectral analysis may have frequency components between the harmonics. For example, a component at frequency wkþ1=2 ¼ (2p=N)(k þ 1=2) will appear scattered throughout the spectrum. The effect is illustrated in Figure 4.12 for a sinusoid that is observed through a rectangular window and then sampled a N points. The ‘‘picket-fence effect’’ means that not all

Fundamentals of Circuits and Filters

4-22

Underlying spectrum

ωk – 1 ωk ωk + 1

ω

(a) FFT of a windowed sinusoid with frequency ωk = 2πk/N Underlying spectrum

ω ωk – 1 ωk

ωk + 1/2

ωk + 1

(b) Leakage for a nonharmonic sinusoidal component

FIGURE 4.12

Illustration of leakage and the picket-fence effects.

frequencies can be seen by the FFT. Harmonic components are seen accurately, but other components ‘‘slip through the picket fence’’ while their energy is ‘‘leaked’’ into the harmonics. These effects produce artifacts in the spectral domain that must be carefully monitored to assure that an accurate spectrum is obtained from FFT processing.

4.7.2 FIR Digital Filter Design A common method for designing FIR digital filters is by the use of windowing and FFT analysis. In general, window designs can be carried out with the aid of a hand calculator and a table of well-known window functions. Let h[n] be the impulse response that corresponds to some desired frequency response, H(ejv). If H(ejv) has sharp discontinuities then h[n] will represent an infinite impulse response (IIR) function. The objective is to time-limit h[n] in such a way as to not distort H(ejv) any more than necessary. If h[n] is simply truncated, a ripple (Gibbs phenomenon) occurs around the discontinuities in the spectrum, resulting in a distorted filter, as was earlier illustrated in Figure 4.7. Suppose that w[n] is a window function that time-limits h[n] to create an FIR approximation, h0 [n]; i.e., h0 [n] ¼ w[n]h[n]. Then if W(ejv) is the DTFT of w[n], h0 [n] will have a Fourier transform given by H0 (ejv) ¼ W(ejv)*H(ejv), Where *. denotes convolution. From this it can be seen that the ripples in H(ejv) result from the sidelobes of W(ejv). Ideally, W(ejv) should be similar to an impulse so that H0 (ejv) is approximately equal to H(ejv). Special case: Let h[n] ¼ cos nv0, for all n. Then h[n] ¼ w[n]cos nv0, and H 0 (ejv ) ¼ (1=2)W(ej(vþ~v) ) þ (1=2)W(ej(vþ~v) )

(4:20)

as illustrated in Figure 4.13. For this simple class, the center frequency of the passband is controlled by v0, and both the shape of the passband and the sidelobe structure are strictly determined by the choice of the window. While this simple class of FIRs does not allow for very flexible designs, it is a simple technique for determining quite useful low-pass, bandpass, and high-pass FIR filters. General case: Specify an ideal frequency response, H(ejv), and choose samples at selected values of w. Use a long inverse FFT of length N0 to find h0 [n], an approximation to h[n], where if N is the desired length of the final filter, then N0 >> N. Then use a carefully selected window to truncate h0 [n] to obtain h[n] by

Fourier Methods for Signal Analysis and Processing

ˆ jω) H(e

4-23

ω 0

ω0

π

(2π – ω0)



FIGURE 4.13 Design of a simple bandpass FIR filter by windowing.

letting h[n] ¼ w[n]h0 [n]. Finally, use an FFT of length N0 to find H0 (ejv). If H0 (ejv) is a satisfactory approximation to H(ejv), the design is finished. If not, choose a new H(ejv), or a new w[n] and repeat. Throughout the design procedure, it is important to choose N0 ¼ kN, with k an integer that is typically in the range [4, . . . ,10]. Since this design technique is a trial-and-error procedure, the quality of the result depends to some degree on the skill and experience of the designer.

4.7.3 Fourier Block Processing in Real-Time Filtering Applications In some practical applications, either the value of M is too large for the memory available, or s[n] may not actually be finite in length, but rather a continual stream of data samples that must be processed by a filter at real time rates. Two well-known algorithms are available that partition s[n] into smaller blocks and process the individual blocks with a smaller-length DFT (1) overlap-save partitioning and (2) overlapadd partitioning. Each of these algorithms is summarized below (Burrus 1985; Jenkins 2002). 1. Overlap-save processing: In this algorithm, NDFT is chosen to be some convenient value with NDFT > N. The signal s[n], is partitioned into blocks which are of length NDFT and which overlap by N  1 data points. Hence, the kth block is sk[n] ¼ s[n þ k(NDFT  N þ 1)], n ¼ 0, . . . , NDFT  1. The filter impulse response h[n] is augmented with NDFT  N zeros to produce  hpad [n] ¼

h[n], 0,

n ¼ 0, . . . , N  1 n ¼ N, . . . , NDFT  1

(4:21)

The DFT is then used to obtain Ypad[n] ¼ DFT{hpad[n]}  DFT{sk[n]}, and ypad[n] ¼ IDFT{Ypad[n]}. From the ypad[n] array the values that correctly correspond to the linear convolution are saved; values that are erroneous due to wrap-around error caused by the circular convolution of the DFT are discarded. The kth block of the filtered output is obtained by  yk [n] ¼

ypad [n], 0,

n ¼ 0, . . . , N  1 n ¼ N, . . . , NDFT  1

(4:22)

For the overlap-save algorithm, each time a block is processed there are NDFT  N þ 1 points saved and N  1 points discarded. Each block moves forward by NDFT  N þ 1 data points and overlaps the previous block by N  1 points. 2. Overlap-add processing: This algorithm is similar to the previous one except that the kth input block is defined to be  sk [n] ¼

s[n], 0,

n ¼ 0, . . . , L  1 n ¼ L, . . . , NDFT  1

(4:23)

where L ¼ NDFT  N þ 1. The filter function hpad[n] is augmented with zeros, as before, to create hpad[n], and the DFT processing is executed as before. In each block ypad[n] that is obtained at the

Fundamentals of Circuits and Filters

4-24

output, the first N  1 points are erroneous, the last N  1 points are erroneous, and the middle NDFT  2(N  1) points correctly correspond to the linear convolution. However, if the last N  1 points from block k are overlapped with the first N  1 points from block k þ 1 and added pairwise, correct results corresponding to linear convolution are obtained from these positions, too. Hence, after this addition, the number of correct points produced per block is NDFT  N þ 1, which is the same as that for the overlap-save algorithm. The overlap-add algorithm requires approximately the same amount of computation as the overlap-save algorithm, although the addition of the overlapping portions of blocks is extra. This feature, together with the extra delay of waiting for the next block to be finished before the previous one is complete, has resulted in more popularity for the overlap-save algorithm in practical applications. Block filtering algorithms make it possible to efficiently filter continual data streams in real time because the FFT algorithm can be used to implement the DFT, thereby minimizing the total computation time and permits reasonably high overall data rates. However, block filtering generates data in bursts, i.e., there is a delay during which no filtered data appears, and then suddenly an entire block is generated. In real-time systems, buffering must be used. The block algorithms are particularly effective for filtering very long sequences of data that are prerecorded on magnetic tape or disk.

4.7.4 Fourier Domain Adaptive Filtering A transform domain adaptive filter (TDAF) is a generalization of the well-known least mean squares (LMS) adaptive filter in which the input signal is passed through a linear transformation in order to decompose it into a set of orthogonal components and to optimize the adaptive step size for each component and thereby maximize the learning rate of the adaptive filter (Jenkins et al. 1996). The LMS algorithm is an approximation to the steepest descent optimization strategy. For a length N FIR filter with the input expressed as a column vector x(n) ¼ [x(n), x(n  1), . . . , x(n  N þ 1)]T, the filter output y(n) is expressed as y(n) ¼ w T (n)x(n) where w(n) ¼ [w0(n), w1(n), . . . , wN  1(n)]T is the time varying vector of filter coefficients (tap weights), and the superscript ‘‘T’’ denotes vector transpose. The output error is formed as the difference between the filter output and a training signal d(n), i.e., e(n) ¼ d(n)  y(n). Strategies for obtaining an appropriate d(n) vary from one application to another. In many cases the availability of a suitable training signal determines whether an adaptive filtering solution will be successful in a particular application. The ideal cost function is defined by the MSE criterion, E{je(n)j2}. The LMS algorithm is derived by approximating the ideal cost function by the instantaneous squared error, resulting in JLMS(n) ¼ je(n)j2. While the LMS seems to make a rather crude approximation at the very beginning, the approximation results in an unbiased estimator. In many applications the LMS algorithm is quite robust and is able to converge rapidly to a small neighborhood of the Wiener solution. When a steepest descent optimization strategy is combined with a gradient approximation formed using the LMS cost function JLMS(n) ¼ je(n)j2, the conventional LMS adaptive algorithm results: w(n þ 1) ¼ w(n) þ me(n)x(n) e(n) ¼ d(n)  y(n)

(4:24)

y(n) ¼ x(n) w(n) T

The convergence behavior of the LMS algorithm, as applied to a direct form FIR filter structure, is controlled by the autocorrelation matrix Rx of the input process, where Rx E[x*(n)xT (n)]

(4:25)

Fourier Methods for Signal Analysis and Processing

x(n)

x(n – 1)

x(n – N + 1)

z0

N×N Linear transform

z1

4-25

W0 d(n) W1

Σ

+

y(n)

+ –

zN–1

WN–1 e(n)

FIGURE 4.14 TDAF structure.

The autocorrelation matrix Rx is usually positive definite, which is one of the conditions necessary to guarantee convergence to the Wiener solution. Another necessary condition for convergence is 0 < m < 1=lmax, where lmax is the largest eigenvalue of Rx. It is well established that the convergence of this algorithm is directly related to the eigenvalue spread of Rx. The eigenvalue spread is measured by the condition number of Rx, defined as k ¼ lmax=lmin, where lmin is the minimum eigenvalue of Rx. Ideal conditioning occurs when k ¼ 1 (white noise); as this ratio increases, slower convergence results. The eigenvalue spread (condition number) depends on the spectral distribution of the input signal, and is related to the maximum and minimum values of the input power spectrum. From this line of reasoning it becomes clear that white noise is the ideal input signal for rapidly training an LMS adaptive filter. The adaptive process is slower and requires more computation for input signals that are colored. The TDAF structure is shown in Figure 4.14. The input x(n) and the desired signal d(n) are assumed to be zero mean and jointly stationary. The input to the filter is a vector of N current and past input samples, defined in the previous section and denoted as x(n). This vector is processed by a unitary transform, such as the DFT. Once the filter Order N is fixed, the transform is simply an N 3 N matrix T, which is in general complex, with orthonormal rows. The transformed outputs form a vector v(n) which is given by v(n) ¼ [v0 (n), v1 (n), . . . , vN1 (n)]T ¼ Tx(n) With an adaptive tap vector defined as w(n) ¼ [w0(n), w1(n), . . . , wN1(n)]T, the filter output is given by y(n) ¼ w T (n)v(n) ¼ WT (n)Tx(n)

(4:26)

The instantaneous output error is then formed and used to update the adaptive filter taps using a modified form of the LMS algorithm (Jenkins 1996): W(n þ 1) ¼ W(n) þ me(n)L2 v*(n)

L2 diag s21 , s21 , . . . , s2N

(4:27)

where

s2i ¼ E jvi (n)j2 The power estimates s2i can be developed online by computing an exponentially weighted average of past samples according to s2i (n) ¼ as2i (n  1) þ jvi (n)j2 , 0 < a < 1

(4:28)

Fundamentals of Circuits and Filters

4-26

If si2 becomes too small due to an insufficient amount of energy in the ith channel, the update mechanism becomes ill-conditioned due to a very large effective step size. In some cases, the process will become unstable and register overflow will cause the adaptation to catastrophically fail. So the algorithm given by Equation 4.27 should have the update mechanism disabled for the ith orthogonal channel if si2 falls below a critical threshold. The motivation for using the TDAF adaptive system instead of a simpler LMS-based system is to achieve rapid convergence of the filters coefficients when the input signal is not white, while maintaining a reasonably low computational complexity requirement. The optimal decorrelating transform is composed of the orthonormal eigenvectors of the input autocorrelation matrix, and is known as the Karhunen–Loe’ve transform (KLT). The KLT is signal dependent and usually cannot be easily computed in real time. Throughout the literature the DFT, the discrete cosine transform (DCT), and the WHT have received considerable attention as possible candidates for use in the TDAF. Figure 4.15 shows learning characteristics for computer generated TDAF examples using six different orthogonal transforms to decorrelate the input signal. The examples presented are for system

PO2 DFT DCT WHT DHT I

Squared error (dB)

0

–50

–100

–150

0

2,500

5,000 Iteration

7,500

Transform

Effective Input Correlation Matrix Eigenvalue Ratio

Identity (I)

681

DFT

210

DCT

200

WHT

216

DHT

218

PO2

128

10,000

FIGURE 4.15 Comparison of (smoothed) learning curves for five different transforms operating on a colored noise input signal with condition number 681 fault in any of the coefficients. When R redundant coefficients are added as many as R coefficients can fail to adjust without any adverse effect on the filter’s ability to achieve the minimum MSE condition.

Fourier Methods for Signal Analysis and Processing

4-27

identification experiments, where the desired signal was derived by passing the input through an 8-tap FIR filter that is the ‘‘unknown system’’ to be identified. The filter input was generated by filtering white pseudonoise with a 32-tap linear phase FIR coloring filter to produce an input autocorrelation matrix with a condition number (eigenvalue ratio) of 681. Examples were then produced using the DFT, the DCT, the WHT, discrete Hartley transform (DHT), and a specially designed computationally efficient (PO2) transform. The condition numbers that result from transform processing with each of these transforms are also shown in Figure 4.15. Note that all of the transforms used in this example are able to reduce the input condition number and greatly improve convergence rates, although some transforms are seen to be more effective than others for the coloring chosen for these examples.

4.7.5 Adaptive Fault Tolerance via Fourier Domain Adaptive Filtering Adaptive systems adjust their parameters to minimize a specified error criterion under normal operating conditions. Fixed errors or hardware faults would prevent the system to minimize the error criterion, but at the same time the system will adapt the parameters such that the best possible solution is reached. In adaptive fault tolerance (AFT), the inherent learning ability of the adaptive system is used to compensate for failure of the adaptive coefficients. This mechanism can be used with specially designed structures whose redundant coefficients have the ability to compensate for the adjustment failures of other coefficients (Jenkins et al. 1996). The FFT-based transform domain fault tolerant adaptive filter (FTAF) is described by the following equations: x[n] ¼ [xin [n],00    0] xT [n] ¼ Tx[n] y[n] ¼

(4:29)

w tT [n]xT [n]

where xin[n] ¼ [x[n], x[n  1], . . . , x[n  N þ 1]] is the vector of the current input and N  1 past inputs samples, x[n] is xin[n] zero-padded with R zeros, T is the M 3 M DFT matrix where M ¼ N þ R, wT[n] is the vector of M adaptive coefficients in the transform domain, and d[n] is the desired response. The FFT-based transform domain FTAF is similar to a standard TDAF except that the input data vector is zero-padded with R zeros before it is multiplied by the transform matrix. Since the input data vector is zero-padded, the transform domain FTAF maintains a length N impulse response and has R redundant coefficients in the transform domain. When used with the zero padding strategy described above, this structure possesses a property called full fault tolerance, where each redundant coefficient is sufficient to compensate for a single ‘‘stuck at’’ fault condition in any of the coefficients. When R redundant coefficients are added as many as R coefficients can fail without any adverse effect on the filter’s ability to achieve the minimum MSE condition. An example of a transform domain FTAF with one redundant filter tap (R ¼ 1) is demonstrated below for the identification of a 64-tap FIR low-pass ‘‘unknown’’ system. The training signal is Gaussian white noise with a unit variance and a noise floor of 60 dB. A fixed fault is introduced at iteration 3000 by setting an arbitrary filter coefficient to a random fixed value. Simulated learning curves are shown in Figure 4.16 in which both demonstrated that the redundant tap allows the filter to reconverge after the occurrence of the fault, although the postfault convergence rate slowed somewhat due to an increased condition number of the postfault autocorrelation matrix (Jenkins 1996).

Fundamentals of Circuits and Filters

4-28

No redundant tap 20

Mean square error (dB)

0

–20

–40

Redundant tap

–60

–80 –100 0

FIGURE 4.16

1,000 2,000 3,000 4,000 5,000 6,000 7,000 8,000 9,000 10,000 Iterations

Learning curve demonstrating postfault behavior both with and without a redundant tap.

4.8 Summary Numerous Fourier transform concepts have been presented for both CT and DT signals and systems. Emphasis was placed on illustrating how various forms of the Fourier transform relate to one another, and how they are all derived from more general complex transforms, the complex Fourier (or bilateral Laplace) transform for CT, and the bilateral z-transform for DT. It was shown that many of these transforms have similar properties that are inherited from their parent forms, and that there is a parallel hierarchy among Fourier transform concepts in the CT and DT domains. Both CT and DT sampling models were introduced as a means of representing sampled signals in these two different domains and it was shown that the models are equivalent by virtue of having the same Fourier spectra when transformed into the Fourier domain with the appropriate Fourier transform. It was shown how Fourier analysis properly characterizes the relationship between the spectra of a CT signal and its DT counterpart obtained by sampling, and the classical reconstruction formula was obtained as a result of this analysis. Finally, the DFT, the backbone for much of modern DSP, was obtained from more classical forms of the Fourier transform by simultaneously discretizing the time and frequency domains. The DFT, together with the remarkable computational efficiency provided by the FFT algorithm, has contributed to the resounding success that engineers and scientists have had in applying DSP to many practical scientific problems.

References Blahut, R. E., Fast Algorithms for Digital Signal Processing, Reading, MA: Addison-Wesley Publishing Co., 1985. Bracewell, R. N., The Fourier Transform, 2nd edn, New York, NY: McGraw-Hill, 1986. Brigham, E. O., The Fast Fourier Transform, Englewood Cliffs, NJ: Prentice-Hall, 1974. Burrus, C. S. and T. W. Parks, DFT=FFT and Convolution Algorithms, New York: John Wiley and Sons, 1985. Jenkins, W. K., Discrete-time signal processing, Reference Data for Engineers: Radio, Electronics, Computers, and Communications, 9th edn (Chapter 28), Wendy M. Middleton, Editor-in-Chief, Newnes, Carmel, MA: Butterworth-Heinemann, 2002.

Fourier Methods for Signal Analysis and Processing

4-29

Jenkins, W. K. and M. D. Desai, The discrete-frequency Fourier transform, IEEE Transactions on Circuits and Systems, CAS-33, 7, 732–734, July 1986. Jenkins, W. K. et al., Advanced Concepts in Adaptive Signal Processing, Boston MA: Kluwer Academic Publishers, 1996. Oppenheim, A. V. and R. W. Schafer, Digital Signal Processing, Englewood Cliffs, NJ: Prentice-Hall, 1975. Oppenheim, A. V. and R. W. Schafer, Discrete-Time Signal Processing, Englewood Cliffs, NJ: PrenticeHall, 1989. Oppenheim, A. V., A. S. Willsky, and I. T. Young, Signals and Systems, Englewood Cliffs, NJ: PrenticeHall, 1983. VanValkenburg, M. E., Network Analysis, 3rd edn, Englewood Cliffs, NJ: Prentice-Hall, 1974.

5

z-Transform 5.1 5.2 5.3

Introduction ................................................................................ 5-1 Definition of the z-Transform ................................................ 5-2 Inverse z-Transform.................................................................. 5-5 Contour Integration . Partial Fraction Expansion . Other Methods for Obtaining the Inverse z-Transform

5.4

Properties of the z-Transform ................................................ 5-8 Region of Convergence . Properties of the Transform Convolution in z-Domain

5.5 5.6

Role of the z-Transform in Linear Time-Invariant Systems ....................................................................................... 5-12 Variations on the z-Transform............................................. 5-15 Multidimensional z-Transform Chirp z-Transform Algorithm

Jelena Kova cevi c

AT&T Bell Laboratories

.

.

Modified z-Transform

.

5.7 Concluding Remarks............................................................... 5-17 Acknowledgments.............................................................................. 5-17 References ............................................................................................ 5-17

5.1 Introduction When analyzing linear systems, one of the problems we often encounter is that of solving linear, constant-coefficient differential equations. A tool used for solving such equations is the Laplace transform. At the same time, to aid the analysis of linear systems, we extensively use Fourier-domain methods. With the advent of digital computers, it has become increasingly necessary to deal with discrete-time signals, or, sequences. These signals can be either obtained by sampling a continuous-time signal, or they could be inherently discrete. To analyze linear discrete-time systems, one needs a discrete-time counterpart of the Laplace transform (LT). Such a counterpart is found in the z-transform, which similarly to the LT, can be used to solve linear constant-coefficient difference equations. In other words, instead of solving these equations directly, we transform them into a set of algebraic equations first, and then solve in this transformed domain. On the other hand, the z-transform can be seen as a generalization of the discrete-time Fourier transform (FT)

X(ejv ) ¼

þ1 X

x[n]ejvn

(5:1)

n¼1

This expression does not always converge, and thus, it is useful to have a representation which will exist for these nonconvergent instances. Furthermore, the use of the z-transform offers considerable notational

5-1

Fundamentals of Circuits and Filters

5-2

simplifications. It also allows us to use the extensive body of work on complex variables to aid in analyzing discrete-time systems. The z-transform, as pointed out by Jury in his classical text [3], is not new. It can be traced back to the early eighteenth century and the times of DeMoivre, who introduced the notion of the generating function, extensively used in probability theory G(z) ¼

þ1 X

p[n]zn

(5:2)

n¼1

where p[n] is the probability that the discrete random variable n will take a value n [8]. By comparing Equations 5.2 and 5.3, we can see that the generating function G (1=z) is the z-transform of the sequence p[n] ¼ p{n ¼ n}. After these initial efforts, and due to the fast development of digital computers, a renewed interest in the z-transform occurred in the early 1950s, and z-transform has been used for analyzing discrete-time systems ever since. This section is intended as a brief introduction to the theory and application of the z-transform. For a rigorous mathematical treatment of the transform itself, the reader is referred to the book by one of the pioneers in the development of analysis of sampled data systems, Jury [3], and the references therein. For a more succinct account of the z-transform, its properties and use in discrete-time systems, consult, for example, Ref. [7]. A few other texts which contain parts on the z-transform include Refs. [1,2,5,6,10].

5.2 Definition of the z-Transform Suppose we are given a discrete-time sequence x[n], either inherently discrete time, or obtained by sampling a continuous-time signal xc(t), so that x[n] ¼ xc(nT), n 2 Z, where T is the sampling period. Then the two-sided z-transform of x[n] is defined as X(z) ¼

þ1 X

x[n]z n

(5:3)

n¼1

Here, z is a complex variable, and depending on its magnitude and the sequence x[n], the above sum may or may not converge. The region in the z-plane where the sum does converge is called the region of convergence (ROC), and is discussed in more detail later. Observe that in Equation 5.3, n ranges from 1 to þ1. That is why the z-transform defined in this way is called two-sided. One could define a one-sided z-transform, where n would range from 0 to þ1. Obviously, the two definitions are equivalent only if the signal itself is one-sided, that is, if x[n] ¼ 0, for n < 0. The advantage of using the one-sided z-transform is that it is useful in solving linear constantcoefficient difference equations with nonzero initial conditions and in the study of sampled-data feedback systems, discussed later. However, from now on, we deal mostly with the two-sided z-transform (see also Chapter 3 where the one-sided LT is used). A power series given in Equation 5.3 is a Laurent series, and thus for it to converge uniformly, it has to be absolutely summable, that is, the following must hold: n¼þ1 X

jx[n]jz jn < 1

(5:4)

n¼1

where jzj is the magnitude of the complex variable z, i.e., z ¼ jzj ejargz. We can now see that if the z-transform converges for z ¼ z1, it will converge for all z such that jzj ¼ jz1j; that is, for all z on the circle jzj ¼ jz1j. In general, therefore, the ROC will be an annular region in the z-plane, and will be of the form 0  R < jzj < Rþ  1

(5:5)

z-Transform

5-3 Im z

R–

Im z

R+

R+

Re z

(a)

Re z

(b) Im z

Im z

R–

Re z

Re z

(d)

(c)

FIGURE 5.1 ROC of the z-transform. (a) General case: 0  R < jzj < Rþ  1. (b) ROC is the inside of the circle jzj < Rþ (z ¼ 0 might be excluded). (c) ROC is the outside of the circle R < jzj (z ¼ 1 might be excluded). (d) ROC is the whole z-plane (except possibly z ¼ 0 or z ¼ 1).

Here, R could be zero, and Rþ could be infinity, so that all of the cases given in Figure 5.1 are possible. Note that the points z ¼ 0, or z ¼ 1 could be included in the region of convergence. A very important notion when talking about the ROC of the z-transform is that of the unit circle, because it makes the connection to the discrete-time FT. The unit circle is defined as the set of points in the z-plane for which jzj ¼ 1. Let us evaluate the z-transform of a sequence on the unit circle. Because jzj ¼ 1, it can be expressed as z ¼ ejv, where v ¼ arg z. Thus, X(z)jjzj¼1 ¼ X(ejv ) ¼

þ1 X

x[n]ejvn

(5:6)

n¼1

Note that here we use X(ejv) instead of X(v) to make it explicit that this is a function of ejv. As can be seen from the equation, the z-transform evaluated on the unit circle is equivalent to the discrete-time FT given in Equation 5.1. This immediately justifies the statement from the beginning of the section that the z-transform can be seen as a generalization of the FT. In other words, instances occur when the FT does not converge, while the z-transform does. One such instance is the unit-step function u[n]:  u[n] ¼

1, n  0, 0, otherwise

The sum U(ejv ) ¼

þ1 X n¼1

u[n]ejvn ¼

þ1 X

ejvn

n¼0

is not absolutely summable and thus its FT does not converge, while

Fundamentals of Circuits and Filters

5-4

Im z

|X(ejω)|

ω = 2 (k + 1)π





|z| = 1

ω = 2kπ

Re z

ω

FIGURE 5.2 Warping of the linear frequency axis of the discrete-time FT into the unit circle of the z-transform. For example, the point (Re z, Im z) ¼ (1, 0) on the unit circle corresponds to points v ¼ 2kp on the v-axis.

þ1 X

U(z) ¼

u[n]zn ¼

n¼1

þ1 X

zn

n¼0

converges for jzj > 1. As a consequence, if the ROC includes the unit circle, then the discrete-time FT of a given sequence will exist, otherwise it will not. The unit circle captures the periodicity of the discrete-time FT. If we start evaluating the z-transform on the unit circle at the point (Re z, Im z) ¼ (1, 0) corresponding to v ¼ 0, going through (Re z, Im z) ¼ (0, j), (Re z, Im z) ¼ (1, 0) which corresponds to v ¼ p, and (Re z, Im z) ¼ (0, j), back to (Re z, Im z) ¼ (1, 0) corresponding to v ¼ 2p, we have evaluated one period of the FT and have returned to the same point. Thus, we are effectively warping the linear frequency axis into the unit circle (Figure 5.2). We also mentioned that the z-transform is the discrete-time counterpart of the LT. Consider the function xcs (t) ¼

þ1 X

xc (nT)d(t  nT)

(5:7)

n¼1

or, the sampled version of the original continuous time function xc(t). Here, T is the sampling period, and d(t) is the Dirac function. Taking the LT of xcs(t), we obtain Xcs (s) ¼

þ1 X

xc (nT)enTs

(5:8)

n¼1

If we now replace esT by z, we obtain the z-transform. Now, observe that Xcs(s) in Equation 5.8 is periodic, because  Xcs

 þ1 X 2p sþj xc (nT)enT[sþj(2p)=T] ¼ T n¼1 ¼

þ1 X

xc (nT)enTs ej2pn

n¼1

¼ Xcs (s)

(5:9)

z-Transform

5-5

This means that Xcs(s) is periodic along constant lines s ¼ sconst (parallel to the jv-axis). This further means that any line parallel to the jv-axis maps into a circle in the z-plane. It is easy to see that the jvaxis itself would map into the unit circle, while the left (or right) half-planes would map into the inside (or outside) of the unit circle, respectively. Finally, let us say a few words about a very important class of signals, those whose z-transform is a rational function of z. They arise from systems that can be represented by linear constant-coefficient difference equations and are the signals with which we deal mostly in practice. If we represent such signals by X(z) ¼

N(z) D(z)

(5:10)

then the zeroes of the numerator N(z) are called zeroes of X(z), while the zeroes of the denominator D(z) are called poles of X(z) (more precisely, a pole zp will be a point at which limz!zpX(z) does not exist). How the poles can determine the region of convergence is the subject of a discussion later in this chapter.

5.3 Inverse z-Transform We have seen that specifying the ROC when taking the z-transform of a sequence is an integral part of the process. For example, consider the following sequences: x[n] ¼ u[n] and y[n] ¼ u[n1], where u[n] is the unit-step function. Taking their z-transforms, we obtain X(z) ¼

1 , 1  z1

jzj > 1

(5:11)

Y(z) ¼

1 , 1  z1

jzj < 1

(5:12)

They are identical except for their ROCs (Figure 5.3). This tells us that without the ROC, our z-transform is not complete, and that if we are given 1=(1  z1) as the z-transform of a sequence, the inverse is not uniquely specified unless we know the ROC. We examine two ways of finding the inverse z-transform: first, and the formal one, by contour integration, and second, by partial fraction expansion. Note that the latter can be used only when X(z) is a rational function of z; however, because most of the time we are dealing with rational functions of z, the partial fraction expansion method is used more often. We also mention two other informal techniques for determining the inverse z-transform.

5.3.1 Contour Integration The formal inversion process for the z-transform is given by contour integration. It is obtained by taking the expression for the z-transform given by Equation 5.3 and multiplying both sides by (1=2pj)zk1. Then the result is integrated counterclockwise along a closed contour C in the z-plane containing the origin, leading to þ C

1 X(z)zk1 dz ¼ 2pj

þ C

þ1 1 k1 X x[n]zn dz z 2pj n¼1

(5:13)

We choose the contour of integration to lie within the ROC, which will allow us to interchange the order of integration and summation on the right side of Equation 5.13. This leads to

Fundamentals of Circuits and Filters

5-6 x[n]

Im z

|z| = 1 0

n

1 2 3 4

X(z) =

Re z

1 1 – z–1

(b)

(a)

Im z

y[n]

–4 –3 –2 –1

0

Y(z) =

n

|z| = 1

1 1 – z–1

Re z

(d)

(c)

FIGURE 5.3 Two different sequences giving rise to the same z-transforms 1=(1  z1) except for their ROCs. (a) Sequence x[n] ¼ u[n] and (b) its ROC jzj > 1. (c) Sequence y[n] ¼ u[n  1] and (d) its ROC jzj < 1.

1 2pj

þ X(z)z k1 dz ¼ C

þ1 X

0

1 x[n]@ 2pj n¼1

þ

1 znþk1 dzA

(5:14)

C

The integral in parentheses on the right side of Equation 5.14 can be evaluated using Cauchy’s integral formula, which states that if the contour of integration C contains the origin, and integration is performed counterclockwise, then 1 2pj



þ z dz ¼ k

1, k ¼ 1, 0, otherwise

(5:15)

C

Substituting Equation 5.15 in Equation 5.14, we see that the integral is nonzero only for n ¼ k, and thus Equation 5.14 can be rewritten as 1 2pj

þ X(z)z k1 dz ¼ x[k]

(5:16)

C

Equation 5.16 is the inversion formula for the z-transform. To evaluate it for general functions can be quite difficult. However, in cases in which X(z) is a rational function of z, we can make use of Cauchy’s formula. It tells us that 1 2pj

þ C

F(z) dz ¼ z  zp



F(zp ), zp inside C, 0, otherwise

(5:17)

z-Transform

5-7

where C is a simple closed path and F0 (z), the derivative of F(z), exists on and inside C. If we have a pole of multiplicity r enclosed in the contour C, and F(z) and its (r þ 1) derivatives exist in and on C, then 1 2pj

þ C

F(z) dz ¼ (z  zp )r



1 dr1 (r1)! dzr1

F(z)jz¼zp ,

0,

zp inside C, otherwise

(5:18)

Equations 5.17 and 5.18 are called residues. Use Equations 5.17 and 5.18, and if we express X(z)z k1 ¼

F(z) (z  zp )r

(5:19)

where F(z) has no poles at z ¼ zp, then Cauchy’s residue theorem says that 1 x[k] ¼ 2pj

þ X(z)zk1 dz ¼

X

Ri

(5:20)

i

C

where Ri are residues of X(z)zk1 at the poles inside the contour C. The poles outside the contour do not contribute to the sum. If no poles are inside the contour of integration for a certain k, then x[k] is zero for that k. Do not ignore the fact that the contour of integration C must lie within the ROC. In some instances, it may be quite cumbersome to evaluate Equation 5.20, for example, when we have a multiple-order pole at z ¼ 0, whose order depends on k. In that case we can rewrite Equation 5.20 as x[k] ¼

X

R0i

i

where R0i is the residue of X(1=z)zk1 at the poles inside the contour C0 C0 is a circle of radius 1=s if C is a circle of radius s For more details, see Ref. [7].

5.3.2 Partial Fraction Expansion Another method of obtaining the inverse z-transform is by using partial fraction expansion. Note, however, that the partial fraction expansion method can be applied only to rational functions. Thus, suppose that X(z) can be represented as in Equation 5.10. We can then rewrite it as QN ð1  ni z1 Þ N0  Qi¼1 X(z) ¼ D 1 D0 i¼1 ð1  di z Þ

(5:21)

where ni are the nontrivial zeroes of N(z) di are the zeroes of D(z) The partial fraction expansion of X(z) can be written as X(z) ¼

ND X i¼0

Ai z i þ

Ds X i¼1

pi Dm X X Bi Cmi þ ð1  di z1 Þ i¼1 m¼1 ð1  di z 1 Þm

(5:22)

Fundamentals of Circuits and Filters

5-8

Here, if N  D, Ai can be obtained by long division of the numerator by the denominator; otherwise, that first sum in Equation 5.22 disappears. In the second sum Ds denotes the number of single poles di of X(z), and the coefficients Bi can be obtained as   Bi ¼ 1  di z 1 X(z)jz¼di

(5:23)

The third sum (double sum) represents the part with multiple poles. Dm is the number of multiple poles di, and pi are their respective multiplicities. The coefficients Cmi can be obtained as m

Cmi

1 dpi pi 1 ¼ )]jz¼d1 m m [(1  di z) X(z i (pi  m)!(  di )pi dz pi

(5:24)

Once we have the expression (Equation 5.22), we can recognize each term as the z-transform of a known sequence. For example, Bi=(1  diz1) will be the z-transform of either Bi din u[n] or Bi din u[n 1], depending on whether jzj > jdij, or jzj < jdij.

5.3.3 Other Methods for Obtaining the Inverse z-Transform Although the two methods presented previously will work in most cases, sometimes it can be more convenient to use simpler techniques. One of these is the inspection method [7]. It consists of learning to recognize some often-used z-transform pairs. For example, if we are given 3=(1  z1) with the ROC jzj < 1, from Equation 5.21 we can recognize it as the z-transform of 3u[n 1]. In this process the tables of z-transform pairs are an invaluable tool. An extensive list of z-transform pairs can be found in Ref. [3]. Another technique can be used if we are given a z-transform in the form of a power series expansion: X(z) ¼    þ x[1]z þ x[0] þ x[1]z 1 þ    Then, we can identify each term with the appropriate power of z. For example, the coefficient with zk will be x[k].

5.4 Properties of the z-Transform Although we can always obtain a z-transform of a sequence by directly applying its definition as given in Equation 5.3, it is useful to have a list of properties at hand to help calculate a particular z-transform or inverse z-transform more easily. We divide these properties into two categories: properties of the ROC, and properties of the z-transform itself. In what follows Rx will denote the ROC of the signal x[n], while Rx and Rxþ will denote its lower=upper bounds, respectively (as given in Equation 5.5).

5.4.1 Region of Convergence The ROC is an integral part of the z-transform of a sequence. Thus, this section goes into more detail on some of the points touched upon earlier. These properties and the order in which they are presented follow those in Ref. [7]; therefore, for more details, see Ref. [7]. First, we said that the ROC is an annular region in the z-plane, i.e., 0  R < jzj < Rþ  1. This follows from the fact that if the z-transform converges for z ¼ z1, it will converge for all z such that jzj ¼ jz1j, that is, for all z on the circle jzj ¼ jz1j. Then, if we put jzj ¼ 1 in Equation 5.3, we obtain Equation 5.6, or the discrete-time FT. Therefore, it is obvious that the FT of x[n] converges iff the z-transform of x[n] converges for jzj ¼ 1, that is, iff the ROC of the z-transform contains the unit circle.

z-Transform

5-9

Another useful property is that the ROC cannot contain any poles. This stems from the fact that if it did, the z-transform at the pole would be infinite and would not converge. Consider now what happens if the sequence is of finite duration—it is zero except in a finite interval 1 < N1  n  N2 < þ1. If all the values are finite, then the sequence is clearly absolutely summable and the z-transform will converge everywhere, except possibly at points z ¼ 0 or z ¼ 1. Using the same type of arguments one can conclude that if the sequence is right-sided (it is zero for n < N1 < þ1), then the ROC will be the annular region outside of the finite pole of X(z) of the largest magnitude. Similarly, if the sequence is left-sided (it is zero for n > N2 > 1), then the ROC will be the annular region inside the finite pole of X(z) of the smallest magnitude. As a result, if a sequence is neither left- nor right-sided, the ROC will be an annular region bounded on the interior and the exterior by a pole.

5.4.2 Properties of the Transform The sequences x[n], y[n], . . . will have associated z-transforms X(z), Y(z), . . . , with ROCs Rx, Ry, . . . , in which each ROC will have its associated lower and upper bounds, as given in Equation 5.5. 5.4.2.1 Linearity ax[n] þ by[n] $ aX(z) þ bY(z) ROC  Rx \ Ry

(5:25)

To prove this, apply the definition given in Equation 5.5. Note that the resulting ROC is at least as large as the intersection of the two starting ROCs. For example, if both X(z) and Y(z) are rational functions of z, and by adding aX(z) to bY(z) we introduce a zero that cancels one of the poles, the resulting ROC is larger than the intersection. If, on the other hand, no pole=zero cancelation exists, the resulting ROC is exactly the intersection. 5.4.2.2 Shift in Time x[n  i] $ z i X(z)

ROC ¼ Rx

(5:26)

The proof is straightforward and follows by the change of variables k ¼ n  i in Equation 5.5. Note that the resulting ROC could gain or lose a few poles at z ¼ 0 or z ¼ 1. 5.4.2.3 Time Reversal   1 x[n] $ X z

1 1 < jzj < Rxþ Rx

(5:27)

Again, the proof is straightforward and follows by the change of variables k ¼ n in Equation 5.5. 5.4.2.4 Multiplication by an Exponential Sequence One can easily show that the following holds: an x[n] $ X

z  a

jajRx < jzj < jajRxþ

(5:28)

Because z=a is the variable in the transform domain, we can see that all the poles of the original X(z) have been scaled by a.

Fundamentals of Circuits and Filters

5-10

5.4.2.5 Multiplication by a Ramp This property could also be called ‘‘differentiation of X(z)’’: nx[n] $ z

dX(z) , dz

ROC ¼ Rx

(5:29)

To demonstrate this, differentiate both sides of Equation 5.3 with respect to z and then multiply by z to obtain Equation 5.29. 5.4.2.6 Convolution in Time In transform domain convolution becomes simply the product of the two sequences. If we denote convolution by * x[n]*y[n] ¼

þ1 X

x[k]y[n  k] ¼

k¼1

þ1 X

y[k]x[n  k]

(5:30)

k¼1

then x[n]*y[n] $ X(z)  Y(z),

ROC  Rx \ Ry

(5:31)

Although the proof is not difficult, we write it here, because this is one of the most useful properties. Thus, take the convolution in Equation 5.30, multiply it by zn and sum over n þ1 X

þ1 X

x[k]y[n  k]z

n

¼

n¼1 k¼1

¼

þ1 X k¼1 þ1 X

x[k]

þ1 X

y[n  k]z n

n¼1

x[k]

þ1 X

y[p]z(pþk)

p¼1

k¼1

¼

þ1 X

x[k]z k

k¼1

þ1 X

y[p]zp

p¼1

¼ X(z)Y(z) where we have used change of variables p ¼ n  k. As in the case of linearity, if it happens that a pole residing at the border of one of the ROCs is canceled by a zero from the other transform, then the resulting ROC will be larger than the intersection of the individual ROCs; otherwise, it will be exactly their intersection.

5.4.3 Convolution in z-Domain Convolution in z-domain is given by (for more details, refer to Ref. [3] or [7]) x[n]  y[n] $

1 2pj

þ X(l)Y C

z  l1 dl Rx Ry < jzj < Rxþ Ryþ l

(5:32)

where C is a closed contour in the intersection of the ROCs of X(l) and Y(z=l), and integration is performed counterclockwise. This property is the generalization of the periodic convolution property of the FT. Suppose that the contour C is the unit circle and l ¼ ejv, z ¼ eju. Also, observe that dl ¼ jejv dv, and that if l goes around the unit circle, v ranges from p to p. Then,

z-Transform

5-11

1 2pj

þ C

ðp z  1 1 X(l)Y X(ejv )Y[ej(uv) ]dv l dl ¼ l 2p p

This equation states that the product of two sequences has as its FT the periodic convolution of their FTs. 5.4.3.1 Conjugation If we are given a complex sequence x*[n], then its z-transform pair is x*[n] $ X*(z*) ROC ¼ Rx

(5:33)

5.4.3.2 Real Part This property and the next one use the conjugation property given in Equation 5.33. Thus, if we express Re{x[n]} ¼

x[n] þ x*[n] 2

then by Equation 5.33 and the linearity of the z-transform 1 Re{x[n]} $ [X(z) þ X*(z*)] 2

ROC  Rx

(5:34)

5.4.3.3 Imaginary Part Similarly to the previous property, if we express Im{x[n]} ¼

x[n]  x*[n] 2j

then by Equation 5.33 and the linearity of the z-transform Im{x[n]} $

1 ½X(z)  X*(z*) ROC  Rx 2j

(5:35)

5.4.3.4 Parseval’s Relation Parseval’s relation is widely used in various transform domains, usually to find the energy of a signal. We start here with its more general formulation, and then reduce it to its usual form þ1 X

1 x[n]y*[n] ¼ 2pj n¼1

þ C

  1 X(l)Y* l1 dl l*

(5:36)

where C is a closed contour in the intersection of the ROCs of X(l) and Y*(1=l*), and integration is performed counterclockwise. Again, for the proof, we refer the reader to Ref. [3] or [7]. Suppose now that y[n] ¼ x[n]. Then Equation 5.36 reduces to þ1 X

1 jx[n]j ¼ 2pj n¼1

þ

2

C

  1 X(l)X* l1 dl l*

(5:37)

If both x[n] and y[n] converge on the unit circle, i.e., their FTs exist, then, we can choose l ¼ ejv and Equation 5.36 becomes

Fundamentals of Circuits and Filters

5-12 þ1 X

x[n]y*[n] ¼

n¼1

1 2pj

þ

X(ejv )Y*(ejv )ejv d(ejv )

C

¼

1 2p

ðp X(ejv )Y*(ejv )dv p

which is the usual Parseval’s relation in Fourier domain. 5.4.3.5 Initial Value Theorem If x[n] is causal (it is 0 for n < 0), then x[0] ¼ lim X(z) z!1

(5:38)

5.4.3.6 Final Value Theorem If the poles of X(z) are all inside the unit circle, then lim x[n] ¼ lim [(1  z1 )X(z)]

n!1

z!1

(5:39)

5.5 Role of the z-Transform in Linear Time-Invariant Systems The class of systems dealt with mostly are linear time-invariant systems. We discuss here the role of the z-transform in such systems. Recall that if we are given a system with input x[n] and output y[n], described by an operator H[] y[n] ¼ H[x[n]] and H[] is defined to be linear and time invariant, then 1. If inputs x1[n] and x2[n] produce outputs y1[n] and y2[n], then the input ax1[n]þbx2[n] will produce the output ay1[n] þ by2[n]. 2. If the input x[n] produces output y[n], then the input x[n  k] will produce the output y[n  k]. We recall a few properties of discrete linear time-invariant systems. For more details, refer to Refs. [4,7]. Note first that x[n] can be written as a superposition of unit samples as x[n] ¼

þ1 X

x[k]d[n  k]

(5:40)

k¼1

If this is the input and we want to find the corresponding output, then y[n] ¼ H[x[n]] " # þ1 X x[k]d[n  k] ¼H ¼ ¼

k¼1 þ 1 X

x[k]H[d[n  k]]

k¼1 þ1 X k¼1

x[k]h[n  k]

(5:41)

z-Transform

5-13

Here, h[n] is called the unit-sample response, defined as the response of the system to the unit sample d[n]. Equation 5.41 is a convolution sum, thus it can be written as y[n] ¼ x[n]*h[n]

(5:42)

One of the most important properties of the z-transform is that the convolution in time has a product as its transform-domain pair. Therefore, using Equation 5.31, we can write Equation 5.42 in z-domain as Y(z) ¼ X(z)H(z)

(5:43)

Here, H(z) is called the system transfer function H(z) ¼

þ1 X

h[n]zn

(5:44)

n¼1

We may also obtain this transfer function in another manner, if we assume that the systems we are dealing with are those that can be described by linear constant-coefficient difference equations (much in the same way as continuous-time systems that can be represented by linear constant-coefficient differential equations). Along the way, the use of z-transform greatly simplifies analysis of such systems and the solutions to these equations reduce to solutions of algebraic equations. Hence, suppose that our system can be described by the following linear constant-coefficient difference equation: D X k¼0

bk y[n  k] ¼

N X

ak x[n  k]

(5:45)

k¼0

This equation has many solutions. We assume that the system is causal and moreover, we assume that the initial conditions are satisfied so that the system is linear and time invariant. With these factors in mind, we can write the expression for the output of the system as y[n] ¼ 

D N X X bk ak y[n  k] þ x[n  k] b b k¼1 0 k¼0 0

(5:46)

This means that the output at time n depends on the outputs from D previous instants as well as from the input at time n and at N previous instants. Taking the z-transform of both sides of Equation 5.45 and using the linearity and shift in time properties of the z-transform, we obtain PN ak zk Y(z) ¼ PD k¼0 k k¼0 bk z X(z)

(5:47)

Finally, using Equation 5.43 we can identify H(z) here as PN H(z) ¼ Pk¼0 D

ak z k

k¼0 bk z

k

(5:48)

The function H(z) is often referred to as a filter. If the denominator is a delay, i.e., bi zi, such a filter will be a finite impulse response filter (FIR), as opposed to the infinite impulse response filter (IIR). Taking the inverse z-transform of Equation 5.48 we may obtain the unit-sample response of the system h[n].

Fundamentals of Circuits and Filters

5-14

Finally, let us see how one might obtain the frequency response of the system. Evaluate Equation 5.44 on the unit circle (assuming that the ROC of H(z) contains the unit circle) H(z)jjzj¼1 ¼ H(ejv ) ¼

þ1 X

h[n]ejvn

(5:49)

n¼1

Therefore, the frequency response of the system is the system transfer function evaluated on the unit circle: H(ejv ) ¼ H(z)jz¼ejv

(5:50)

A consequence of this is that a linear time-invariant system is bounded-input–bounded-output stable (i.e., bounded input produces bounded output), iff the ROC of the transfer function H(z) contains the unit circle. We mentioned earlier that we are using the double-sided z-transform, while the one-sided z-transform is most useful when solving linear constant-coefficient difference equations with nonzero initial conditions. To transform such an equation into an algebraic equation, we used the linearity and shift in time properties of the double-sided z-transform. We would like to be able to do the same with the one-sided z-transform; however, we must re-derive the shift in time property in order to do that. Therefore, assume we are given a sequence x[n  i] as in Equation 5.26. Taking its one-sided z-transform, we obtain þ1 X

x[n  i]z n ¼

n¼0

þ1 X

x[p]z (pþi)

p¼i

¼

1 X

x[p]z (pþi) þ zi

þ1 X

(5:51)

x[p]zp

p¼0

p¼i

¼ x[i] þ    þ x[1]z (i1) þ zi X(z) To solve Equation 5.45, we can take the one-sided z-transform of both sides and use the linearity and shift in time properties to obtain D X k¼0

2 bk 4

1 X p¼k

3 y[p]z (pþk) þ z k Y(z)5 ¼

N X k¼0

2 ak 4

1 X

3 x[p]z(pþk) þ z k X(z)5

(5:52)

p¼k

The output y[n] for n  0 can be obtained as the inverse z-transform of ! "PN # PD P1 P1 (pþk) (pþk) k a x[p]z  b y[p]z k k a z k¼0 p¼k k¼0 p¼k k X(z) þ Pk¼0 PD D k k b z b z k¼0 k k¼0 k PN Y(z) ¼

(5:53)

To solve for Y(z) we need to know initial conditions y[n], for n ¼ D, . . . , 1, and for x[n] for n ¼ N, . . . , 1. Note that if x[n] is causal, and the initial conditions are all zero, the above solution is the same as the one when the double-sided z-transform is used; i.e., it reduces to the first term on the right side of Equation 5.53.

z-Transform

5-15

5.6 Variations on the z-Transform 5.6.1 Multidimensional z-Transform Although the two-dimensional and multidimensional z-transforms are related to the one-dimensional z-transform, they are not straightforward generalizations of it. We give here a brief overview of the twodimensional z-transform. For more details on it and the multidimensional z-transform, see Refs. [1,2]. The two-dimensional z-transform of a sequence x[n1, n2] is given by þ1 X

þ1 X

X(z1 , z2 ) ¼

n1 ¼1 n2 ¼1

x[n1 , n2 ]z1n1 z2n2

(5:54)

Here, z1 and z2 are complex variables and the region in the four-dimensional (z1, z2) space in which the previous double sum converges is called the ROC. In one dimension, the ROC is an annular region in the z-plane, while here it is called the Reinhardt domain. The analog of the unit circle in one dimension is the unit bicircle for jz1j ¼ 1 and jz2j ¼ 1. On the unit bicircle, the two-dimensional z-transform becomes the two-dimensional discrete-time FT. Properties of the two-dimensional z-transform are similar to those of the one-dimensional z-transform, and they can be found in Refs. [1,2]. Here, we list just two. First, for separable signals, the following holds: x[n1 ]y[n2 ] $ X(z1 )Y(z2 )

(5:55)

where (z1, z2) is the ROC, if z1 is in Rx and z2 is in Ry. The differentiation property is as follows: n1 n2 x[n1 , n2 ] $ z1 z2

@2 X[z1 , z2 ], @z1 @z2

ROC ¼ Rx

(5:56)

In analyzing two-dimensional systems it is useful to identify singularities. Given a two-dimensional linear shift-invariant system with an associated constant-coefficient difference equation D1 X D2 X

bk1 k2 y[n1  k1 , n2  k2 ] ¼

k1 ¼0 k2 ¼0

N1 X N2 X

ak1 k2 x[n1  k1 , n2  k2 ]

(5:57)

k1 ¼0 k2 ¼0

we can find the equivalent transfer function as PN1 H(z1 , z2 ) ¼

k ¼0 PD11 k1 ¼0

PN2

k ¼0 PD22 k2 ¼0

ak1 k2 z1k1 z2k2 bk1 k2 z1k1 z2k2

! ¼

N(z1 , z2 ) D(z1 , z2 )

(5:58)

Then, the zero of H(z1, z2) is a point at which A(z1, z2) ¼ 0 and B(z1, z2) 6¼ 0, while a pole is a point at which B(z1, z2) ¼ 0. Note, however, that both zeroes and poles are continuous surfaces rather than a discrete set of points, as in one dimension. Note, also, that unlike in one dimension, no fundamental theorem of algebra tells us how to factorize a multidimensional polynomial into its factors, and thus, it is not easy to isolate poles and zeroes.

Fundamentals of Circuits and Filters

5-16

5.6.2 Modified z-Transform The original, one-sided z-transform was developed to deal only with the signal at is sampling instants, and discard the rest. In many systems, particularly in mixed analog-digital systems, it is important to conserve the information about the signal between the sampling instants. Jury, among others, used the modified z-transform [3] to take care of this problem. The idea is to delay the continuous-time function xc(t) by a fictitious delay (1  D)T, where D varies from 0 to 1 (Figure 5.4) in order to get all the values of xi(t) for t ¼ (n  1 þ D)T, 0  D  1, n 2 Z; T is the sampling period. Then, the modified z-transform is defined as follows:

Xc (z, D) ¼

þ1 X

xc [(n  1 þ D)T]z n , 0  D  1

(5:59)

n¼1

or, using the change of variable k ¼ n  1, þ1 X

Xc (z, D) ¼ z 1

xc [(k þ D)T]z k ,

0D1

(5:60)

k¼1

It is easy to see that the z-transform as defined in Equation 5.3 can be obtained as a special case of the modified z-transform as Xc (z) ¼ jXc (z, D)jD¼1

(5:61)

Similar to the z-transform, the modified z-transform possesses a number of useful properties. For these, the reader is referred to Ref. [3].

xc (t)

xc (t– (1 – Δ)T)

(1 – Δ)T

t T

2T

3T

4T

5T

FIGURE 5.4 Modified z-transform takes into account values of the function between sampling instants by creating a fictitious delay (1  D)T.

z-Transform

5-17

5.6.3 Chirp z-Transform Algorithm This is a brief discussion of an algorithm for computing the z-transform of a finite-length sequence, much as was done in the case of the DFT. Suppose we wanted to compute the z-transform on a circle concentric to the unit circle. We could use the DFT algorithm, with some minor modifications. However, the chirp z-transform algorithm used in radar systems [9] can be more efficient and allows one to compute the z-transform of a finite-length sequence on a spiral contour in the z-plane. The samples we compute are equally spaced in angle over some portion of the spiral. The algorithm employs the DFT as well, and has the complexity of (N þ M  1) log2 (N þ M  1) where N is the number of nonzero values of the sequence x[n], i.e., x[0], . . . , x[N  1] M is the number of points at which we evaluate the z-transform, zk, k ¼ 1, . . . , M

5.7 Concluding Remarks This chapter developed the basics of the z-transform. We demonstrated that it is a generalization of the discrete-time FT, and have explored the relationships between the two. We also discussed the connection to the LT. The inverse z-transform was presented, using both contour integration and partial fraction expansion. Although the partial fraction expansion method is easier, it can be used only for rational functions of z. We explored a number of properties of the ROC of the z-transform, as well as important properties of the transform itself. Finally, we showed how the z-transform is used in solving linear constant-coefficient difference equations. To conclude the section, we discussed the modified z-transform and the multidimensional z-transform. We also briefly mentioned the chirp z-transform algorithm, used for computing a few points of the z-transform of a finite-length sequence. For more details on these topics, refer to Refs. [1–3,5–7,9,10].

Acknowledgments I thank Professor Eli Jury for his encouragement and technical insight while writing this manuscript, as well as an anonymous reviewer for his useful remarks.

References 1. N. K. Bose, Applied Multidimensional System Theory, New York: Van Nostrand Reinhold, 1982. 2. D. E. Dudgeon and R. M. Mersereau, Multidimensional Digital Signal Processing, Englewood Cliffs, NJ: Prentice Hall, 1984. 3. E. I. Jury, Theory and Application of the z-Transform Method, Malabar, FL: Robert E. Krieger, 1986. 4. T. Kailath, Linear Systems, Englewood Cliffs, NJ: Prentice Hall, 1980. 5. L. C. Ludeman, Fundamentals of DSP, New York: Harper & Row, 1986. 6. C. D. McGillem and G. R. Cooper, Continuous and Discrete Signal and System Analysis, New York: Holt, Rinehart & Winston, 1974. 7. A. V. Oppenheim and R.W. Shafer, Discrete-Time Signal Processing, Englewood Cliffs, NJ: Prentice Hall, 1989. 8. A. Papoulis, Probability, Random Variables and Stochastic Processes, 2nd edn., New York: McGrawHill, 1984. 9. L. R. Rabiner, R. W. Schafer, and C. M. Rader, The chirp z-transform algorithm, IEEE Trans. Audio Electroacoust., 17, 86–92, 1969. 10. R. Vich, z-Transform Theory and Applications, Boston, MA: D. Reidel, 1987.

6 Wavelet Transforms 6.1

Introduction.............................................................................. 6-2

6.2

Signal Representation Using Basis Functions ................... 6-3

6.3

Short-Time Fourier Transform .......................................... 6-16

Scope and Outline

.

General Notations and Acronyms

Ideal Bandpass Wavelet . L2 Spaces, Basis Functions, and Orthonormal Bases . Wavelet Transforms . Haar Wavelet Basis . Basic Properties of Wavelet Transforms . Filter Bank Interpretation and Time–Frequency Representation . Wavelet Basis and Fourier Basis . Most General Form of Wavelet Transformation Filter Bank Interpretation . Wavelet Transform versus Short-Time Fourier Transform

6.4

Digital Filter Banks and Subband Coders........................ 6-22 Reconstruction from Subbands . Polyphase Representation . Paraunitary Perfect Reconstruction System . Parametrization of Paraunitary Filter Banks . Maximally Flat Solutions . Tree-Structured Filter Banks . Filter Banks and Basis Functions

6.5 6.6

Deeper Study of Wavelets, Filter Banks, and Short-Time Fourier Transforms ................................ 6-30 Space of L1 and L2 Signals................................................... 6-31 Lebesgue Integrals . Convolutions

6.7

.

Lp Signals

.

Riesz Basis, Biorthogonality, and Other Fine Points..... 6-37 Finite Dimensional Vector Spaces Riesz Bases, and Inner Products

6.8

Fourier Transforms

.

Biorthogonal Systems,

Frames in Hilbert Spaces ..................................................... 6-43 Definition of a Frame . Representing Arbitrary Vectors in Terms of Frame Elements . Exact Frames, Tight Frames, Riesz Bases, and Orthonormal Bases . Frame Operator, Dual Frame, and Biorthogonality

6.9

Short-Time Fourier Transform: Invertibility, Orthonormality, and Localization ..................................... 6-47 Time–Frequency Sampling Density for Frames and Orthonormal Bases

6.10

P. P. Vaidyanathan

California Institute of Technology

Igor Djokovic

PairGain Technologies

Wavelets and Multiresolution............................................. 6-50 Idea of Multiresolution . Definition of Multiresolution Analysis . Relation between Multiresolution and Wavelets . Relation between Multiresolution Analysis and Paraunitary Filter Banks . Continuous Time Filter Banks and Multiresolution . Further Manifestations of Orthonormality . Generating Wavelet and Multiresolution Basis by Design of f(t)

6-1

Fundamentals of Circuits and Filters

6-2

6.11 Orthonormal Wavelet Basis from Paraunitary Filter Banks.............................................................................. 6-63 Convergence of Infinite Products . Infinite Product Defining the Scaling Function . Orthonormal Wavelet Basis from Paraunitary Filter Bank . Wavelet Tight Frames

6.12 Compactly Supported Orthonormal Wavelets ............... 6-71 6.13 Wavelet Regularity ................................................................. 6-72 Smoothness and Hölder Regularity Index . Frequency-Domain Decay and Time-Domain Smoothness . Time-Domain Decay and Time-Domain Regularity . Wavelets with Specified Regularity

6.14 Concluding Remarks ............................................................. 6-78 Why Wavelets?

.

Further Reading

Acknowledgments ............................................................................. 6-79 References............................................................................................ 6-79

6.1 Introduction Transform techniques such as the Fourier and Laplace transforms and the z-transform have long been used in a wide variety of scientific and engineering disciplines [1,2]. In a number of applications in which we require a joint time–frequency picture, it is necessary to consider other types of transforms or time– frequency representations. Many such methods have evolved. The wavelet transform (WT) technique [3–5] in particular has some unique advantages over other kinds of time–frequency representations such as the short-time Fourier transform (STFT). For historical developments as well as many technical details and original material, see Ref. [5]. This chapter describes some of these representations and explains the advantages of the wavelet transform, as well as the reason for its recent popularity. A subclass of wavelet transforms [6] has an intimate connection with the theory of digital filter banks [7–10]. Filter banks have been known to the signal processing community for over three decades (see Ref. [7] and references therein). It is this relation that makes it possible to construct in a systematic way a wide family of wavelets with several desirable properties such as compact support (i.e., finite duration), smoothness, good time–frequency localization, and basis orthonormality (all these terms will be explained later). The connection between wavelets and filter banks finds beautiful mathematical expression in the theory of multiresolution [11]. This enables us to compute the wavelet transform coefficients using the so-called fast wavelet transform (FWT), which is essentially a tree-structured filter bank. In addition to the practical value, many deep results from several disciplines find a unified home in the theory and development of the wavelet transform. This includes signal processing, circuit theory, communications, and mathematics. Our emphasis here is on this unification, and the beautiful big picture that it provides. Other tutorials on wavelets with different choices of emphasis can be found in Refs. [7,12–14].

6.1.1 Scope and Outline The literature on wavelets is enormous, and an attempt to do justice to everything would prove futile. Even a list of references that is fair to all contributors would be too long. We, therefore, restrict discussions to basic, core material. Sections 6.2 through 6.5 provide an overview, with the presentation given at a level that can be comprehended by most engineers. The more advanced results on wavelets, which brought them great attention in recent years, are presented in Sections 6.9 through 6.13. At the heart of these results lie several powerful mathematical tools, which are usually not familiar to engineers, and so we present a fairly extensive math review in three sections (Sections 6.6 through 6.8). We suggest that the reader go through this review material once and then use it primarily as a reference. The advanced Sections 6.9 through 6.13 are organized such that the main points, summarized as theorems for convenience of reference, can be appreciated even without the mathematical background

Wavelet Transforms

6-3

material in Sections 6.6 through 6.8. The mathematical sections do, however, facilitate a deeper understanding. It is our hope that these sections will bring most readers to a point where they can pursue wavelet literature without difficulty. Why Wavelets? A commonly asked question is ‘‘Why wavelets?,’’ that is, ‘‘what are the advantages offered by wavelets over other types of transform techniques such as, the Fourier transform?’’ The answer to this question is fairly sophisticated, and also depends on the level at which we address the question. Several discussions addressing this question are scattered throughout this chapter. A convenient listing of the locations of these discussions is given in Section 6.14 under ‘‘Why Wavelets?’’

6.1.2 General Notations and Acronyms 1. Boldfaced quantities represent matrices and vectors. 2. Notations AT, A*, and Ay represent, respectively, the transpose, conjugate, and transpose– conjugate of the matrix A. ~ ¼ Hy(1=z*); thus, if H(z) ¼ Snh(n)zn, then 3. Accent tilde is defined as follows: H(z) P y n ~ ¼ Hy(z). ~ H(z) ¼ n h (n)z . On the unit circle H(z) 4. Acronyms. BIBO (bounded-input–bounded-output); FIR (finite impulse response); IIR (infinite impulse response); LTI (linear time invariant); PR (perfect reconstruction); STFT (short-time Fourier transform); WT (wavelet transform). 5. For LTI systems, ‘‘stability’’ stands for BIBO stability. 6. d(n) denotes the unit pulse or discrete time impluse, defined such that d(0) ¼ 1 and d(n) ¼ 0 otherwise. This should be distinguished from the Dirac delta function [2], which is denoted as da(t). 7. Figures. Sampled versions of continuous time signals are indicated with an arrow on the top (e.g., Figure 6.10a). The sampled versions are impulse trains of the form Snc(n)da(t  n), and are functions of continuous t.

6.2 Signal Representation Using Basis Functions The electrical engineer is very familiar with the Fourier transform (FT) and its role in the study of LTI systems or filters. For example, the frequency response of an LTI system is the FT of its impulse response. The FT is also used routinely in the design of circuits. As a reminder, the FT of a signal x(t) Ð 1and analysis jvt is given by the familiar integral X(v) ¼ 1 x(t)e dt and the inverse transform by* 1 x(t) ¼ 2p

1 ð

X(v)e jvt dv

(6:1)

1

From this equation, we can say that x(t) has been expressed as a linear superposition (or linear D combination) of an infinite number of functions gv(t) ¼ e jvt. Because the frequency v is a continuous variable, uncountably many functions gv(t) are superimposed. Electrical engineers, in particular signal processors and communications engineers, are also familiar with two special classes of signals which can be regarded as a superposition of countably many functions: x(t) ¼

1 X

an gn (t)

(6:2)

n¼1

* At the moment, it is not necessary to worry about the existence, invertibility, and the type (e.g., L1 or L2) of the FT. We return to the mathematical subtleties in Section 6.6.

Fundamentals of Circuits and Filters

6-4

where an are scalars (possibly complex) uniquely determined by x(t). These two examples are time-limited signals for which we can find a Fourier series (FS), and bandt 0 1 limited signals that can be reconstructed from uniformly spaced samples by weighting them with shifted sinc functions (see the following FIGURE 6.1 Finite duration signal, with support 0  t  1. paragraph). First consider a time-limited signal x(t) with duration 0  t  1 (Figure 6.1). Under some mild conditions such a signal can be represented in the form of Equation 6.2 with x(ω) gn(t) ¼ e j2pnt. The expression 6.2 is then the FS of x(t), and an are the Fourier coefficients. ω (In contrast we say that Equation 6.1 is the –β 0 β Fourier integral of x(t).) The transform domain signal {an} is a sequence, and the FIGURE 6.2 Fourier transform of a signal band-limited to transform domain variable is discrete, namely, jvj < b. D the frequencies vn ¼ 2pn. Because e j2pnt is periodic in t with period one, the right-hand side of Equation 6.2 is periodic, and it represents x(t) only in 0 ptffiffi convenient to replace the complex functions e j2pnt with the set of real functions ffi 1. It is sometimes pffiffiffi 1, 2 cos (2pnt), 2 sin (2pnt), n > 0, especially in circuit analysis. Next, consider a band-limited signal x(t) with FT X(v) as demonstrated in Figure 6.2. If we sample the signal at the Nyquist rate 2b rad=s (i.e., sampling period T ¼ p=b), then multiple copies of the FT are generated [2], and we can recover x(t) from the samples by use of an ideal low-pass filter F((v) (Figure 6.3). The impulse response of the filter is the sinc function f (t) ¼ sin bt=bt so that the reconstruction formula is x(t)

x(t) ¼

1 X

x(nT)f (t  nT) ¼

n¼1

1 X

x(nT)

n¼1

sin b(t  nT) , b(t  nT)

T ¼ p=b

(6:3)

Comparing to Equation 6.2 we see that the transform domain coefficients an can be regarded as the samples x(nT), whereas the functions gn(t) are the shifted sinc functions. If a signal is time limited or band limited, we can express it as a countable linear combination of a set of fundamental functions (called basis functions, in fact an orthonormal basis; see below). If the signal is more arbitrary, i.e., not limited in time or bandwidth, can we still obtain a countable linear Ð such 2 combination? Suppose we restrict x(t) to be a finite energy signal (i.e., jx(t)j dt < 1; also called L2 signals, see below). Then this is possible. In fact, we can find an unusual kind of basis called the wavelet basis, fundamentally different from the Fourier basis. Representation of x(t) using this basis has,

Low-pass filter F(ω)

x(ω)/T

Copies created by sampling

T

T = π/β ω –β

FIGURE 6.3

0

β

Use of low-pass filter F(v) to recover x(t) from its samples.

Wavelet Transforms

6-5

in some applications, some advantages over the Fourier representation or the short-time (windowed) Fourier representation. Wavelet bases also exist for many other classes of signals, but this discussion is limited to the L2 class of signals. The most common kind of wavelet representation takes the form x(t) ¼

1 1 X X k¼1

ckn 2k=2 c(2k t  n) |fflfflfflfflfflfflfflfflfflffl{zfflfflfflfflfflfflfflfflfflffl} n¼1

(6:4)

ckn (t)

The functions ckn(t) are typically (but not necessarily) linearly independent and form a basis for finite energy signals. The basis is very special in the sense that all the functions ckn(t) are derived from a single function c(t) called the wavelet, by two operations: dilation (t ! 2kt) and time shift (t ! t  2kn). The advantage of such a basis is that it allows us to capture the details of a signal at various scales, while providing time-localization information for these ‘‘scales.’’ Examples in future sections clarify this idea. Why Worry about Signal Representations? A common feature of all the previous discussions is that we have taken a signal x(t) and found an equivalent representation in terms of the transform domain quantity {an} in Equation 6.2, or {ckn} in Equation 6.4. If our only aim is to compute an from x(t) and then recompute x(t) from an, that would be a futile exercise. The motivation in practice is that the transform domain quantities are better suited in some sense. For example, in audio coding, decomposition of a signal into frequency components is motivated by the fact that the human ear perceives higher frequencies with less frequency resolution. We can use this information. We can also code the high-frequency components with relatively less precision, thereby enabling data compression. In this way, we can take into account perceptual information during compression. Also, we can account for the fact that the error allowed by the human ear (due to quantization of frequency components) depends on the frequency masking property of the ear, and perform optimum-bit allocation for a given bit rate. Other applications of signal representations using wavelets include numerical analysis, solution of differential equations, and many others [5,15,16]. The main point, in any case, is that we typically perform certain manipulations with the transform domain coefficients an (or ckn in Equation 6.4) before we recombine them to form an approximation of x(t). Therefore, we really only have ^x(t) ¼

X

a ^ n gn (t)

(6:5)

n

where {^ an} approximates {an}. This discussion gives rise to many questions: how best to choose the basis an} so that for a given data functions gn(t) for a given application? How to choose the compressed signal {^ rate the reconstruction error is minimized? What, indeed, is the best way to define the reconstruction error? These questions are deep and complicated and will take us too far afield. Our goal is to point out the basic advantages (sometimes) offered by the WT over other kinds of transforms (e.g., the FT).

6.2.1 Ideal Bandpass Wavelet Consider a bandpass signal x(t) with FT as shown in Figure 6.4. Such signals arise in communication applications. The bandedges of the signal are v1 and v2 (and v1 and ((v2 on the negative side, which is natural if x(t) is real). Viewed as a low-pass signal, the total bandwidth (counting negative frequencies also) is 2v2, but viewed as a bandpass signal, the total bandwidth is only 2b where b ¼ v2  v1. Does it mean that we can sample it at the rate 2b rad=s (which is the Nyquist rate for the low-pass case)? In the low-pass case, sampling at Nyquist rate was enough to ensure that the copies of the spectrum created by the sampling did not overlap (Figure 6.3). In the bandpass case, we have two sets of such copies; one created by the positive half of the frequency v1  v  v2 and the other by the negative

Fundamentals of Circuits and Filters

6-6

X(ω)

–ω2

FIGURE 6.4

–ω1

β

0

ω1

ω2

ω

Fourier transform of a bandpass signal.

F(ω)

β

π/β –ω2

FIGURE 6.5

–ω1

0

ω1

ω

ω2

Bandpass filter to be used in the reconstruction of the bandpass signal from its samples.

A bandpass subband X(ω)

Low-pass subband

ω –ω3 –ω2

FIGURE 6.6

–ω1

0

ω1

ω2 ω3

Splitting a signal into frequency subbands.

half v2  v  v1. This makes the problem somewhat more complicated. It can be shown that, for sampling at the rate 2b, no overlap of images exists iff one of the edges, v1 or v2, is a multiple of 2b. This is called the bandpass sampling theorem. The reconstruction of x(t) from the samples proceeds exactly as in the low-pass case, except that the reconstruction filter F(v) is now a bandpass filter (Figure 6.5), occupying precisely the signal bandwidth. The first part of Equation 6.3, therefore, is still valid, i.e., x(t) ¼ Sn x(nT) f (t  nT), where T ¼ p=b again, but the sinc function is replaced with the bandpass impulse response f (t). Given a signal x(t), imagine now that we have split its frequency axis into subbands in some manner (Figure 6.6). Letting yk(t) denote the kth subband signal, we can write x(t) ¼ Skyk(t). This can be visualized as passing x(t) through a bank of filters {Hk(v)} (Figure 6.7a), with responses as in Figure 6.7b. Note that each subband region is symmetric with respect to zero frequency, and therefore supports positive as well as negative frequencies. If the subband region vk  jvj < vkþ1 satisfies the bandpass sampling condition, then the bandpass signal yk(t) can be expressed as a linear combination of its samples P P P as before. Thus, x(t) ¼ k yk (t) ¼ k n yk (nTk )fk (t  nTk ), where Tk ¼ p=bk. Here, fk(t) is the impulse response of the reconstruction filter (or synthesis filter) Fk(v) shown in Figure 6.7c. Figure 6.7a also illustrates this reconstruction schematic. Figure 6.8 shows the set of synthesis filters {Fk(v)} for two examples of frequency splitting arrangement, namely uniform splitting and nonuniform (octave) splitting. We will see later that the uniform splitting arrangement gives an example of the STFT representation (Sections 6.3 and 6.9). In this section, we are interested in octave splitting. The bandedges of the filters here are vk ¼ 2kp (k ¼ . . . 1, 0, 1, 2, . . . ). The bandedges are such that yk(t) is a signal satisfying the bandpass sampling theorem. It has

Wavelet Transforms

6-7

Analysis filters

Synthesis filters yk–1(t)

Hk–1(ω) x(t)

yk(t)

Hk(ω)

yk+1(t)

Hk+1(ω)

(a)

Sampler

Fk–1(ω)

Sampler

Fk(ω)

Sampler

Fk+1(ω)

Subband decomposition

Reconstruction

Hk(ω) kth analysis filter

βk

1

–ωk+1

–ωk

0

ωk

ω

ωk+1

(b) Fk(ω) kth synthesis filter

π/βk

–ωk+1

(c)

βk

–ωk

0

ωk

ω

ωk+1

FIGURE 6.7 (a) Splitting a signal into subband signals, sampling, and then recombining; (b) response of the kth analysis filter; and (c) response of kth synthesis filter.

1 F3 F2 F1 F0 F0 F1 F2 F3 –π 0

π

ω

2π 3π

(a) F–1

2 1

F0

F1

1/2 ω

–π 0

π







(b)

FIGURE 6.8 Two possible schemes to decompose a signal into frequency bands: (a) uniform splitting and (b) octave-band splitting. The responses shown are those of synthesis filters.

bk ¼ 2kp, according to the notation of Figure 6.7. It can be sampled at period Tk ¼ p=bk ¼ 2k without aliasing, and we can reconstruct it from samples as yk (t) ¼

1 X n¼1

yk (2k n)fk (t  2k n)

(6:6)

Fundamentals of Circuits and Filters

6-8

Ψ(ω) 1 ω –2π –π FIGURE 6.9

0

π 2π

Fundamental bandpass function that generates a bandpass wavelet.

As k increases, the bandwidths of the filters increase so the sample spacing Tk ¼ 2k becomes finer. Because x(t) ¼ Skyk(t) we see that x(t) can be expressed as x(t) ¼

1 1 X X

yk (2k n)fk (t  2k n)

(6:7)

k¼1 n¼1

Our definition of the filters shows that the frequency responses are scaled versions of each other, i.e., Fk(v) ¼ 2k c(2kv), with c(v) as in Figure 6.9. The impulse responses are therefore related as fk(t) ¼ c(2kt), and we can rewrite Equation 6.7 as x(t) ¼

1 1 X X

yk (2k n)c(2k t  n)

(6:8)

k¼1 n¼1

We will write this as x(t) ¼ SkSnCkn ckn(t) by defining ckn ¼ 2k=2yk(2kn) and ckn (t) ¼ 2k=2 c(2k t  n) ¼ 2k=2 c(2k (t  2k n))

(6:9)

Ð Then the functions ykn(t) will have the same energy jckn(t)j2 dt for all k, n. From the analysis=synthesis filter bank point of view (Figure 6.7) this is equivalent to making Hk(v) ¼ Fk(v) and rescaling, as shown in Figure 6.10. With filters so rescaled, the wavelet coefficients ckn are just samples of the outputs of the analysis filters Hk(v). The function c(2kt) is a dilated version of c(t) (squeezed version if k > 0 and stretched version if k < 0). The dilation factor 2k is a power of 2, so this is said to be a dyadic dilation. The function c(2k(t  2kn)) is a shifted version of the dilated version. Thus, we have expressed x(t) as a linear combination of shifted versions of (dyadic) dilated versions of a single function c(t). The shifts 2kn, are in integer multiples of 2k, where k governs the dilation. For completeness, note that the impulse response c(t) corresponding to the function in Figure 6.9 is given by c(t) ¼

sin (pt=2) cos (3pt=2) pt=2

(ideal bandpass wavelet)

(6:10)

This is plotted in Figure 6.11. In Equation 6.8 we obtained a wavelet representation for x(t) (compare to Equation 6.4). The function c(t) is called the ideal bandpass wavelet, also known as the Littlewood–Paley wavelet. We now introduce some terminology for convenience and then return to more detailed definitions and discussions of the WT.

6.2.2 L2 Spaces, Basis Functions, and Orthonormal Bases Most of our discussionsÐ are restricted to the class of L2 functions or square integrable functions, i.e., functions x(t) for which jx(t)j2 dt exists Ðand has a finite value. The norm, or L2 norm, of such functions, denoted kx(t)k2, is defined as kx(t)k2 ¼ ( jx(t)j2dt)1=2. The notation L2[a, b] stands for L2 functions that

Wavelet Transforms

6-9 C–1,n H–1(ω)

0

Sampler

t

2

F–1(ω)

C0n x(t)

(a)

H0(ω)

Sampler

H1(ω)

Sampler

t

0 1 2

x(t)

F0(ω)

C1,n t

0 1

F1(ω)

Analysis bank

Synthesis bank H–1 and F–1 H0 and F0

√2

1

1

√2

H1 and F1

H2 and F2

1/2 ω

–π

0



π





(b)

FIGURE 6.10 responses.

Octave-band splitting scheme. (a) The analysis bank, samplers, and synthesis bank and (b) the filter

ψ(t)

1

t

t=2

FIGURE 6.11

Ideal bandpass wavelet.

are zero outside the interval a  t  b. The set L2(R) is the class of L2 functions supported on the real line 1 < t < 1. We often abbreviate L2(R) as L2. The class of L2 functions forms a (normed) linear vector space, i.e., any finite linear combination of functions in L2 is still in L2. In fact, it forms a special linear space such that a countable basis exists. That is, a sequence of linearly independent functions {gn(t)} exists in L2 such that any L2 function x(t) can be

Fundamentals of Circuits and Filters

6-10

expressed as x(t) ¼ Snan gn(t), for a unique set of {an}. We say that gn(t) are the basis functions. L2 spaces have orthonormal bases. For such a basis, the basis functions satisfy hgk (t), gm (t)i ¼ d(k  m)

(6:11)

D Ð where the notation h f (t), g(t)i ¼ f (t)g*(t)dt denotes the inner product between f (t) and g(t). For an P orthonormal basis, the coefficients an in the expansion x(t) ¼ 1 n¼1 an gn (t) can thus be computed using the exceptionally simple relation

an ¼ hx(t), gn (t)i

(6:12)

Two examples of orthonormal basis were shown previously. The first is the FS expansion of a timelimited signal (0  t  1). Here, the basis functions {e j2pnt} are clearly orthonormal, with integrals going from 0 to 1. The second example is the expansion Equation 6.3 of a band-limited signal; it can be shown that the shifted versions f (t  nT) of the sinc functions form an orthonormal basis for band-limited signals (integrals going from 1 to 1). Orthogonal Projections Suppose we consider a subset {gnk(t)} of the orthonormal basis {gn(t)}. Let 6 denote the subspace generated by {gnk(t)} (an accurate statement would be that 6 is the ‘‘closure of the span of {gnk(t)}’’; P see Section 6.7). Consider the linear combination y(t) ¼ k ank gnk(t) where the ank are evaluated as above, i.e., ank ¼ hx(t), gnk(t)i for some signal x(t). Then, y(t) 2 6, and it can be shown that among all functions in 6, y(t) is the unique signal closest to x(t) (i.e, kx(t)  y(t)k2 is the smallest). We say that y(t) is the orthogonal projection of x(t) onto the subspace 6, and write y(t) ¼ P6 [x(t)]

(6:13)

6.2.3 Wavelet Transforms If a signal x(t) is in L2, then its FT X(v) exists in the L2 sense (Section 6.6). We will see in Section 6.6 that the discussion which resulted in Equation 6.8 is applicable for any signal x(t) in L2. Equation 6.8 means that the signal can be expressed as a linear combination of the form x(t) ¼

1 1 X X k¼1

ckn 2k=2 c(2k t  n) |fflfflfflfflfflfflfflfflfflffl{zfflfflfflfflfflfflfflfflfflffl} n¼1

(6:14)

ckn (t)

where c(t) is the impulse response (Figure 6.11) of the bandpass function c(v) in Figure 6.9.* Because the frequency responses for two different values of k do not overlap, the functions ckn(t) and cmi(t) are orthogonal for k 6¼ m (use Parseval’s relation). For a given k, the functions ckn(t) are shifted versions of the impulse responses of the bandpass filter Fk(v). From the ideal nature of this bandpass filter, we can show that ckn(t) and ckm(t) are also orthonormal for n 6¼ m. Thus, the set of functions {ckn(t)}, with k and n ranging over all integers, forms an orthonormal basis for the class of L2 functions, i.e., any L2 function can be expressed as in Equation 6.14 and furthermore, hckn (t), cmi (t)i ¼ d(k  m)d(n  i)

(6:15)

Because of this orthonormality, the coefficients ckn are computed very easily as 1 ð

ckn ¼ hx(t), ckn (t)i ¼

x(t)2k=2 c*(2k t  n)dt 1

* The above equality and the convergence of the summation should be interpreted in the L2 sense; see Section 6.6.

(6:16)

Wavelet Transforms

6-11

Defining h(t) ¼ c*(t)

(6:17)

this takes the form 1 ð

* (t)i ¼ ckn ¼ hx(t), hkn

x(t)2k=2 h(n  2k t)dt

(6:18)

1

resembling a convolution. Wavelet Transform Definitions A set of basis functions ckn(t) derived from a single function c(t) by dilations and shifts of the form ckn (t) ¼ 2k=2 c(2k t  n)

(6:19)

is said to be a wavelet basis, and c(t) is called the wavelet function. The coefficients ckn are the wavelet transform coefficients. The formula (Equation 6.16) that performs the transformation from x(t) to ckn is the wavelet transform of the signal x(t). Equation 6.14 is the wavelet representation or the inverse wavelet transform. While this is only a special case of more general wavelet decompositions outlined at the end of this section, it is perhaps the most popular and useful. Note that the kth dilated version c(2k t) has the shifted versions c(2k t  n) ¼ c(2k(t  2kn)), so the amount of shift is in integer multiples of 2k. Thus, the stretched versions are shifted by larger amounts and squeezed versions by smaller amounts. Even though we developed these ideas based on an example, the above definitions still hold generally for any orthonormal wavelet basis. For the ideal bandpass wavelet, the function c(t) is real and symmetric (Equation 6.10) so that h(t) ¼ c(t). For more general orthonormal wavelets, we have the relation h(t) ¼ c* (t). We say that h(t) is the analyzing wavelet (because of Equation 6.18) and c(t) the synthesis wavelet (because of Equation 6.14). For the nonorthonormal case we still have the transform and inverse transform equations as above, but the relation between c(t) and h(t) is not as simple as h(t) ¼ c* (t). Before exploring the properties and usefulness of wavelets let us turn to a distinctly different example. This shows that unlike the Fourier basis functions {e j2pnt}, the wavelet basis functions can be designed by the user. This makes them more flexible, interesting, and useful.

6.2.4 Haar Wavelet Basis An orthonormal basis for L2 functions was found by Haar [5] as early as 1910, which satisfies the definition of a wavelet basis given above. That is, the basis functions ckn(t) are derived from a single function c(t) using dilations and shifts as in Equation 6.19. To explain this system, first, consider a signal x(t) 2 L2[0, 1]. The Haar basis is built from two functions called f(t) and c(t), as described in Figure 6.12. The basis function f(t) is a constant in [0, 1]. The basis function c(t) is constant on each half interval, and its integral is zero. After this, the remaining basis functions are obtained from c(t) by dilations and shifts as indicated. It is clear from the figure that any two of these functions are mutually orthogonal. We have an orthonormal set, and it can be shown that this set of functions is an orthonormal basis for L2[0, 1]. However, this is not exactly a wavelet basis yet because of the presence of f(t).* If we eliminate the requirement that x(t) be supported or defined only on [0, 1] and consider L2(R) functions then we can still obtain an orthonormal basis of the above form by including the shifted versions {c(2k t  n)} for all integer values of n, as well as the shifted versions {f(t  n)}. An alternative to the use of {f(t  n)} would be to use stretched (i.e., c(2k t), k < 0) as well as squeezed (i.e., c(2k t), k > 0) versions of c(t). The set of functions can thus be written as in Equation 6.19, which * We see in Section 6.10 that the function f(t) arises naturally in the context of the fundamental idea of multiresolution.

Fundamentals of Circuits and Filters

6-12

2ψ(4t)

φ(t) 1

2 t

0

ψ20(t)

t

0.25

1

2ψ(4t–1)

ψ(t) 1

2

ψ00(t) 0.5

1

t

ψ21(t)

t

0.5

0

0.25

2ψ(4t–2)

√2 ψ(2t) 2

√2 ψ10(t)

t

0.5

ψ22(t)

t

0.75 0.5

2ψ(4t–3)

√2 ψ(2t–1) 2

√2 ψ11(t) 1

t

ψ23(t) 1.0

0.5

FIGURE 6.12

t

0.75

Examples of basis functions in the Haar basis for L2[0, 1].

has the form of a wavelet basis. It can be shown that this forms an orthonormal basis for L2(R). The FT of the Haar wavelet c(t) is given by C(v) ¼ jejv=2

sin2 (v=4) v=4

(Haar wavelet)

(6:20)

The Haar wavelet has limited duration in time, whereas the ideal bandpass wavelet (Equation 6.10), being band limited, has infinite duration in time.

6.2.5 Basic Properties of Wavelet Transforms Based on the definitions and examples provided so far, we can already draw some very interesting conclusions about wavelet transforms, and obtain a preliminary comparison to the FT. 1. Concept of scale: The functions ckn(t) are useful to represent increasingly finer ‘‘variations’’ in the signal x(t) at various levels. For large k, the function ckn(t) looks like a ‘‘high frequency signal.’’ This is especially clear from the plots of the Haar basis functions. (For the bandpass wavelets, see below.) Because these basis functions are not sinusoids, we do not use the term ‘‘frequency’’ but rather the term ‘‘scale.’’ We say that the component ckn(t) represents a finer scale for larger k.

Wavelet Transforms

6-13

Accordingly k (sometimes 1=k) is called the scale variable. Thus, the function x(t) has been represented as a linear combination of component functions that represent variations at different scales. For instance, consider the Haar basis. If the signal expansion (Equation 6.14) has a relatively large value of c4,2 this means that the component at scale k ¼ 4 has large energy in the interval [2=24, 3=24] (Figure 6.14). 2. Localized basis: The preceding comment shows that if a signal has energy at a particular scale concentrated in a slot in the time domain, then the corresponding ckn has large value, i.e., ckn(t) contributes more to x(t). The wavelet basis, therefore, provides localization information in time domain as well as in the scale domain. For example, if the signal is zero everywhere except in the interval [2=24, 3=24] then the subset of the Haar basis functions which do not have their support in this interval are simply absent in this expansion. Note that the Haar wavelet has compact support, that is, the function c(t) is zero everywhere outside a closed bounded interval ([0, 1] here). While the above discussions are motivated by the Haar basis, many of them are typically true, with some obvious modifications, for more general wavelets. Consider, for example, the ideal bandpass wavelet (Figure 6.11) obtained from the bandpass filter C(v) in Figure 6.9. In this case the basis functions do not have compact support, but are still locally concentrated around t ¼ 0. Moreover, the basis functions for large k represent ‘‘fine’’ information, or the frequency component around the center frequency of the filter Fk(v) (Figure 6.10). The Haar wavelet and the ideal bandpass wavelet are two extreme examples (one is time limited and the other band-limited). Many intermediate examples can be constructed.

6.2.6 Filter Bank Interpretation and Time–Frequency Representation We know that the wavelet coefficients ckn for the ideal bandpass wavelet can be viewed as the sampled version of the output of a bandpass filter (Figure 6.10a). The same is true for any kind of WT. For this recall, the Equation 6.18 for the wavelet coefficients. This can be interpreted as the set of sampled output sequences of a bank of filters Hk(v), with impulse response hk(t) ¼ 2k=2 ho(2kt), where ho(t) ¼ h(t). Thus the wavelet transform can be interpreted as a nonuniform continuous time analysis filter bank, followed by samplers. The Haar basis and ideal bandpass wavelet basis are two examples of the choice of these bandpass filters. The wavelet coefficients ckn for a given scale k are therefore obtained by sampling the output yk(t) of the bandpass filter Hk(v), as indicated in Figure 6.13a. The first subscript k (the scale variable) represents the filter number. As k increases by 1, the center frequency vk increases by a factor of 2. The wavelet coefficients ckn at scale k are merely the samples yk(2kn). As k increases, the filter bandwidth increases, and thus the samples are spaced by a proportionately finer amount 2k. The quantity ckn ¼ yk(2kn) measures the ‘‘amount’’ of the ‘‘frequency component’’ around the center frequency vk of the analysis filter Hk(v), localized in time around 2kn. In wavelet transformation, the transform domain is represented by the two integer variables k and n. This means that the transform domain is two dimensional (the time–frequency domain), and is discretized. We say that ckn is a time–frequency representation of x(t). Section 6.3 explains that this is an improvement over another time–frequency representation, the STFT, introduced many years ago in the signal-processing literature. Synthesis Filter Bank and Reconstruction The inner sum in Equation 6.14 can be interpreted as follows: For each k, convert the sequence ckn into an impulse train* Sncknda(t  2kn) and pass it through a bandpass filter Fk(v) ¼ 2k=2C(2kv) with impulse response fk(t) ¼ 2k=2C(2kt). The outer sum merely adds the outputs of all these filters. Figure 6.13a shows this interpretation. Therefore, the reconstruction of the signal x(t) from the wavelet * da(t) is the Dirac delta function [2]. It is used here only as a schematic. The true meaning is that the output of fk(t) is Sncknfk(t  2kn).

Fundamentals of Circuits and Filters

6-14

C–1,n

y–1(t) h–1(t) =

Sampler Ts = 2

1 η(t/2) √2

C0,n

y0(t)

x(t)

Sampler Ts = 1

h0(t) = η(t)

f0(t) = Ψ(t)

x(t)

C1,n

y1(t) Sampler Ts = 0.5

h1(t) = √2 η(2t)

1 Ψ(t/2) √2

f–1(t) =

f1(t) = √2 Ψ(2t)

Wavelet coefficients

(a)

Analysis bank

Synthesis bank

η(t) = analyzing wavelet

Ψ(t) = synthesizing wavelet

|F–1(ω)| |F0(ω)| = |Ψ(ω)|

√2

1

0

1

|F–1(ω)|

|F2(ω)|

√2

ω π





(b)

FIGURE 6.13 (a) Representing the dyadic WT as an analysis bank followed by samplers, and the inverse transform as a synthesis bank. For the orthonormal case, c(t) ¼ h*(t), and fk(t) ¼ hk*(t). (b) Filter responses for the example in which c(t) is the ideal bandpass wavelet.

coefficients ckn is equivalent to the implementation of a nonuniform continuous time synthesis filter D bank, with synthesis filters fk(t) ¼ 2k=2 f0(2kt) generated by dilations of a single filter f0(t) ¼ c(t). As mentioned earlier, the analyzing wavelet h(t) and the synthesis wavelet c(t) are related by h(t) ¼ c*(t) in the orthonormal case. Thus, the analysis and synthesis filters are related as hk(t) ¼ fk*(t); i.e., Hk (v) ¼ Fk*(v). For the special case of the ideal bandpass wavelet (Equation 6.10), c(t) is real and symmetric so that fk(t) ¼ fk*(t); i.e., hk(t) ¼ fk(t). Figure 6.13 summarizes the relations described in the preceding paragraphs. Design of Wavelet Functions Because all the filters in the analysis and synthesis banks are derived from the wavelet function c(t), the quality of the frequency responses depends directly on C(v). In the time domain the Haar basis has poor smoothness (it is not even continuous), but it is well localized (compactly supported). Its FT c(v), given in Equation 6.20, decays only as 1=v for large v. The ideal bandpass wavelet, on the other hand, is poorly localized in time, but has very smooth behavior. In fact, because it is band limited, c(t) is infinitely differentiable, but it decays only as 1=t for large t. Thus, the Haar wavelet and the ideal bandpass wavelet represent two opposite extremes of the possible choices. We could carefully design the wavelet c(t) such that it is reasonably well localized in time domain, while at the same time sufficiently smooth or ‘‘regular.’’ The term regularity is often used to quantify the degree of smoothness. For example, the number of times we can differentiate the wavelet c(t) and the degree of continuity (so-called Hölder index) of the last derivative are taken as measures of regularity. We return to this in Sections 6.11 through 6.13, where we also present systematic procedures for design of the function c(t). This can be designed in such a way that {2k=2c(2k t  n)} forms an orthonormal basis with

Wavelet Transforms

6-15

prescribed decay and regularity properties. It is also possible to design c(t) such that we obtain other kinds of structures rather than an orthonormal basis, e.g., a Riesz basis or a frame (Sections 6.7 and 6.8).

6.2.7 Wavelet Basis and Fourier Basis Returning to the Fourier basis gk(t) ¼ {e j2pkt} for functions supported on [0, 1], we see that gk(t) ¼ g1(kt), so that all the functions are dilated versions (dilations being integers rather than powers of integers) of g1(t). However, these do not have the localization property of wavelets. To understand this, note that e j2pkt has unit magnitude everywhere, and sines and cosines are nonzero almost everywhere. Thus, if we have a function x(t) that is identically zero in a certain time slot (e.g., Figure 6.14), then in order for the infinite series Snane j2pnt to represent x(t), extreme cancellation of terms must occur in that time slot. In contrast, if a compactly supported wavelet basis is used, it provides localization information as well as information about ‘‘frequency contents’’ in the form of scales. The ‘‘transform domain’’ in traditional FT is represented by a single continuous variable v. In the wavelet transform, where the transform coefficients are ckn, the transform domain is represented by two integers k and n. It is also clear that WT provides a great deal of flexibility because we can choose c(t). With FTs, on the other hand, the basis functions (sines and cosines) are pretty much fixed (see, however, Section 6.3 on STFT).

6.2.8 Most General Form of Wavelet Transformation The most general form of wavelet transform is given by 1 X(a, b) pffiffiffiffiffi jaj

1 ð

1

  tb x(t)c dt a

(6:21)

where a and b are real. This is called the continuous wavelet transform (CWT) because a and b are continuous variables. The transform domain is a two-dimensional domain (a, b). The restricted version of this, in which a and b take a discrete set of values a ¼ ck and b ¼ ckn (where k and n vary over the set of all integers), is called the discrete wavelet transform (DWT). The further special case, in which c ¼ 2, i.e., a ¼ 2k and b ¼ 2kn, is the WT discussed so far (Equation 6.16) and is called the dyadic DWT. Expansions of the form given in Equation 6.14 are also called wavelet series expansions by analogy with the FS expansion (a summation rather than an integral).

Ψ4.2(t) 3 2

16

t

16 x(t)

3 0

2

16

t 1

16

FIGURE 6.14 Example of an L2[0, 1] signal x(t) for which the Haar component c4,2(t) dominates.

Fundamentals of Circuits and Filters

6-16

For fixed a, Equation 6.21 is a convolution. Thus, if we apply the input signal x(t) to a filter with pffiffiffiffiffi impulse response c(t=a)= jaj , its output, evaluated at time b, will be X(a, b). The filter has frequency pffiffiffiffiffi response jajc(av). If we imagine that c(v) has a good bandpass response with center frequency v0, then the above filter is bandpass with center frequency a1v0; i.e., the wavelet transform X(a, b), which is the output of the filter at time b, represents the ‘‘frequency content’’ of x(t) around the frequency a1v0 ‘‘around’’ time b. Ignoring the minus sign (because c(t) and x(t) are typically real anyway), we see that the variable a1 is analogous to frequency. In wavelet literature, the quantity jaj is usually referred to as the scale rather than inverse frequency. For reasons that cannotÐbe explained with our limited exposure thus far, the wavelet function c(t) is restricted to be such that c(t)dt ¼ 0. For the moment, notice that is equivalent to C(0) ¼ 0, which is consistent with the bandpass property of c(t). In Section 6.10, where we generate wavelets systematically using multiresolution analysis, we see that this condition follows naturally from theoretical considerations.

6.3 Short-Time Fourier Transform In many applications, we must accommodate the notion of frequency that evolves or changes with time. For example, audio signals are often regarded as signals with a time-varying spectrum, e.g., a sequence of short-lived pitch frequencies. This idea cannot be expressed with the traditional FT because X(v) for each v depends on x(t) for all t. The STFT was introduced as early as 1946 by Gabor [5] to provide such a time–frequency picture of the signal. Here, the signal x(t) is multiplied with a window v(t  t) centered or localized around time t (Figure 6.15) and the FT of x(t) v(t  t) computed as 1 ð

x(t)v(t  t)ejvt dt

X(v, t) ¼

(6:22)

1

This is then repeated for shifted locations of the window, i.e., for various values of t. That is, we compute not just one FT, but infinitely many. The result is a function of both time t and frequency v. If this must be practical we must make two changes: compute the STFT only for discrete values of v, and use only a discrete a number of window positions t. In the traditional STFT both v and t are discretized on uniform grids: t ¼ nTs

v ¼ kvs ,

(6:23)

The STFT is thus defined as 1 ð

XSTFT (kvs , nTs ) ¼

x(t)v(t  nTs )ejkvs t dt,

(6:24)

1

x(t)

t

Shifted version

v(t –τ)

t τ

FIGURE 6.15

Signal x(t) and the sliding window v(t  t).

Wavelet Transforms

6-17

which we abbreviate as XSTFT (k, n) when there is no confusion. Thus the time domain is mapped into the time–frequency domain. The quantity XSTFT(kvs, nTs) represents the FT of x(t) around time nTs and around frequency kvs. This, in essence, is similar to the WT: in both cases the transform domain is a twodimensional discrete domain. We compare wavelets and STFT on several grounds, giving a filter bank view and comparing time– frequency resolution and localization properties. Section 6.9 provides a comparison on deeper grounds: for example, when can we reconstruct a signal x(t) from the STFT coefficients XSTFT (k, n)? Can we construct an orthonormal basis for L2 signals based on the STFT? The advantage of WTs over the STFT will be clear after these discussions.

6.3.1 Filter Bank Interpretation The STFT evaluated for some frequency vk can be rewritten as XSTFT (vk , t) ¼ e

1 ð

jvk t

x(t)v(t  t)ejvk (tt) dt

(6:25)

1

This integral looks like a convolution of x(t) with the filter impulse response D

hk (t) ¼ v(t)e jvk t

(6:26)

If v(t) has a FT looking like a low-pass filter then hk(t) looks like a bandpass filter with center frequency vk (Figure 6.16). Thus, XSTFT (vk, t) is the output of this bandpass filter at time t, downshifted in frequency by vk. The result is a low-pass signal yk(t) whose output is sampled uniformly at time t ¼ nTs. For every frequency vk so analyzed, one such filter channel exists. With the frequencies uniformly located at vk ¼ kvs, we get the analysis filter bank followed by downshifters and samplers as shown in Figure 6.17. The STFT coefficients XSTFT(kvs, nTs), therefore, can be regarded as the uniformly spaced samples of the outputs of a bank of bandpass filters Hk(v), all derived from one filter h0(t) by modulation:

FT of v(–t)

Hk(ω)

ω 0

ωk X(ω) X(ω)Hk(ω)

ω 0

ωk

Downshifted X(ω)Hk(ω) 0

FIGURE 6.16 STFT viewed as a bandpass filter followed by a downshifter.

ω

Fundamentals of Circuits and Filters

6-18

y–1(t)

H–1(ω) e jωst

x(t)

y0(t)

H0(ω) 1

y1(t)

H1(ω)

sampler Ts

t

sampler Ts

t

sampler Ts

t

e–jωst STFT coefficients H0(ω) H (ω) 1

H–1(ω)

–ωs

FIGURE 6.17

0

ω ωs 2ωs

STFT viewed as an analysis bank of uniformly shifted filters.

hk(t) ¼ e jkvst h0(t); i.e., Hk(v) ¼ H0(v  kvs). (The filters are one sided in frequency so they have complex coefficients in the time domain, but ignore these details for now.) The output of Hk(v) represents a portion of the FT X(v) around the frequency kvs. The downshifted version yk(t) is therefore a low-pass signal. In other words, it is a slowly varying signal, whose evolution as a function of t represents the evolution of FT X(v) around frequency kvs. By sampling this slowly varying signal, we can therefore compress the transform domain information. If the window is narrow in the time domain, then Hk(v) has large bandwidth. That is, a good time resolution and poor frequency resolution are obtained. If the window is wide, the opposite is true. Thus, if we try to capture the local information in time by making a narrow window, we get a fuzzy picture in frequency. Conversely, in the limit, as the filter becomes extremely localized in frequency, the window is very broad and STFT approaches the ordinary FT. That is, the time–frequency information collapses to the all-frequency information of ordinary FT. We see that time–frequency representation is inherently a compromise between time and frequency resolutions (or localizations). This is related to the uncertainty principle: as windows get narrow in time they have to get broad in frequency, and vice versa. Optimal Time–Frequency Resolution: The Gabor Window What is the best frequency resolution one can obtain for a given time resolution? For a given duration of the window v(t) how small can the duration of V(v) be? If we define duration according to common sense, we are already in trouble because if v(t) has finite duration then V(v) has infinite duration. A more useful definition of duration is called the root mean square (RMS) duration. The RMS time duration Dt and the RMS frequency duration Df for the window v(t) are defined such that Ð

D2t

t 2 jv(t)j2 dt , ¼ Ð jv(t)j2 dt

Ð

D2f

v2 jV(v)j2 dv ¼ Ð jV(v)j2 dv

(6:27)

Intuitively, Dt cannot be arbitrarily small for a specified Df. The uncertainty principle says that 2 DtDf  0.5. Equality holds iff v(t) has the shape of a Gaussian, i.e., v(t) ¼ Aeat , a > 0. Thus, best joint time–frequency resolution is obtained by using the Gaussian window. This is also intuitively acceptable for the reason that the Gaussian is its own FT (except for scaling of variables and so forth). Gabor used the Gaussian window as early as 1946. Because it is of infinite duration, a truncated approximation is used in practice. The STFT based on the Gaussian is called the Gabor transform. A limitation of the

Wavelet Transforms

6-19

Gabor transform is that it does not give rise to an orthonormal signal representation; in fact, it cannot even provide a ‘‘stable basis.’’ (Sections 6.7 and 6.9 explain the meaning of this.)

6.3.2 Wavelet Transform versus Short-Time Fourier Transform The STFT works with a fixed window v(t). If a high-frequency signal is being analyzed, many cycles are captured by the window, and a good estimate of the FT is obtained. If a signal varies very slowly with respect to the window, however, then the window is not long enough to capture it fully. From a filter bank viewpoint, notice that all the filters have identical bandwidths (Figure 6.17). This means that the frequency resolution is uniform at all frequencies, i.e., the ‘‘percentage resolution’’ or accuracy is poor for low frequencies and becomes increasingly better at high frequencies. The STFT, therefore, does not provide uniform percentage accuracy for all frequencies; the computational resources are somehow poorly distributed. Compare this with the WT, which is represented by a nonuniform filter bank (Figure 6.8b). Here, the frequency resolution gets poorer as the frequency increases, but the fractional resolution (i.e., the filter bandwidth Dvk divided by the center frequency vk) is constant for all k (the percentage accuracy is uniformly distributed in frequency). In the time domain this is roughly analogous to having a large library of windows; narrow windows are used to analyze high-frequency components and very broad windows are used to analyze low-frequency components. In electrical engineering language the filter bank representing WT is a constant Q filter bank, or an octave band filter bank. Consider, for example, the Haar wavelet basis. Here, the narrow basis functions c2,n(t) of Figure 6.12 are useful to represent the highly varying components of the input, and are correspondingly narrower (have shorter support than the functions c1,n(t)). A second difference between the STFT and the WTs is the sampling rates at the outputs of the bandpass filters. These are identical for the STFT filters (since all filters have the same bandwidth). For the wavelet filters, these are proportional to the filter bandwidths, hence nonuniform (Figure 6.10a). This is roughly analogous to the situation that the narrower windows move in smaller steps compared to the wider windows. Compare again with Figure 6.12 where c2,n(t) are moved in smaller steps as compared to c1,n(t) in the process of constructing the complete set of basis functions. The nonuniform (constant Q) filter stacking (Figure 6.8b) provided by wavelet filters is also naturally suited for analyzing audio signals and sometimes even as components in the modeling of the human hearing system. Time–Frequency Tiling The fact that the STFT performs uniform sampling of time and frequency whereas the WT performs nonuniform sampling is represented by the diagram shown in Figure 6.18. Here, the vertical lines represent time locations at which the analysis filter bank output is sampled, and the horizontal lines represent the center frequencies of the bandpass filters. The time–frequency tiling for the STFT is a simple rectangular grid, whereas for the WT it has a more complicated appearance.

Example 6.1 Consider the signal x(t) ¼ cos(10pt) þ 0.5 cos(5pt) þ 0.5 cos(5pt) þ 1.2da(t  0.07) þ 1.2da(t þ 0.07). It has impulses at t ¼  0.07 in the time domain. Two impulses (or lines) are found in the frequency domain, at v1 ¼ 5p and v2 ¼ 10p. The function is illustrated in Figure 6.19 with impulses replaced by narrow pulses. The aim is to try to compute the STFT or WT such that the impulses in time as well as those in frequency are resolved. Figure 6.20a through c shows the STFT plot for three widths of the window v(t) and Figure 6.20d shows the wavelet plot. The details of the window v(t) and the wavelet c(t) used for this example are described next, but first let us concentrate on the features of these plots.

The STFT plots are time–frequency plots, whereas the wavelet plots are (a 1, b) plots, where a and b are defined by Equation 6.21. As explained in Section 6.2, the quantity a1 is analogous to frequency in

Fundamentals of Circuits and Filters

6-20

6ωs 5ωs 4ωs

8ωs

3ωs 2ωs

4ωs

ωs –3Ts –2Ts –Ts

0

Ts

2Ts

–2Ts

3Ts

0

Ts/2

Ts

(b)

(a)

FIGURE 6.18

–Ts –Ts/2

2ωs ωs 2Ts

Time–frequency tiling schemes for (a) the STFT and (b) the WT.

x(t)

t –0.4

FIGURE 6.19

0.2

0.4

Signal to be analyzed by STFT and WT.

the STFT, and b is analogous to time in the STFT. The brightness of the plots in Figure 6.20 is proportional to the magnitude of the STFT or WT, so the transform is close to zero in the dark regions. We see that for a narrow window with width equal to 0.1, the STFT resolves the two impulses in time reasonably well, but the impulses in frequency are not resolved. For a wide window with width equal to 1.0, the STFT resolves the lines in frequency very well, but not the time domain impulses. For an intermediate window width equal to 0.3, the resolution is poor in both time and frequency. The wavelet transform plot (Figure 6.20d), on the other hand, simultaneously resolves both time and frequency very well. We can clearly see the locations of the two impulses in time, as well as the two lines in frequency. The STFT for this example was computed using the Hamming window [2] defined as v(t) ¼ c[0.54 þ 0.46 cos(pt=D)] for  D  t  D and zero outside. The widths indicated in the figure correspond to D ¼ 0.1, 1.0, and 0.3 (although the two-sided width is twice this). The wavelet transform was computed by using an example of the Morlet wavelet [5]. Specifically, c(t) ¼ et

2

=16

(e jpt  a)

Wavelet Transforms

6-21

100

100 STFT 0.1

90

80

80

70

70

60

60

50

50

40

40

ω/π

ω/π

90

30

20

10

10

(a)

0 0.5 Time

1

1.5

0 –2 –1.5 –1 –0.5

2 (b)

STFT 0.3

90

80

80

70

70

60

60

50

50

40

40

a–1

ω/π

90

0 0.5 Time

1

1.5

2

1

1.5

2

100

100

30

30

20

20

10

10

0 –2 –1.5 –1 –0.5 (c)

30

20 0 –2 –1.5 –1 –0.5

STFT 1.0

0 0.5 Time

1

1.5

Wavelet transform

0 –2 –1.5 –1 –0.5

2 (d)

0 b

0.5

FIGURE 6.20 (a)–(c) STFT plots with window widths of 0.1, 1.0, and 0.3, respectively, and (d) WT plot.

|Ψ(ω)|

ω 0

π

FIGURE 6.21 FT magnitude for the Morlet wavelet.

First, let us understand what this wavelet function is doing. The quantity et =16 is the Gaussian (except pffiffiffiffi 2 for a constant scalar factor) with Fourier transform 4 p, e4v which is again Gaussian, concentrated 2 near v ¼ 0. Thus, et =16 e jpt has an FT concentrated around v ¼ p. Ignoring the second term a in the expression for c(t), we see that the wavelet is a narrowband bandpass filter concentrated around p (Figure 6.21).* If we set a ¼ 1 in Equation 6.21, then X(1, b) represents the frequency contents around p. Thus, the frequencies v1 ¼ 5p and v2 ¼ 10p in the given signal x(t) show up around points a 1 ¼ 5 and a1 ¼ 10 in the WT plot, as seen from Figure 6.20d. In the STFT plots, we have shown the frequency axis 2

Ð * The quantity a in the expression of c(t) ensures that c(t) dt ¼ 0 (Section 6.2). Because a is very small, it does not significantly affect the plots in Figure 6.20.

Fundamentals of Circuits and Filters

6-22

as v=p so that the frequencies v1 and v2 show up at 5 and 10, making it easy to compare the STFT plots with the wavelet plot. Mathematical Issues to Be Addressed While the filter bank viewpoint places wavelets and STFT on unified ground, several mathematical issues remain unaddressed. It is this deeper study that brings forth further subtle differences, giving wavelets a definite advantage over the STFT. Suppose we begin from a signal x(t) 2 L2 and compute the STFT coefficients X(kvs, nTs). How should we choose the sampling periods Ts and vs of the time and frequency grids so that we can reconstruct x(t) from the STFT coefficients? (Remember that we are not talking about band-limited signals, and no sampling theorem is at work.) If the filters Hk(v)are ideal one-sided bandpass filters with bandwidth vs, the downshifted low-pass outputs yk(t) (Figure 6.16) can be sampled separately at the Nyquist rate vs or higher. This then tells us that Ts  2p=vs, that is, vs Ts  2p

(6:28)

However, the use of ideal filters implies an impractical window v(n). If we use a practical window (e.g., one of finite duration), how should we choose Ts in relation to vs so that we can reconstruct x(t) from the STFT coefficients X(kvs, nTs)? Is this a stable reconstruction? That is, if we make a small error in some STFT coefficient does it affect the reconstructed signal in an unbounded manner? Finally, does the STFT provide an orthonormal basis for L2? These questions are deep and interesting, and require more careful treatment. We return to these in Section 6.9.

6.4 Digital Filter Banks and Subband Coders Figure 6.22a shows a two-channel filter bank with input sequence x(n) (a discrete-time signal). Ga(z) and Ha(z) are two digital filters, typically low-pass and high-pass. x(n) is split into two subband signals, x0(n) and x1(n), which are then downsampled or decimated (see the following paragraphs for definitions). The total subband data rate, counting both subbands, is equal to the number of samples per unit time in the original signal x(n). Digital filter banks provide a time–frequency representation for discrete time signals, similar to the STFT and WT for continuous time signals. The most common engineering application of the digital filter bank is in subband coding, which is used in audio, image, and video compression. Neither subband coding nor such a time–frequency representation is the main point of our discussion here. We are motivated by the fact that a deep mathematical connection exists between this digital filter

x(n)

Ga(z) Ha(z)

(a)

x0(n) x1(n)

2

2

Subband signals

y0(n)

2

Gs(z)

2

Hs(z)

Expanders

Synthesis filters

y1(n)

Analysis filters Decimators |Ha(e jω)|



|Ga(e )|

xˆ (n) Reconstructed signal

|X(e jω)| Typical input spectrum ω

(b)

FIGURE 6.22

0

π/2

π

ω (c)

0

π

(a) The two-channel digital filter bank, (b) typical filter responses, and (c) typical input spectrum.

Wavelet Transforms

6-23

bank and the continuous time WT. This fundamental relation, discovered by Daubechies [6], is fully elaborated in Sections 6.10 through 6.13. This relation is what makes the WT so easy to design and attractive to implement in practice. Several detailed references on the topic of multirate systems and digital filter banks are available [7], and a detailed treatment can be found in Chapter 24 of Passive, Active, and Digital Filters, so we will be brief. The Multirate Signal Processing Building Blocks: The building blocks in the digital filter bank of Figure 6.22a are digital filters, decimators, and expanders. The M-fold decimator or downsampler (denoted # M) is defined by the input–output relation y(n) ¼ x(Mn). The corresponding z-domain P 1=M j2pk=M e ). This relation is sometimes abbreviated by the notation relation is Y(z) ¼ (1=M) M1 k¼0 X(z jv jv Y(z) ¼ X(z)j#M or Y(e ) ¼ X(e )j#M. The M-fold expander or upsampler (denoted " M) is defined by  y(n) ¼

x(n=M), 0,

n ¼ multiple of M, otherwise

(6:29)

The transform domain relation for the expander is Y(z) ¼ X(zM), i.e., Y(e jv) ¼ X(e jMv).

6.4.1 Reconstruction from Subbands In many applications, it is desirable to reconstruct x(n) from the decimated subband signals yk(n) (possibly after quantization). For this, we pass yk(n) through expanders and combine them with the synthesis filters Gs(z) and Hs(z). The system is said to have the perfect reconstruction (PR) property if ^x(n) ¼ cx(n  n0) for some c 6¼ 0 and some integer n0. The PR property is not satisfied for several reasons. First, subband quantization and bit allocation are present, which are the keys to data compression using subband techniques. However, because our interest here lies in the connection between filter banks and wavelets, we will not be concerned with subband quantization. Second, because the filters Ga(z) and Ha(z) are not ideal, aliasing occurs due to decimation. Using the above equations for the decimator and ^ ¼ expander building blocks, we can obtain the following expression for the reconstructed signal: X(z) T(z)X(z) þ A(z)X(z), where T(z) ¼ 0.5[Ga(z)Gs(z) þ Ha(z)Hs(z)] and A(z) ¼ 0.5[Ga(z)Gs(z) þ Ha(z) Hs(z)]. The second term having X(z) is the aliasing term due to decimation. It can be eliminated if the filters satisfy Ga (z)Gs (z) þ Ha (z)Hs (z) ¼ 0

(alias cancellation)

(6:30)

We can then obtain PR [^x(z) ¼ 0.5X(z)] by setting Ga (z)Gs (z) þ Ha (z)Hs (z) ¼ 1

(6:31)

A number of authors have developed techniques to satisfy the PR conditions. In this chapter we are interested in a particular technique to satisfy Equations 6.30 and 6.31, the conjugate quadrature filter (CQF) method, which was independently reported by Smith and Barnwell in 1984 [18] and by Mintzer in 1985 [19]. Vaidyanathan [20] showed that these constructions are examples of a general class of M channel filter banks satisfying a property called orthonormality or paraunitariness. More references can be found in Ref. [7]. The two-channel CQF solution was later rediscovered in the totally different contexts of multiresolution analysis [11] and compactly supported orthonormal wavelet construction [6]. These are discussed in subsequent sections. Conjugate Quadrature Filter Solution Suppose the low-pass filter Ga(z) is chosen such that it satisfies the condition ~ a (z)Ga (z) ¼ 1 ~ a (z)Ga (z) þ G G

for all z

(6:32)

Fundamentals of Circuits and Filters

6-24

If we now choose the high-pass filter Ha(z) and the two synthesis filters as ~ a (z), Gs (z) ¼ G

~ a (z), Ha (z) ¼ z 1 G

~ a (z) Hs (z) ¼ H

(6:33)

then Equations 6.30 and 6.31 are satisfied, and ^x(n) ¼ 0.5x(n). In the time domain the above equations become ha (n) ¼ (1)n ga*(n þ 1),

gs (n) ¼ ga*(n),

hs (n) ¼ ha*(n)

(6:34)

The synthesis filters are time-reversed conjugates of the analysis filters. If we design a filter Ga(z) satisfying the single condition (Equation 6.32) and determine the remaining three filters as described previously, then the system has the PR property. A filter Ga(z) satisfying Equation 6.32 is said to be power symmetric. Readers familiar with half-band filters will notice that the condition (Equation 6.32) says ~ a(z)Ga(z) is half-band. To design a PR CQF system, we first design a low-pass half-band simply that G filter G(z) with G(e jv)  0, and then extract a spectral factor Ga(z). That is, find Ga(z) such that ~ a(z)Ga(z). The other filters can be found from Equation 6.33. G(z) ¼ G

6.4.2 Polyphase Representation The polyphase representation of a filter bank provides a convenient platform for studying theoretical questions and also helps in the design and implementation of PR filter banks. According to this representation the filter bank of Figure 6.22a can always be redrawn as in Figure 6.23a, which in turn can be redrawn as Figure 6.23b using standard multirate identities. Here, E(z) and R(z) are the ‘‘polyphase matrices,’’ determined uniquely by the analysis and synthesis filters, respectively. If we impose the condition R(z)E(z) ¼ I, that is R(z) ¼ E1 (z)

x(n)

2 z–1

(6:35)

xˆ (n)

2

E(z2)

z

R(z2) 2

2

(a)

x(n)

2

xˆ (n)

2 E(z)

z–1

z

R(z) 2

2 (b)

Polyphase matrices

x(n)

2

z

z–1 (c)

xˆ (n)

2

2

2

FIGURE 6.23 (a) The polyphase form of the filter bank, (b) further simplification, and (c) equivalent structure when R(z) ¼ E1(z).

Wavelet Transforms

6-25

the system reduces to Figure 6.23c, which is a perfect reconstruction system with ^x(n) ¼ x(n). Equation 6.35 will be called the PR condition. Notice that insertion of arbitrary scale factors and delays to obtain R(z) ¼ czKE1(z) does not affect the PR property.

6.4.3 Paraunitary Perfect Reconstruction System A transfer matrix* H(z) is said to be paraunitary if H(e jv) is unitary; that is, Hy(e jv)H(e jv) ¼ I (more generally Hy(e jv)H(e jv) ¼ cI, c > 0, for all v). In all practical designs, the filters are rational transfer ~ ~ functions so that the paraunitary condition implies H(z)H(z) ¼ I for all z, where the notation H(z) was y jv ~ explained in Section 6.1. Note that H(z) reduces to transpose conjugation H (e ) on the unit circle. A ~ enjoys the PR property ^x(n) ¼ cx(n), c 6¼ 0. We filter bank in which E(z) is paraunitary and R(z) ¼ E(z) often say that the analysis filter pair {Ga(z), Ha(z)} is paraunitary instead of saying that the corresponding polyphase matrix is paraunitary. The paraunitary property has played a fundamental role in electrical network theory [1,21], and has a rich history (see references in Chapters 6 and 14 of Ref. [7]). Essentially, the scattering matrices of lossless (LC) multiports are paraunitary, i.e., unitary on the imaginary axis of the s-plane. Properties of Paraunitary Filter Banks Define the matrices Ga(z) and Gs(z) as follows: 

 Ga (z) Ga (z) , Ga (z) ¼ Ha (z) Ha (z)



Hs (z) Gs (z) Gs (z) ¼ Gs (z) Hs (z)

 (6:36)

Notice that these two matrices are fully determined by the analysis filters and synthesis filters, respect~ E(z) ¼ 0.5I and R(z) ~ R(z) ¼ 0.5I, it can be shown that ively. If E(z) and R(z) are paraunitary with E(z) ~ a (z)Ga (z) ¼ I, G

~ s (z)Gs (z) ¼ I G

(6:37)

In other words, the matrices Ga(z) and Gs(z) defined previously are paraunitary as well. ~ a(z) ¼ I is also Half-Band Property and Power Symmetry Property: The paraunitary property Ga(z)G ~ a(z) ¼ I, which implies equivalent to Ga(z)G ~ a (z)Ga (z) ¼ 1 ~ a (z)Ga (z) þ G G

(6:38)

In other words, Ga(z) is a power symmetric filter. A transfer function G(z) satisfying G(z) þ G(z) ¼ 1 is called a half-band filter. The impulse response of such G(z) satisfies g(2n) ¼ 0 for all n 6¼ 0 and g(0) ¼ 0.5. ~ a(z)G1(z) is a half-band filter. In terms of We see that the power symmetry property of Ga(z) says that G frequency response the power symmetry property of Ga(z) is equivalent to jGa (e jv )j2 þ jGa (e jv )j2 ¼ 1

(6:39)

Imagine that Ga(z) is a real-coefficient low-pass filter so that jGa(e jv)j2 has symmetry with respect to zero frequency. Then jGa(e jv)j2 is as demonstrated in Figure 6.24, and the power symmetry property means that the two plots in the figure add up to unity. In this figure vp and vs are the bandedges, and d1 and d2 are the peak passband ripples of Ga(e jv) (for definitions of filter specifications see Refs. [2] or [7]). Notice

* Transfer matrices are essentially transfer functions of multi-input multi-output systems. A review is found in Chapter 13 of Ref. [7].

Fundamentals of Circuits and Filters

6-26

1

|Ga(e jω)|2

in particular that power symmetry of Ga(z) implies that a symmetry relation exists between the passband and stopband specifications of Ga(e jv). This is given by vs ¼ p  vp, d22 ¼ 1  (1  2d1)2.

|Ga(–e jω)|2

(1– 2δ1)2

0.5π

δ22 0

ωp

π

ωs

ω

FIGURE 6.24 Magnitude responses jGa(ejv)j2 and jGa(ejv)j2 for a real-coefficient power symmetric filter Ga(z).

Relation between Analysis Filters: The ~ a(z)Ga(z) ¼ I implies a relation property G between Ga(z) and Ha(z), namely Ha(z) ¼ ~ a (z), where u is arbitrary and N is e juzN G an arbitrary odd integer. Let N ¼ 1 and u ¼ 0 for future simplicity. Then ~ a (z) Ha (z) ¼ z 1 G

(6:40)

In particular, we have jHa (e jv)j ¼ jGa(e jv). Combining with the power symmetry property (Equation 6.39), we see that the two analysis filters are power complementary: jGa (e jv )j2 þ jHa (e jv )j2 ¼ 1

(6:41)

for all v. With Ga(z) ¼ Snga(n)zn and Ha(z) ¼ Snha(n)zn we can rewrite Equation 6.40 as ha (n) ¼ (1)n ga*(n þ 1)

(6:42)

~ in the definitions of Relation between Analysis and Synthesis Filters: If we use the condition R(z) ¼ E(z) ~ Gs(z) and Ga(z) we obtain Gs(z) ¼ Ga (z), from which we conclude that the synthesis filters are given by ~ a(z) and Hs(z) ¼ H ~ a(z). We can also rewrite these in the time domain; summarizing all this, Gs(z) ¼ G we have ~ a (z), Gs (z) ¼ G

~ a (z), Hs (z) ¼ H

gs (n) ¼ ga*(n),

hs (n) ¼ ha*(n)

(6:43)

The synthesis filter coefficients are time-reversed conjugates of the analysis filters. Their frequency responses are conjugates of the analysis filter responses. In particular, jGs(e jv)j ¼ jGa(e jv)j and jHs(e jv)j ¼ jHa(e jv)j. In view of the preceding relations, the synthesis filters have all the properties of the analysis filters. For example, Gs(e jv) is power symmetric, and the pair {Gs(e jv), Hs(e jv)} is power ~ s(z), instead of Equation 6.40. complementary. Finally, Hs(z) ¼ zG Relation to Conjugate Quadrature Filter Design: The preceding discussions indicate that in a paraunitary filter bank the filter Ga(z) is power symmetric, and the remaining filters are derived from Ga(z) as in Equations 6.40 and 6.43. This is precisely the CQF solution for PR, stated at the beginning of this section. Summary of Filter Relations in a Paraunitary Filter Bank If the filter bank of Figure 6.22a is paraunitary, then the polyphase matrices E(z) and R(z) (Figure 6.23) ~ E(z) ¼ 0.5I and R(z) ~ R(z) ¼ 0.5I Equivalently, the filter matrices Ga(z) and Gs(z) and satisfy satisfy E(z) ~ ~ Ga(z)Ga(z) ¼ I and Gs(z)Gs(z) ¼ I. A number of properties follow from these: 1. All four filters, Ga(z), Ha(z), Gs(z), and Hs(z) are power symmetric. This property is defined, for example, by the relation given in Equation 6.38. This means that the filters are spectral factors of ~ s(z)Gs(z) is half band. half-band filters; for example, G 2. The two analysis filters are related as in Equation 6.40, so the magnitude responses are related as jHa(e jv)j ¼ jGa(e jv)j. The synthesis filters are time-reversed conjugates of the analysis filters as shown by Equation 6.43. In particular, Gs(e jv) ¼ Ga*(e jv) and Hs(e jv) ¼ Ha*(e jv). 3. The analysis filters form a power complementary pair, i.e., Equation 6.41 holds. The same is true for the synthesis filters.

Wavelet Transforms

6-27

4. Any two-channel paraunitary system satisfies the CQF equations (Equations 6.32 and 6.33) (except for delays, constant scale factors, etc.). Conversely, any CQF design is a paraunitary filter bank. 5. The design procedure for two-channel paraunitary (i.e., CQF) filter banks is as follows: design a zero-phase, low-pass, half-band filter G(z) with G(e jv)  0 and then extract a spectral factor Ga(z). ~ a(z)Ga(z). Then choose the remaining three filters as in That is, find Ga(z) such that G(z) ¼ G Equation 6.33, or equivalently, as in Equation 6.34.

6.4.4 Parametrization of Paraunitary Filter Banks Factorization theorems exist for matrices, which allow the expression of paraunitary matrices as a cascade P of elementary paraunitary blocks. For example, let H(z) ¼ Ln¼0 h(n)zn be a 2 3 2 real causal FIR transfer matrix (thus, h(n) are 2 3 2 matrices with real elements). This is paraunitary iff it can be expressed as H(z) ¼ RN L(z)RN1 . . . R1(z)LzR0H0 where 

cos um Rm ¼ sin um

 sin um , cos um



 1 0 L(z) ¼ , 0 z1



a H0 ¼ 0

0 a

 (6:44)

where a and um are real. For a proof see Ref. [7]. The unitary matrix Rm is called a rotation operator or the Givens rotation. The factorization gives rise to a cascaded lattice structure that guarantees the paraunitary property structurally. This is useful in the design as well as the implementation of filter banks, as explained in Ref. [7]. Thus, if the polyphase matrix is computed using the cascaded structure, Ga(z) is guaranteed to ~ a(z) between the analysis filters automatically be power symmetric, and the relation Ha(z) ¼ z1G holds. Further results on factorizations are described in Chapter 24 of Passive, Active, and Digital Filters.

6.4.5 Maximally Flat Solutions D ~ The half-band filter G(z) ¼ G a(z)Ga(z) can be designed in many ways. One can choose to have equiripple designs or maximally flat designs [2]. An early technique for designing FIR maximally flat filters was proposed by Herrmann in 1971 [7]. This method gives closed form expressions for the filter coefficients and can be adapted easily for the special case of half-band filters. Moreover, the design automatically guarantees the condition G(e jv)  0, which in particular implies zero phase. The family of maximally flat half-band filters designed by Herrmann is demonstrated in Figure 6.25. The transfer function has the form

 G(z) ¼ z

K

1 þ z1 2

2K X K 1

The filter has order 4K  2. On the unit circle we find 2K zeroes, and all of these zeroes are concentrated at the point z ¼ 1 (i.e., at v ¼ p). The remaining 2K  2 zeroes are located in the z-plane such that G(z) has the half-band property described earlier (i.e., G(z) þ G(z) ¼ 1). Section 6.13 explains that if the CQF bank is designed by starting from Herrmann’s maximally flat half-band filter, then it can be used to design continuous time wavelets with arbitrary regularity (i.e., smoothness) properties.

 n

(z)

n¼0

Kþn1 n



1  z 1 2

2n (6:45)

G(e jω) 1

K

2K zeros

0

π

ω

FIGURE 6.25 Maximally flat half-band filter response with 2K zeroes at p.

Fundamentals of Circuits and Filters

6-28

6.4.6 Tree-Structured Filter Banks The idea of splitting a signal x(n) into two subbands can be extended by splitting a subband signal further, as demonstrated in Figure 6.26a. In this example the low-pass subband is split repeatedly. This is called a tree-structured filter bank. Each node of the tree is a two-channel analysis filter bank. The synthesis bank corresponding to Figure 6.26a is illustrated in Figure 6.26b. We combine the signals in pairs in the same manner that we split them. It can be demonstrated that if {Ga(z), Ha(z), Gs(z), Hs(z)} is a PR system (i.e., satisfies ^x(n) ¼ x(n) when connected in the form Figure 6.22a), then the treestructured analysis=synthesis system of Figure 6.26 has PR ^x(n) ¼ x(n). The tree-structured system can be redrawn in the form shown in Figure 6.27. For example, if we have a tree structure similar to Figure 6.26 with three levels, we have M ¼ 4, n0 ¼ 2, n1 ¼ 4, n2 ¼ 8, and n3 ¼ 8. If we assume that the responses of the analysis filters Ga(e jv) and Ha(e jv) are as in Figure 6.28a, the

x(n)

Ga(z)

2

Ha(z)

2

Ga(z)

2

Ha(z)

2

Ga(z)

2

Ha(z)

2

(a) 2

Gs(z)

2

Hs(z)

2

Gs(z)

2

Hs(z)

2

Gs(z)

2

Hs(z)

xˆ (n)

(b)

FIGURE 6.26 x(n)

Tree-structured filter banks: (a) analysis bank and (b) synthesis bank. H0(z)

n0

H1(z)

n1

y0(n)

y1(n)

n0

F0(z)

n0

F1(z)

nM–1

FM–1(z)

yM–1(n) HM–1(z)

FIGURE 6.27

nM–1

General nonuniform digital filter bank.

xˆ (n)

Wavelet Transforms

6-29

Ha

Ga

ω 0

π/2

π

(a)

H3 H2

H0

H1

ω 0

π/4

π/2

π

(b)

FIGURE 6.28 Example of responses: (a) Ga(z) and Ha(z) and (b) tree-structured analysis bank.

responses of the analysis filters Hk(e jv) are as shown in Figure 6.28b. Note that this resembles the wavelet transform (Figure 6.8b). The outputs of different filters are subsampled at different rates exactly as for wavelets. Thus, the tree-structured filter bank bears a close relationship to the WT. Sections 6.10 through 6.13 present the precise mathematical connection between the two, and the relation to multiresolution analysis.

6.4.7 Filter Banks and Basis Functions Assuming PR ^x(n) ¼ x(n), we can express x(n) as x(n) ¼

M 1 X

1 X

k¼0 m¼1

yk (m) fk (n  nk m) |fflfflfflfflfflfflfflffl{zfflfflfflfflfflfflfflffl}

(6:46)

hkm (n)

where yk(n) are the decimated subband signals fk(n) are the impulse response of Fk(z) Thus, the system is analogous to the filter bank systems which represented the continuous time STFT and WT in Sections 6.2 and 6.3. The collection of subband signals yk(m) can be regarded as a time–frequency representation for the sequence x(n). As before, k denotes the frequency index and m the time index in the transform domain. If we have a PR filter bank, we can recover x(n) from this time–frequency representation using Equation 6.46. The doubly indexed family of discrete time sequences {hkm(n)} can be regarded as ‘‘basis functions’’ for the representation of x(n). To make things mathematically accurate, let x(n) 2 l2 (i.e., Snjx(n)j2 is finite). If the two-channel filter bank {Ga(z), Ha(z), Gs(z), Hs(z)}, which makes up the tree structure of Figure 6.26 is paraunitary, it can be shown that hkm(n) is an orthonormal basis for l2. Orthonormality means 1 X n¼1

hk1 m1(n)hk*2 m2(n) ¼ d(k1  k2 )d(m1  m2 )

(6:47)

6-30

Fundamentals of Circuits and Filters

Notice that the basis functions (sequences) are not derived from a single function. Instead, they are derived from a finite number of filters { fk(n)} by time shifts of a specific form. The wavelet basis {2k=2 c (2kt  n)}, on the other hand, is derived from a single wavelet function c(t). We say that {hkm(n)} is a filter bank type of basis for the space of l2 sequences.

6.5 Deeper Study of Wavelets, Filter Banks, and Short-Time Fourier Transforms We already know what the WT is and how it compares with the STFT, at least qualitatively. We are also familiar with time–frequency representations and digital filter banks. It is now time to fill in several important details, and generally be more quantitative. For example, we would like to mention some major technical limitations of the STFT which are not obvious from its definition, and explain that wavelets do not have this limitation. For example, if the STFT is used to obtain an orthonormal basis for L2 signals, the time–frequency RMS durations of the window v(t) should satisfy Dt Df ¼ 1. That is, either the time or the frequency resolution is very poor (Theorem 6.5). Also, if we have an STFT system in which the time–frequency sampling product vsTs is small enough to admit redundancy (i.e., the vectors are not linearly independent as they would be in an orthonormal basis), the previous difficulty can be eliminated (Section 6.9). The Gabor transform, while admittedly a tempting candidate because of the optimal time–frequency resolution property (DtDf minimized), has a disadvantage. For example, if we want to recover the signal x(t) from the STFT coefficients, the reconstruction is unstable in the so-called critically sampled case (Section 6.9). That is, a small error in the STFT coefficients can lead to a large error in reconstruction. The WT does not suffer from the above limitations of the STFT. Sections 6.11 through 6.13 show how to construct orthonormal wavelet bases with good time and frequency resolutions. We also show that we can start from a paraunitary digital filter bank and construct orthonormal wavelet bases for L2(R) very systematically (Theorem 6.13). Moreover, this can be done in such a way that many desired properties (e.g., compact support, orthonormality, good time–frequency resolution, smoothness, and so forth) can be incorporated during the construction (Section 6.13). Such a construction is placed in evidence by the theory of multiresolution, which gives a unified platform for wavelet construction and filter banks (Theorems 6.6. and 6.7). At this point, the reader may want to preview the above-mentioned theorems in order to get a flavor of things to come. However, to explain these results quantitatively, it is very convenient to review a number of mathematical tools. The need for advanced tools arises because of the intricacies associated with basis functions for infinite dimensional spaces, i.e., spaces in which the set of basis functions is an infinite set. (For finite dimensional spaces an understanding of elementary matrix theory would have been sufficient.) For example, a representation of the form x(t) ¼ Scnfn(t) in an infinite dimensional space could be unstable in the sense that a small error in the transform domain {cn} could be amplified in an unbounded manner during reconstruction. A special type of basis called the Riesz basis does not have this problem (orthonormal bases are special cases of these). Also, the so-called frames (Section 6.8) share many good properties of the Riesz bases but may have redundant vectors (i.e., not a linearly independent set of vectors). For example, the concept of frames arises in the comparison of wavelets and the STFT. General STFT frames have an advantage over STFT bases. Frames also come into consideration when the connection between wavelets and paraunitary digital filter banks is explained in Section 6.11. When describing the connection between wavelets and nonunitary filter banks, one again encounters Riesz bases and the idea of biorthogonality. Because it is difficult to find all the mathematical background material in one place, we review a carefully selected set of topics in the next few sections. These are very useful for a deeper understanding of wavelets and STFT. The material in Section 6.6 is fairly standard (Lebesgue integrals, Lp spaces, L1 and L2 FTs). The material in Sections 6.7 and 6.8 (Riesz bases and frames) are less commonly known among

Wavelet Transforms

6-31

engineers, but play a significant role in wavelet theory. The reader may want to go through these review sections (admittedly dense), once during first reading and then use them as a reference. Following this review, we return to our discussions of wavelets, STFT, and filter banks.

6.6 Space of L1 and L2 Signals We developed the wavelet representation in Section 6.2 based on the framework of a bank of bandpass filters. To make everything mathematically meaningful it becomes necessary to carefully specify the types of signals, types of FTs, etc. For example, the concept of ideal bandpass filtering is appealing to engineers, Ð but a difficulty arises. An ideal bandpass filter H(v) is not stable, that is, jh(t)jdt does not exist [2]. In other words h(t) does not belong to the space L1 (see below). Why should this matter if we are discussing theory? The frequency domain developments based on Figure 6.2, which finally give rise to the time domain expression (Equation 6.8), implicitly rely on the convolution theorem (convolution in time implies multiplication in frequency). However, the convolution theorem is typically proved only for L1 signals and bounded L2 signals; it is not valid for arbitrary signals. Therefore, care must be exercised when using these familiar engineering notions in a mathematical discussion.

6.6.1 Lebesgue Integrals In most engineering discussions, we think of the integrals as Riemann integrals, but in order to handle several convergence questions in the development of Fourier series, convolution theorems, and wavelet transforms, it is necessary to use Lebesgue integration. Lebesgue integration theory has many beautiful results which are not true for the Riemann integral under comparable assumptions about signals. This includes theorems that allow us to interchange limits, integrals, and infinite sums freely. All integrals in this chapter are Lebesgue integrals. A review of Lebesgue integration is beyond the scope of this chapter, although many excellent references, for example, [22], are available. A few elementary comparisons between Riemann and Lebesgue integrals are given next. 1. If x(t) is Riemann integrable on a bounded interval [a, b] then it is also Lebesgue integrable on [a, b]. The converse is not true, however. For example, if we define x(t) ¼ 1 for all rationals and x(t) ¼ 1 for all irrationals in [0, 1] then x(t) is not Riemann integrable in [0, 1], but it is Lebesgue Ð1 integrable, and 0 x(t)dt ¼ 1. 2. A similar statement is not true for the unbounded interval (1, 1). For the unbounded interval (1, 1) the Riemann integral is defined only as a limit called the improper integral.* Consider the sinc function defined as: s(t) ¼ sin t=t for t 6¼ 0, and s(0) ¼ 1. This has an improper Riemann integral ¼ p, but is not Lebesgue integrable. 3. If x(t) is Lebesgue integrable, so is jx(t)j. The same is not true for Riemann integrals, as demonstrated by the sinc function s(t) of the preceding paragraph. 4. If jx(t)j is Lebesgue integrable, so is x(t) as long as it is measurable.y This, however, is not true for Riemann integrals. If we define x(t) ¼ 1 for all rationals and 1 for all irrationals in [0, 1], it is not Riemann integrable in [0, 1] although jx(t)j is. 5. If x(t) is (measurable and) bounded by nonnegative Lebesgue integrable function g(t) (i.e., jx(t)j  g(t)), then x(t) is Lebesgue integrable. Ðb * Essentially, we consider a x(t)dt and let a and b go to 1 separately. Ð a This limit, the improper Riemann integral, should not be confused with Cauchy principal value, which is the limit of a x(t)dt as a ! 1. The function x(t) ¼ t has Cauchy principal value ¼ 0, but the improper Riemann integral does not exist. y The notion of measurable function is very subtle. Any continuous function is measurable, and any Lebesgue integrable function is measurable. In fact, examples of nonmeasurable functions are so rare and so hard to construct that practically no danger exists that we will run into one. We take measurability for granted and never mention it.

6-32

Fundamentals of Circuits and Filters

Sets of Measures Zero A subset 6 of real numbers is said to have measure zero if, given e > 0, we can find a countable union [i Ii of open intervals Ii (intervals of the form (ai, bi), i.e., ai < x < bi) such that 6  [i Ii and the total length of the intervals is less than e. For example, the set of all integers (in fact, any countable set of real numbers, e.g., rationals) has measure zero. Uncountable sets of real numbers exist that have measure zero, a famous example being the Cantor set [22]. When something is said to be true ‘‘almost everywhere’’ (abbreviated a.e.) or ‘‘for almost all t,’’ it means that the statement holds everywhere, except possibly on a set of measure zero. For example, if x(t) ¼ y(t) everywhere except for integer values of t, then x(t) ¼ y(t) a.e. An important fact in Lebesgue integration theory is that if two Lebesgue integrableÐfunctions are equal a.e., then their integrals are equal. In particular, if x(t) ¼ 0 a.e., the Lebesgue integral x(t)dt exists and is equal to zero. Convergence Theorems What makes the Lebsegue integral so convenient is the existence of some powerful theorems which allow us to interchange limits with integrals and summations under very mild conditions. These theorems have been at the center of many beautiful results in Fourier and wavelet transform theory. Let {gk(t)}, 1  k  1 be a sequence of Lebesgue integrable functions. In general, this sequence may not have a limit, and even if it did, the limit may not be integrable. Under some further mild postulates, we can talk about limits and their integrals. In what follows we often say ‘‘g(t) is a pointwise limit a.e., of the sequence {gk(t)},’’ or ‘‘gk(t) converges to g(t) a.e.’’ This means that for any chosen value of t (except possibly in a set of measure zero), we have gk(t) ! g(t) as k ! 1. Monotone Convergence Theorem: Suppose {gk(t)} is nondecreasing a.e., (i.e., for almost all values of t, Ð gk(t) is nondecreasing in k) and gk(t)dt is Ð sequence. Then {gkÐ(t)} converges Ð a.e., to a Ð a bounded Lebesgue integrable function g(t) and limk gk(t)dt ¼ limk gk(t)dt, i.e., limk gk(t)dt ¼ g(t)dt. That is, we can interchange the limit with the integral. Dominated Convergence Theorem: Suppose {gk(t)} is dominated by a nonnegative Lebesgue integrable function f(t), i.e., jgk(t)j  f(t) a.e.,Ð and {gk(t)} Ð converges to a limit Ðg(t) a.e. Then Ð the limit g(t) is Lebesgue integrable and limk gk(t)dt ¼ limkgk(t)dt, i.e., limk gk(t)dt ¼ g(t)dt. That is, we can interchange Ð P1 Ð Pmthe limit with the integral. sequence in m. Then Levi’s Theorem: Suppose k¼1 jgk (t)jdt is a bounded k¼1 gk (t)dt ¼ P1 P1 Ð (t)dt. This means, in particular, that g (t) converges a.e., to a Lebesgue integrable g k k¼1 k¼1 k function. This theorem permits us to interchange infinite sumsÐ with the integrals. Fatou’s Lemma: Let (a) gk(t)  0 a.e., (b) gk(t) !Ð g(t) a.e., and (c) gk(t) dt  A for some 0 < A < 1. Then the limit g(t) is Lebesgue integrable and g(t)  A. (Stronger versions of this result exist [23], but we shall not require them here.)

6.6.2 Lp Signals Let an integer such that 1  p < 1. A signal x(t) is said to be an Lp signal if it is measurable, and if Ð Ð p be p 1=p p p . For fixed p, the set of Lp jx(t)j dt exists. We define the L norm of x(t) as kx(t)kp ¼ jx(t)j dt signals forms a vector space. It is a normed linear vector space, with norm defined previously. The term ‘‘linear’’ means that if x(t) and y(t) are in Lp, then ax(t) þ by(t) is also in Lp for any complex a and b. Because any two signals x(t) and y(t) that are equal a.e., cannot be distinguished (i.e., kx(t)  y(t)kp ¼ 0), each element in Lp is in reality ‘‘a set of functions that are equal a.e.’’ Each such set becomes an ‘‘equivalence class’’ in mathematical language. For p ¼ 2 the quantity kx(t)kp2 is equal to the energy of x(t), as defined in signal processing texts. Thus, an L2 signal is a finite-energy (or square-integrable) signal. For p ¼ 1 the above definitions do not make sense, and we simply define L1 to be the space of essentially bounded signals. A signal x(t) is said to be essentially bounded if there exists a number B < 1 such that jx(t)j  B a.e. We often omit the term ‘‘essential’’ for simplicity; it arises because of the a.e. in

Wavelet Transforms

6-33

the inequality. The norm kx(t)k1 is taken as essential supremum of jx(t)j over all t. That is, kx(t)k1 is the smallest number such that jx(t)j  kx(t)k1 a.e. L1, L2, and L1 functions are particularly interesting for engineers. Note that neither L1 nor L2 contains the other. However, bounded L1 functions are in L2, and L2 functions on bounded intervals are in L1. That is L1 \ L1  L2

and

L2 [a, b]  L1 [a, b]

(6:48)

Thus, L2 is already bigger than bounded L1 functions. Moreover, x(t) 2 L1 \ L1 ) x(t) 2 Lp

for all p > 1

p This follows because jx(t)jp  jx(t)j kx(t)kp1 1 .Thus, jx(t)j is (measurable and) bounded by a Lebesgue integrable function (because jx(t)j is integrable), and is therefore integrable.

Orthonormal Signals in L2 Ð The inner product hx(t), y(t)i ¼ x(t)y*(t)dt always exists for any x(t) and y(t) in L2. Thus, the product of two L2 functions is an L1 function. If hx(t), y(t)i ¼ 0 we say that x(t) and y(t) are orthogonal. Clearly, kx(t)k22 ¼ hx(t), x(t)i. Consider a sequence {gn(t)} of signals such that any pair of these are orthogonal, and kgn(t)k2 ¼ 1 for all n. This is said to be an orthonormal sequence. The following two results are fundamental.

THEOREM 6.1 Let {gn(t)}, 1  n  1 be an orthonormal sequence in L2. Define cn ¼ hx(t), gn(t)i for some x(t) 2 L2. Then the sum Snjcnj2 converges, and Snjcnj2  kx(t)k2.

THEOREM 6.2: (Riesz–Fischer Theorem) Let {gn(t)}, 1  n  1 be an orthonormal sequence in L2 and let {cn} be a sequence of complex numbers such that Snjcnj2 converges. Then there exists x(t) 2 L2 such that cn ¼ hx(t), gn(t)i, and x(t) ¼ Sncngn(t) (with equality interpreted in the L2 sense; see below). The space L2 is more convenient to work with than L1. For example, inner product and the concept of orthonormality are undefined in L1. Moreover (see following section), the FT in L2 has more time– frequency symmetry than in L1. In Section 6.7, we will define unconditional bases, which have the property that any rearrangement continues to be a basis. It turns out that any orthonormal basis in L2 is unconditional, whereas the L1 space does not even have an unconditional basis. Equality and Convergence in Lp Sense Let x(t) and y(t) be LP functions (p < 1). Then kx(t)  y(t)kp ¼ 0 iff x(t) ¼ y(t) a.e. For example, if x(t) and y(t) differ only for every rational t we still have kx(t)  y(t)kp ¼ 0. Whenever kx(t)  y(t)kp ¼ 0, we say that x(t) ¼ y(t) in Lp sense. Now consider a statement of the form x(t) ¼

1 X n¼1

cn gn (t)

(6:49)

Fundamentals of Circuits and Filters

6-34

for p < 1, where gn(t) and x(t) are in Lp. This means that the sum converges to x(t) in the Lp sense; that is, kx(t)  SnN¼ 1 cngn(t)kp goes to zero as N ! 1. If we modify the limit x(t) by adding some number to x(t) for all rational t, the result is still a limit of SnN¼ 1 cngn(t) in the Lp sense. Lp limits are unique only the a.e. sense. We omit the phrase ‘‘in the Lp sense’’ whenever it is clear from the context. lp Spaces Let p be an integer with 1  p  1. The collection of all sequences x(n) such that Snjx(n)jp converges to a finite value is denoted lp. This is a linear space with norm kx(n)kp defined so that kx(n)kp ¼ (Snjx(n)jp)1=p. Unlike Lp spaces, lp spaces satisfy the following inclusion rule: l 1  l2  l3 . . . l1

(6:50)

The spaces l1 and l2 are especially interesting in circuits and signal processing. If h(n) 2 l1, Snjh(n)j < 1. This is precisely the condition for the BIBO stability of a linear time invariant system with impulse response h(n) [2]. Continuity of Inner Products If {xn(t)} is a sequence in L2 and has an L2 limit x(t), then, for any y(t) 2 L2, lim hxn (t), y(t)i ¼

n!1

D

E lim xn (t), y(t) ¼ hx(t), y(t)i

n!1

(6:51)

with the second limit interpreted in the L2 sense. Thus, limits can be interchanged with inner product signs. Similarly, infinite summation signs can be interchanged with the inner product sign, that is, P1 P1 2 n¼1 han xn (t), y(t)i ¼ N¼1 an xn (t), y(t) , provided the second summation is regarded as an L limit. These follow from the fundamental property that inner products are continuous [23]. Next, suppose {xn(t)} is a sequence of functions Lp for some integer p  1, and suppose xn(t) ! x(t) in the Lp sense. Then kxn(t)kp ! kx(t)kp as well. We can rephrase this as



lim kxn (t)kp ¼ lim xn (t) ¼ kx(t)kp

n!1

n!1

p

(6:52)

Thus, the limit sign can be interchanged with the norm sign, where the limit in the second expression is in the Lp sense. This follows because   kxn (t)k  kx(t)k   kxn (t)  x(t)k ! 0 as n ! 1: p p p

6.6.3 Fourier Transforms The Fourier transform is defined for L1 and L2 signals in different ways. The properties of these two types of FT are significantly different. In the signal processing literature, in which we ultimately seek engineering solutions (such as filter approximation with rational transfer functions), this distinction often is not necessary. However, when we try to establish that a certain set of signals is a basis for a certain class, we must be careful, especially if we use tools such as the FT, convolution theorem, etc. (as we implicitly did in Section 6.2). Detailed references for this section include Refs. [15,22,23]. L1 Fourier Transform Given a signal x(t) 2 L1, its FT X(v) (the L1 FT) is defined in a manner that is familiar to engineers: 1 ð X(v) ¼ x(t)ejvt dt (6:53) 1

Wavelet Transforms

6-35

The existence of this integral is assured by the fact that x(t) is in L1.* In fact, the preceding integral exists iff x(t) 2 L1. The L1 FT has the following properties: 1. X(v) is a continuous function of v. 2. X(v) ! 0 as jvj ! 1. This is called the Riemann–Lebesgue lemma. 3. X(v) is bounded, and kx(v)k  kx(t)k1. In engineering applications, we often draw the ideal low-pass filter response (F(v) in Figure 6.3) and consider it to be the FT of the impulse response f(t), but this frequency response is discontinuous and already violates property 1. This is because f (t) is not in L1 and F(v) is not the L1-FT of f (t). That f (t) is not in L1 is consistent with the factÐ that the ideal filter is not BIBO stable (i.e., a bounded input may not produce bounded output because jf (t)j dt is not finite). Inverse Fourier Transform: The FT X(v) of an L1 signal generally is not in L1. For example, if x(t) is the rectangular pulse, then X(v) is the sinc function which is not absolutely integrable. Thus, the familiar inverse transform formula 1 x(t) ¼ 2p

1 ð

X(v)e jvt dv

(6:54)

1

does not make sense in general. However, because X(v) is continuous and bounded, it is integrable on Ðc any bounded interval, so c X(v)e jvt dv=2p exists for any finite c. This quantity may even have a limit as c ! 1, even if the Lebesgue integral or improper Rieman integral, does not exist. Such a limit (the Cauchy principal value) does represent the original function x(t) under some conditions. Two such cases are outlined next. Case 1: Thus, suppose x(t) 2 L1 and suppose that is of bounded variation in an interval [a, b]; that is, it can be expressed as the difference of two nondecreasing functions [22]. Then, we can show that the above Cauchy principal value exists, and x(t þ ) þ x(t  ) 1 ¼ lim c!1 2p 2

ðc X(v)e jvt dv

(6:55)

c

for every t 2 (a, b). The notations x(t) and x(tþ) are the left-hand limit and the right-hand limit respectively, of x() at t; for functions of bounded variation, these limits can be shown to exist. If x() is continuous at t, then x(t) ¼ x(tþ) ¼ x(t), and above reduces to the familiar inversion formula. D Ð1 Case 2: Suppose now that x(t) 2 L1 and X(v) 2 L1 as well. Then, the integral y(t) ¼ 1 X(v)e jvt dv=2p exists as integral, and y(t) ¼ x(t) a.e. [23]. In particular, if x() is continuous at t, Ð c a Lebesgue jvt x(t) ¼ c X(v)e dv=2p. If x(t) and X(v) are both in L1 they are both in L2 as well. This is shown as follows: because x(t) 2 L1 implies that X (v) is bounded. We see that X(v) 2 L1 \ L1. So X(v) 2 Lp for all integer p (see Section 6.6.2). In particular, X(v) 2 L2, so x(t) 2 L2 as well (by Parseval’s relation; see below). L2 Fourier Transform The L1 Fourier transform lacks the convenient property of time–frequency symmetry. For example, even though x(t) is in L1, X(v) may not in L1. Also, even though x(t) may not be continuous, X(v) is necessarily continuous. The space L2 is much easier to work with. Not only can we talk about inner products and orthonormal bases, perfect symmetry also exists between time and frequency domains. We must define L2-FT differently because the usual definition (Equation 6.53) is meaningful only for L1 * Because x(t) is Lebesgue integrable (hence, measurable), the product x(t)ejvt is measurable, and it is bounded by the integrable function jx(t)j. Thus, x(t)ejvt is integrable.

Fundamentals of Circuits and Filters

6-36

signals. Suppose x(t) 2 L2 and we truncate it to the interval [n, n]. This truncated version is in L1 because of Equation 6.48, and its L1 FT exists: ðn Xn (v) ¼

x(t)ejvt dt

(6:56)

n

It can be shown that Xn(v) is in L2 and that the sequence {Xn(v)} has a limit in L2. That is, there exists an L2 function X(v) such that lim kXn (v)  X(v)k2 ¼ 0

n!1

(6:57)

This limit X(v) is defined to be the L2 FT of x(t). Some of the properties are listed next: 2 1. X(v) is in can compute x(t) from X(v) in an entirely analogous manner, namely the L2 Ð nL , and we limit of n X(v)e jvt dv=2p. 2. If x(t) is in L1 and L2, then the above computation gives the same answer as the L1-FT Equation 6.53 a.e. For example, consider the rectangular pulse x(t) ¼ 1 in [1, 1] and zero otherwise. This is in L1 and L2, and the FT using either definition is X(v) ¼ 2sin v=v. This answer is in L2, but not in L1. The inverse L2-FT of X(v) is the original x(t). Ð 1 the Lebesgue integral 1 X(v)e jvt dv=2p exists, and equals x(t) a.e. 3. If x(v) 2 L2 and x(v) 2 L1 then pffiffiffiffiffiffi 4. Parseval’s relation holds, i.e., 2pkx(t)k2 ¼ kX(v)k2 . Thus, pffiffiffiffiffiffi the FT is a linear transformation from L2 to L2, which preserves norms except the scale factor 2p. (Note that this would not make sense if x(t) were only in L1.) In particular, it is a bounded transformation because the norm kX(v)k2 in the transform domain is bounded by the norm kx(t)k2 in the original domain. 5. Unlike the L1-FT, the L2-FT X(v) need not be continuous. For example, the impulse response of an ideal low-pass filter (sinc function) is in L2 and its FT is not continuous. 6. Let { fn(t)} be a sequence in L2 and let x(t) ¼ Sncnfn(t) be a convergent summation (in the L2 sense). With upper case letters denoting the L2-FTs, x(w) ¼ SncnFn(v). This result is obvious for finite summations because of the linearity of the FT. For infinite summations, this follows from the property that the L2-FT is a continuous mapping from L2 to L2. (This in turn follows from the result that it is a bounded linear transformation). The continuity allows us to move the FT operation inside the infinite summation.

Thus, complete symmetry exists between the time and frequency domains. The L2-FT is a one-to-one p ffiffiffiffiffiffi mapping from L2 onto L2. Moreover, because 2pkx(t)k2 ¼ kX(v)k2 , it is a norm preserving mapping—one says that the L2-FT is an isometry from L2 to L2. l1 Fourier Transform If a sequence x(n) 2 l1 its discrete-time FT X(e jv) ¼ Snx(n)ejvn exists, and is the l1-FT of x(n). It can be demonstrated that X(e jv) is a continuous function of v and that jX(e jv)j is bounded.

6.6.4 Convolutions 1 p Suppose h(t) Ð 2 L and h(t) 2 L for some p in 1  p  1. The familiar convolution integral defined by (x* h)(t) ¼ x(t)h(t  t)dt exists for almost all t [23]. If we define a function y(t) to be x  h where it exists and to be zero elsewhere, the result is, in fact, an Lp function. We simply say that the convolution of an L1, function with an Lp function gives an Lp function. By recalling that an LTI system is stable (i.e., BIBO stable), iff its impulse response is in L1, we have the following examples:

1. If an L1 signal is input to a stable LTI system, the output is in L1. Because the convolution of two L1 signals is in L1, the cascade of two stable LTI systems is stable, a readily accepted fact in engineering. 2. If an L2 signal (finite energy input) is input to a stable LTI system, the output is in L2. 3. If an L1 signal is input to a stable LTI system, the output is in L1 (i.e., bounded inputs produce bounded outputs).

Wavelet Transforms

6-37

If x(t) and h(t) are both in L1, their convolution y(t) is in L1, and all three signals have L1-FT. The convolution theorem [23] says that theses three are related as Y(v) ¼ H(v) X(v). When signals are not necessarily in L1 we cannot in general write this, even if convolution might itself be well defined. Convolution Theorems for L2 Signals For all our discussions in the preceding sections, the signals were restricted to be in L2, but not necessarily in L1. In fact, even the filters are often only in L2. For example, ideal bandpass filters (Figure 6.8) are unstable, and therefore only in L2. For arbitrary L2 signals x(t) and h(t), the convolution theorem does not hold. We therefore need to better understand L2 convolution. Ð Assume that x(t) and h(t) are both in L2. Their convolution y(t) ¼ x(t)h(t  t)dt exists for all t, as the integral is only an inner product in L2. Using Schwartz inequality [23], we also have jy(t)j  kx(t)k2 kh(t)k2, that is, y(t) 2 L1. Suppose the filter h(t) has the further property that the frequency response H(v) is bounded, i.e., jH(v)j  B a.e., for some B < 1. Then we can show that y(t) 2 L2, and that the convolution theorem holds (Y(v) ¼ H(v)X(v)). To prove this, note that ð

1 y(t) ¼ x(t)h(t  t)dt ¼ 2p

ð X(v)H(v)e jvt dv

(6:58)

from Parseval’s relation which holds for L2 signals [23]. If jH(v)j  B, then jX(v)H(v)j2  B2jX(v)j2. Therefore, jX(v)H(v)j2 is bounded by the integrable function jX(v)j2, and is therefore integrable. Thus, X(v)H(v) 2 L2, and the preceding equation establishes that y(t) 2 L2. The equation also shows that y(t) and H(v)X(v) form an L2-FT pair, so Y(v) ¼ H(v)X(v). Bounded L2 Filters Filters for which h(t) 2 L2 and H(v) bounded are called bounded L2 filters. The preceding discussion shows that bounded L2 filters admit the convolution theorem, although arbitrary L2 filters do not. Another advantage of bounded L2 filters is that a cascade of two bounded L2 filters, h1(t) and h2(t), is a bounded L2 filter, just as a cascade of two stable filters would be stable. To see this, note that the cascaded impulse response is the convolution h(t) ¼ (h1*h2)(t). By the preceding discussion, h(t) 2 L2, and moreover, H(v) ¼ H1(v)H2(v). Clearly, H(v) is still bounded. Bounded L2 filters are therefore very convenient to work with. Fortunately, all filters in the discussion of wavelets and filter banks are bounded L2 filters, even though they may not be BIBO stable (as are the ideal bandpass filters in Figure 6.8). We summarize the preceding discussions as follows.

THEOREM 6.3: (Convolution of L2 functions) We say that h(t) is a bounded L2 filter if h(t) 2 L2 and jH(v) j  B < 1 a.e. 1. Let x(t) 2 L2 and let h(t) be a bounded L2 filter. Then y(t) ¼ (x * h)(t) exists for all t and y(t) 2 L2. Moreover, Y(v) ¼ H(v)X(v). 2. If h1(t) and h2(t) are bounded L2 filters, then their cascade h(t) ¼ (h1 * h2)(t) is a bounded L2 filter and H(v) ¼ H1(v)H2(v).

6.7 Riesz Basis, Biorthogonality, and Other Fine Points In a finite dimensional space, such as the space of all N-component Euclidean vectors, the ideas of basis and orthonormal basis are easy to appreciate. When we extend these ideas to infinite dimensional spaces (i.e., where the basis {gn(t)} has infinite number of functions), a number of complications and subtleties arise. Our aim is to point these out. References for this section include Refs. [5,15,24].

Fundamentals of Circuits and Filters

6-38

Readers familiar Hilbert spaces will note that the L2 space is a Hilbert space; all our developments here are valid for any Hilbert space *. Elements in * (vectors) are typically denoted x, y, etc. When we deal with Hilbert space L2, the vectors are functions and are denoted as x(t), y(t), etc., for clarity. Similarly, for the special case of Euclidean vectors we use boldface, e.g., x, y, etc. The reader not familiar with Hilbert spaces can assume that all discussions are in L2 and that x is merely a simplification of the notation x(t).

6.7.1 Finite Dimensional Vector Spaces We first look at the finite dimensional case and then proceed to the infinite dimensional case. Consider an N 3 N matrix F ¼ [f1 f2 . . . fN]. We assume that this is nonsingular, that is, the columns fn are linearly independent. These column vectors form a basis for the N-dimensional Euclidean space #N of complex N-component vectors. This space is an example of a finite dimensional Hilbert space, with inner product P defined as ffi hx, yi ¼ y y ¼ Nn¼1 xn xn*. The norm kxk induced by this inner product is defined as pffiffiffiffiffiffiffiffiffiffi P kxk hx, xi. Thus, kxk2 ¼ xy x ¼ Nn¼1 jxn j2 . Any vector x 2 #N can be expressed as x ¼ SNn¼1 cnfn for some uniquely determined set of scalars cn. We can abbreviate this as x ¼ Fc, where c ¼ [c1 c2 . . . cN]T. The matrix F can be regarded as a linear transformation from #N to #N. The nonsingularity of F means that for every x 2 #N we can find a unique c such that x ¼ Fc. 6.7.1.1 Boundedness of F and Its Inverse In practice, we have further requirement that if the norm kck is ‘‘small’’ then kxk should also be ‘‘small,’’ and vice versa. This requirement implies, for example, that if a small error occurs in the transmission or estimate of the vector c, the corresponding error in x is also small. From the relation x ¼ Fc we obtain kxk2 ¼ xy x ¼ cy Fy Fc

(6:59)

Letting lM and lm denote the maximum and minimum eigenvalues of Fy F, it then follows that kxk2  lm kck2 and that kxk2  lM kck2. That is lm kck2  kxk2  lM kck2

(6:60)

with 0 < lm  lM < 1, where 0 < lm follows from the nonsingularity of F. Thus, the transformation F, which converts c into x, has an amplification factor bounded by lM in the sense that kxk2  lM kck2. Similarly, the inverse transformation G ¼ F1, which converts x into c, has amplification bounded by 1=lm. Because lM is finite, we say that F is a bounded linear transformation, and because lm 6¼ 0, we see that the inverse transformation is also bounded. Using x ¼ Sncnfn and kck2 ¼ Snjcnj2 we can rewrite the preceding inequality as A

X n

X

2 X

jcn j  cn f n  B jcn j2

2

n

(6:61)

n

where A ¼ lm > 0 B ¼ lM < 1, and all summations are for 1  n  N Readers familiar with the idea of a Riesz basis in infinite dimensional Hilbert spaces will notice that the above is in the form that agrees with that definition. We will return to this issue later.

Wavelet Transforms

6-39

Biorthogonality With F1 denoted as G, let gny denote the rows of G: 2

gy1 6 gy 6 2 G¼6 . 4 ..

3 7 7 7, 5

F ¼ [f 1 f 2 . . . f N ]

(6:62)

gyN

The property GF ¼ I implies gky fn ¼ d(k  n): hf n , gk i ¼ d(k  n)

(6:63)

for 1  k, n  N. Equivalently, hgk fni ¼ d(k  n). Two sets of vectors, {fn} and {gk}, satisfying Equation 6.63 are said to be biorthogonal. Because P P c ¼ F1 x ¼ Gx we can write the elements of c as cn ¼ gnyx ¼ hx, gni. Then x ¼ n cn f n ¼ n hx, gn if n . Next, Gy is a nonsingular matrix, therefore, we can use its columns gn, instead of the columns of F, to obtain a similar development, and express the arbitrary vector x 2 #N as x ¼ Snhx, fnign. Summarizing, we have x¼

X

hx, gn if n ¼

n

X

hx, f n ign

(6:64)

n

where the summations are for 1  n  N. By using the expressions cn ¼ hx, gni and x ¼ Sncnfn, we can rearrange the inequality Equation 6.61 into B1 kxk2  Sn jhx, gnij2  A1kxk2. With the columns gn of Gy, instead of the columns of F, used as the basis for #N, we obtain similarly Akxk2 

X

jhx, f n ij2  Bkxk2

(6:65)

n

where 1  n  N, and A ¼ lm, B ¼ lM again. Readers familiar with the idea of a frame in an infinite dimensional Hilbert space will recognize that the above inequality defines a frame {fn}. Orthonormality The basis fn is said to be orthonormal if hfk, fni ¼ d(k  n), i.e., fnyfk ¼ d(k  n). Equivalently, F is unitary, i.e., Fy F ¼ I. In this case the rows of the inverse matrix G are the quantities fny. But Fy F ¼ I, and we have lm ¼ lM ¼ 1 or A ¼ B ¼ 1. With this, Equation 6.60 becomes kck ¼ kxk, or Snjcnj2 ¼ kSncnfnk2. This shows that Equation 6.61 is a generalization of the orthonormal situation. Similarly, biorthogonality (Equation 6.63) is a generalization of orthonormality. Basis in Infinite Dimensional Spaces When the simple idea of a basis in a finite dimensional space (e.g., the Euclidean space #N) is extended to infinite dimensions, several new issues arise which make the problem nontrivial. Consider the sequence of functions { fn}, 1  n  1 in a Hilbert space *. Because of the infinite range of n, linear combinations P1 of the form n¼1 cn fn must now be considered. The problem that immediately arises is one of convergence. For arbitrary sequences cn this sum does not converge, so the statement ‘‘all linear combinations’’ must be replaced with something else.*

* Our review uses 1  n  1 to be consistent with standard math texts, but all the crucial results hold for doubly infinite sequences and summations, i.e., for the case 1  n  1. This is what we need in the case of Fourier and wavelet bases; see, for example, Equations 6.3 and 6.4.

Fundamentals of Circuits and Filters

6-40

Closure of Span P First define the set of all finite linear of the form 1 n¼1 cn fn , where N varies over all integers 1. This is called the span of { fn}. Now suppose x 2 * is a vector not necessarily in the span of { fn}, but can be approximated as closely as we wish by vectors in the span. In other words, given an e > 0 we can find N and the sequence of constants cnN such that



N X

cnN fn < e

x 

n¼1

(6:66)

pffiffiffiffiffiffiffiffiffiffiffi where kxk is the norm defined as kxk ¼ hx, xi. If we append all such vectors x to the span of { fn} we get the closure of the span of { fn}.* Note that cnN, in general, depends on e because N depends on e. Completeness A sequence of vectors { fn} is said to be complete in * if the closure of the linear span of { fn} equals *. Therefore, any x 2 * can be approximated, as closely as we wish, by finite linear combinations of fn in the sense of Equation 6.66. This is also expressed by saying that the linear span of { fn} is dense in *. Completeness of { fn} in a Hilbert space is equivalent to the statement that the only vector orthogonal to all fn is the zero vector. Infinite Summations P When we write x ¼ 1 n¼1 cn fn , we mean that the infinite summation converges to x in the norm of *. In other words, given e > 0, there exists n0 such that



N X

cn fn < e

x 

n¼1

for all N  n0

(6:67)

This statement is stronger than saying that x is in the closure of the linear span of { fn}. The latter statement only requires Equation 6.66, where N and hence cnN depends on e. In Equation 6.67, {cn} is a fixed sequence. Linear Independence Let { fn}, n ¼ 1, 2, . . . be a sequence of vectors in an infinite dimensional Hilbert space *. Unlike in a finite dimensional space, one must distinguish between several types of linear independence. PN Type 1: { fn} has finite linear independence if n¼1 cn fn ¼ 0, for any finite N implies cn ¼ 0, 1  n  N. P Type 2: { fn} is v-independent if 1 n¼1 cn fn ¼ 0 implies cn ¼ 0 for all n (where the infinite sum is interpreted as explained above). Type 3: { fn} is minimal if none of the fm is in the closure of the span of the remaining set of fn. Type 3 independence implies type 2, which in turn implies type 1. Thus, type 3 is the strongest kind of linear independence. The reason that it is stronger than type 2 is that type 2 implies we cannot have fm ¼ Sn6¼mcn fn. However, for a type 2 independent sequence { fn}, it is possible that we can make



N X

fm  cnN fn

0 by choosing N and cnN properly.* Type 3 linear independence prohibits even this. The comments following Example 6.3 make this distinction more clear (see p. 6–42 and 6–43). Basis or Schauder Basis A sequence of vectors { fn} in * is a Schauder basis for * if any x 2 * can be expressed as x ¼ S1 n¼1 cn fn, and the sequence of scalars {cn} is unique for a given x. The second condition can be replaced with the statement that { fn} is v-independent. A subtle result for Hilbert spaces [24] is that a Schauder basis automatically satisfies minimality (i.e., type 3 independence). A Schauder basis is v-independent and complete in the sense defined above. Conversely, v-independence and completeness do not imply that { fn} is a Schauder basis; completeness only means that we may approximate any vector as closely as we wish in the sense of Equation 6.66, where ckN depend on N. In this chapter ‘‘independence’’ (or linear independence) stands for v-independence. Similarly ‘‘basis’’ stands for Schauder basis unless qualified otherwise. Riesz Basis Any basis {fn} in a finite dimensional space satisfies Equation 6.61, which in turn ensures that the transformation from x to {cn} and that from {cn} to x are stable. For a basis in an infinite dimensional space, Equation 6.61 is not automatically guaranteed, as shown by the following example.

Example 6.2 Let {en}, 1  n  1 be an orthonormal basis in a Hilbert space *, and define the sequence { fn} by fn ¼ en=n. Then we can show that fn is still a basis, i.e., it satisfies the definition of a Schauder basis. Suppose we pick x ¼ eek for some k. Then, x ¼ Sncnfn, with ck ¼ ek, and cn ¼ 0 for all other n. Thus, Snjcnj2 ¼ e2 k2 and grows as k increases, although kxk ¼ e for all k. That is, a ‘‘small’’ error in x can become amplified in an unbounded manner. Recall that this could never happen in the finite dimensional case because A > 0 in Equation 6.61. For our basis { fn}, we can indeed show that no A > 0 satisfies Equation 6.61. To see this let cn ¼ 0 for all n, except that ck ¼ 1. Then, Sncnfn ¼ fk ¼ ek=k and has norm 1=k. So Equation 6.61 reads A  1=k2  B for all k  1. This is not possible with A > 0.

If {en}, 1  n  1 is an orthonormal basis in an infinite dimensional Hilbert space *, then any vector P x 2 * can be expressed uniquely as x ¼ 1 n¼1 cn en where 2

kxk ¼

1 X

jcn j2

n¼1

This property automatically ensures the stability of the transformations from x to {cn} and vice versa. The Riesz basis is defined such that this property is made more general.y Definition of a Riesz Basis: A sequence { fn}, 1  n  1 in a Hilbert space * is a Riesz basis if it is complete and constants A and B exist such that 0 < A  B < 1 and

2

X

1 1 X

A cn fn  B jcn j  jcn j2

n¼1 n¼1 n¼1 1 X

2

(6:69)

for all choice of cn satisfying Snjcnj2 < 1. * As we make e increasingly smaller, we may need to change N and all coefficients ckN. Therefore, this does not imply fm ¼ Sn6¼mcnfn for fixed {cn}. y For readers familiar with bounded linear transformations in Hilbert spaces, we state that a basis is a Riesz basis iff it is related on an orthonormal basis via a bounded linear transformation with bounded inverse.

Fundamentals of Circuits and Filters

6-42

In a finite dimensional Hilbert space, A and B come from the extreme eigenvalues of a nonsingular matrix FyF, so automatically A > 0 and B < 1. In other words, any basis in a finite dimensional space is a Riesz basis. As Example 6.2 shows, this may not be the case in infinite dimensions. Unconditional Basis It can be shown that the Riesz basis is an unconditional basis, that is, any reordering of { fn} is also a basis (and the new cn are the correspondingly reordered versions). This is a nontrivial statement; an arbitrary (Schauder) basis is not necessarily unconditional. In fact, the space of L1 functions (which is a Banach space, not a Hilbert space) does not have an unconditional basis. Role of the Constants A and B 1. Strongest linear independence: The condition A > 0 means, in particular, that Sncnfn 6¼ 0 unless cn is zero for all n. This is just v-independence. Actually the condition A > 0 means that the vectors { fn} are independent in the strongest sense (type 3), that is, { fn} is minimal. To see this, assume this is not the case by supposing some vector fm is in the closure of the span of the others. Then, given arbitrary e > 0 we can find N and cnN satisfying Equation 6.66 with x ¼ fm. Defining cn ¼ –cnN for n 6¼ m and cm ¼ 1, Equation 6.69 implies A(1 þ Sn6¼mjcnNj2)  e2. Because e is arbitrary, this is not possible for A > 0. 2. Distance between vectors: The condition A > 0 also implies that no two vectors in { fn} can become ‘‘arbitrarily close.’’ To see this, choose ck ¼ cm ¼ 1 for some k, m and cn ¼ 0 for all other n. Then 2 Equation 6.69 p gives pffiffiffiffiffiffi ffiffiffiffiffiffi 2A  kfk  fmk  2B. Thus, the distance between any two vectors is at least 2A, at most 2B. 3. Bounded basis: A Riesz basis is a bounded basis in the sense that kfnk cannot get arbitrarily large. In fact, by choosing cn ¼ 0 for all but one value of n, we can see that 0 < A  kfnk2  B < 1. That is, the norms of the vectors in the basis cannot become arbitrarily small or large. Note that the basis in Example 6.2 violates this, because kfnk ¼ 1=n. Therefore, Example 6.2 is only a Schauder basis and not a Riesz basis. 4. Stability of basis. The condition A > 0 yields Snjcnj2  A1kxk2, where x ¼ Sncnfn. This means that the transformation from the vector x to the sequence {cn} is bounded, so a small error in x is not amplified in an unbounded manner. Similarly, the inequality kxk2  B Sn jcnj2 shows that role of B is to ensure that the inverse transformation from cn to x is bounded. Summarizing, the transformation from x to {cn} is numerically stable (i.e., small errors not severely amplified) because A > 0, and the reconstruction of x from {cn} is numerically stable because B < 1. 5. Orthonormality: For a Riesz basis with A ¼ B ¼ 1 the condition (Equation 6.69) reduces to Snjcnj2 ¼ kSncnfnk2. It can be shown that such a Riesz is as simply an orthonormal basis. The properties listed above show that the Riesz basis is as good as orthonormal basis in most applications. Any Riesz basis can be obtained from an orthonormal basis by means of a bounded linear transformation with a bounded linear inverse.

Example 6.3:

Mishaps with a System That Is Not a Riesz Basis

Let us modify Example 6.2 to fn ¼ (en=n) þ e1, n  1, where {en} is an orthonormal basis. As n ! 1 the vectors fn move arbitrarily closer together (although kfnk approaches unity from above). Formally, fn  fm ¼ (en=n)  (em=m), so kfn  fmk2 ¼ (1=n2) þ (1=m2), which goes to zero as n, m !. 1. Thus there does not exist A > 0 satisfying Equation 6.69 (because of comment 2 above). This, then, is not a Riesz basis; in fact, this is not even a Schauder basis (see below). This example also has B ¼ 1. To see this let cn ¼ 1=n, then Snjcnj2 converges, but kSNn ¼ 1 cnfnk2 does not converge as N !. 1 (as we can verify), so Equation 6.69 is not satisfied for finite B. Such mishaps cannot occur with a Riesz basis.

In this example, { fn} is not minimal (which is type 3 independence). Note that j f1  fnj gets arbitrarily small as n increases to infinity, therefore, f1 is in the closure of the span of { fn}, n 6¼ 1. However, { fn} is v-independent; no sequence {cn} exists such that kSnN¼ 1 cnfnk ! 0 as N !. 1. In

Wavelet Transforms

6-43

any case, the fact that { fn} is not minimal (i.e., not independent in the strongest sense) shows that it is not even a Schauder basis.

6.7.2 Biorthogonal Systems, Riesz Bases, and Inner Products When discussing finite dimensional Hilbert spaces, we found that given a basis fn (columns of a nonsingular matrix) we can express any vector x as a linear combination x ¼ Sn hx, gni fn, where gn is such that the biorthogonality property hfm, gni ¼ d(m  n) holds. A similar result is true for infinite dimensional Hilbert spaces.

THEOREM 6.4: Biorthogonality and Riesz Basis Let { fn} be a basis in a Hilbert space *. Then, there exists a unique sequence {gn} biorthogonal to { fn}, that is, h fm , gn i ¼ d(m  n) (biorthogonality)

(6:70)

Moreover, the unique expansion of any x 2 * in terms of the basis { fn} is given by x¼

1 X

hx, gn ifn

(6:71)

n¼1

It is also true that the biorthogonal sequence {gn} is a basis and that x ¼ Sn1¼ 1hx,fnign. Moreover, if { fn} is a Riesz basis, then Snjhx, gnij2 and Snjhx, fnij2 are finite, and we have 2

Akxk 

1 X

jhx, fn ij2  Bkxk2

(6:72)

n¼1

where A and B are the same constants as in the definition (Equation 6.69) of a Riesz basis. This beautiful result resembles the finite dimensional version, where fn corresponds to the column of a matrix and gn corresponds to the rows (conjugated) of the inverse matrix. In this sense we can regard the biorthogonal pair of sequences { fn}, {gn} as inverses of each other. Both are bases for *. A proof of the above result can be obtained by combining the ideas on pages 28–32 of Ref. [24]. The theorem implies, in particular, that if { fn} is a Riesz basis, then any vector in the space can be written in the form Sn1¼ 1cnfn, where cn 2 l2. Summary of Riesz Basis The Riesz basis { fn} in a Hilbert space is a complete set of vectors, linearly independent in the strongest sense (i.e., type pffiffiffi pffiffiffiffiffi3ffi or minimal). It is a bounded basis with bounded inverse. Any two vectors are separated by at least 2A, that is, kfn  fmk2  2A. The norm of each basis vector is bounded as kfnk  B. In P the expression x ¼ n cn fn the computation of x from cn as well as the computation of cn from x are numerically stable because B < 1 and A > 0, respectively. A Riesz basis with A ¼ B ¼ 1 is an orthonormal basis. In fact, any Riesz basis can be obtained from an orthonormal basis via a bounded linear transformation with a bounded inverse. Given any basis { fn} in a Hilbert space, a unique biorthogonal sequence {gn} exists such that we can express any x 2 * as x ¼ Sn1¼ 1hx, gnifn as well as x ¼ Sn1¼ 1h x, fnign; if this basis is also a Riesz basis, then Snjhx, fnij2 and Snjhx, gnij2 are finite. If { fn} is a Riesz basis, then any vector x 2 * can be written in the form x ¼ Sn1¼ 1cnfn, where cn 2 l2.

6.8 Frames in Hilbert Spaces A frame in a Hilbert space * is a sequence of vectors { fn} with certain special properties. While a frame is not necessarily a basis, it shares some properties of a basis. For example, we can express any vector x 2 *

Fundamentals of Circuits and Filters

6-44

as a linear combination of the frame elements, i.e., x ¼ Sncnfn. However, frames generally have redundancy—the frame vectors are not necessarily linearly independent, even in the weakest sense defined in Section 6.7. The Riesz basis (hence, any orthonormal basis) is a special case of frames. The concept of a frame is useful when discussing the relation between wavelets, STFTs, and filter banks. Frames were introduced by Duffin and Schaeffer [25], and used in the context of wavelets and STFT by Daubechies [5]. Excellent tutorials can be found in Refs. [12,24].

6.8.1 Definition of a Frame A sequence of vectors { fn} in a (possibly infinite dimensional) Hilbert space x 2 * is a frame if there exist constants A and B with 0 < A  B < 1 such that for any x 2 * we have Akxk2 

1 X

jhx, fn ij2  Bkxk2

(6:73)

n¼1

The constants A and B are called frame bounds. In Section 6.7, we saw that a Riesz basis, which by definition satisfies Equation 6.69 and also satisfies Equation 6.72, which is precisely the frame definition. A Riesz basis is, therefore, also a frame, but it is a special case of a frame, where the set of vectors is minimal. Any frame is complete. That is, if a vector x 2 * is orthogonal to all elements in { fn}, then x ¼ 0, otherwise A > 0 is violated. Thus, any x 2 * is in the closure of the span of the frame. In fact, we will see that more is true; for example, we can express x ¼ Scn fn, although {cn} may not be unique. The frame elements are not necessarily linearly independent, as demonstrated by examples below. A frame, then, is not necessarily a basis. Compare Equation 6.73 with the Riesz basis definition (Equation 6.69), where the left inequality forced the vectors fn to be linearly independent (in fact, minimal). The left inequality for a frame only ensures completeness, not linear independence.

6.8.2 Representing Arbitrary Vectors in Terms of Frame Elements We will see that, given a frame { fn} we can associate with it another sequence {gn} called the dual frame, 1 such that any element x 2 * can be represented as x ¼ S1 n ¼ 1hx, gnifn. We also can write x ¼ Sn ¼ 1hx, fnign. This representation in terms of { fn} and {gn} resembles the biorthogonal system discussed in Section 6.7, but some differences are pointed out later. Stability of Computations P To obtain the representation x ¼ 1 n¼1 hx, fn ign we compute (at least conceptually) the coefficients hx, fni for all n. This computation is a linear transformation from * to the space of sequences. The inverse P transform computes x from this sequence by using the formula x ¼ 1 n¼1 hx, fn ign . The condition B < 1 in the frame definition ensures that the transformation from x to hx, fni is bounded. Similarly, the condition A > 0 ensures that the inverse transformation, from hx, fni to x is bounded. The conditions A > 0 and B < 1, therefore, ensure stability; small errors in one domain are not arbitrarily amplified in the other domain. A similar advantage was pointed out earlier for the Riesz basis—for arbitrary bases in infinite dimensional spaces such an advantage cannot be claimed (Example 6.4). P1 P If we wish to use the dual representation x ¼ 1 n¼1 hx, gn ifn instead of x ¼ n¼1 hx, fn ign , we must compute hx, gni, etc.; the roles of A and B are taken up by 1=B and 1=A, respectively, and similar discussions hold. This is summarized in Figure 6.29.

6.8.3 Exact Frames, Tight Frames, Riesz Bases, and Orthonormal Bases The resemblance between a Riesz basis and a frame is striking. Compare Equation 6.69 with Equation 6.73. One might wonder what the precise relation is. Thus far, we know that a Riesz basis is a frame.

Wavelet Transforms

To go deeper, we need a definition: a frame { fn} which ceases to be a frame if any element fk is deleted is said to be an exact frame. Such a frame has no redundancy. A frame with A ¼ B is said to be a tight frame. The defining property reduces to kxk2 ¼ A1 Snjhx, fnij2, resembling Parseval’s theorem for an orthonormal basis. A frame is normalized if kfnk ¼ 1 for all n. The following facts concerning exact frames and tight frames are fundamental:

6-45

x

Linear transform

x, gn = cn

Stable, because A > 0 ∞

x =Σ cn fn n=1

cn = x, gn

Linear transform

x

Stable because B < ∞

1. A tight frame with A ¼ B ¼ 1 and FIGURE 6.29 Representation of x using frame elements kfnk ¼ 1 for all n (i.e., a normalized {fn}. The transformation from x to {cn} and vice versa are tight frame with frame bound ¼ 1) is stable. an orthonormal basis [5]. 2. { fn} is an exact frame iff it is a Riesz basis [24]. Moreover, if a frame is not exact then it cannot be a basis [12]. Thus, if a frame is a basis, it is certainly a Riesz basis. 3. Because an orthonormal basis is a Riesz basis, a normalized tight frame with frame bound equal to 1 is automatically an exact frame. Some examples follow that serve to clarify the preceding concepts and definitions. In these examples, the sequence {en}, n  1 is an orthonormal basis for *. Thus, {en} is a tight frame with A ¼ B ¼ 1, and kenk ¼ 1.

Example 6.4 Let fn ¼ en=n as in Example 6.2. Then { fn} is still a (Schauder) basis for *, but it is not a frame. In fact, this satisfies Equation 6.73 only with A ¼ 0; i.e., the inverse transformation (reconstruction) from hx, fni to x is not bounded. To see why A ¼ 0, note that if we let x ¼ ek for some k > 0 then kxk ¼ 1, whereas Snjhx, fnij2 ¼ 1=k2. The first inequality in the frame definition becomes A  1=k2, which cannot be satisfied for all k unless A ¼ 0. In this example a finite B works because jh x, fn ij ¼ jh x, en ij=n for each n. Therefore, Sn jhx, fnij2  Snjhx, enij2 ¼ kxk2.

Example 6.5 Suppose we modify the above example as follows: define fn ¼ (en=n) þ e1. We know that this is no longer a basis (Example 3). We now have B ¼ 1 in the frame definition, so this is not a frame. To verify this, let x ¼ e1 so kxk ¼ 1. Then hx, fni ¼ 1 for all n > 1, so Snjh x, fn ij2 does not converge to a finite value.

Example 6.6 Consider the sequence of vectors {e1, e1, e2, e2, . . . }. This is a tight frame with frame bounds A ¼ B ¼ 2. Note that, even though the vectors are normalized and the frame is tight, this is not an orthonormal basis. This has a redundancy of two in the sense that each vector is repeated twice. This frame is not even a basis, therefore, it is not a Riesz basis.

Example 6.7 pffiffiffi pffiffiffi pffiffiffi pffiffiffi pffiffiffi Consider the sequence of vectors {e1 , (e2 = 2), (e2 = 2), (e3 = 3), (e3 = 3), (e3 = 3), . . . }. Again, redundancy occurs, so it is not a basis. It is a tight frame with A ¼ B ¼ 1, but not an exact frame, and clearly not a basis. It has redundancy (repeated vectors).

Fundamentals of Circuits and Filters

6-46

Frame Bounds and Redundancy For a tight frame with unit norm vectors fn, the frame bound measures the redundancy. In Example 6.6 the redundancy is two (every vector repeated twice), and indeed A ¼ B ¼ 2. In Example 6.7, where we still have redundancy, the frame bound A ¼ B ¼ 1 does not indicate it. The frame bound of a tight frame measures redundancy only if the vectors fn have unit norm as in Example 6.6.

6.8.4 Frame Operator, Dual Frame, and Biorthogonality The frame operator ^ associated with a frame { fn} in a Hilbert space * is a linear operator defined as ^x ¼

1 X

hx, fn ifn

(6:74)

n¼1

The summation can be shown to be convergent by using the definition of the frame. The frame operator ^ takes a vector x 2 * and produces another vector in *. The norm of ^ x is bounded as follows: Akxk  k^xk  Bkxk

(6:75)

The frame operator is a bounded linear operator (because B < 1; hence, it is a continuous operator [12]. Its inverse is also a bounded linear operator because A > 0). From Equation 6.74 we obtain h^x, xi ¼ Snjhx, fnij2 by interchanging the inner product with the infinite summation. This is permitted by the continuity of the operator ^ and the continuity of inner products (Section 6.6). Because { fn} is complete, the right-hand side is positive for x 6¼ 0. Thus, h^x, xi > 0 unless x ¼ 0, that is, ^ is a positive definite operator. The realness of h^x, xi also means that ^ is self-adjoint, or h^x, yi ¼ hx, ^yi for any x, y 2 *. The importance of the frame operator arises from the fact that if we define gn ¼ ^1fn, any x 2 * can be expressed as x¼

1 X

hx, gn ifn ¼

n¼1

1 X

hx, fn ign

(6:76)

n¼1

The sequence {gn} is itself a frame in * called the dual frame. It has frame bounds B1 and A1. Among all representations of the form x ¼ Sncnfn, the representation x ¼ Snhx, gnifn possesses the special property that the energy of the coefficients is minimized, i.e., Snjhx, gnij2  Snjcnj2 with equality iff cn ¼ hx, gni for all n [12]. As argued earlier, the computation of hx, fni from x and the inverse computation of x from hx, fni are numerically stable operations because B < 1 and A > 0, respectively. For the special case of a tight frame (A ¼ B), the frame operator is particularly simple. We have ^x ¼ Ax, and so gn ¼ ^1 fn ¼ fn=A. Any vector x 2 * can be expressed as 1 1 X hx, fn ifn x¼ A n¼1

(tight frames)

(6:77)

Notice also that Equation 6.73 gives 1 X

jhx, fn ij2 ¼ Akxk2

(tight frames)

(6:78)

n¼1

For a tight frame with A ¼ 1, these equations resemble the representation of x using an orthonormal basis, even though such a tight frame is not necessarily a basis because of possible redundancy (Example 6.7).

Wavelet Transforms

6-47

Frames Tight frames (A = B)

Exact frames Riesz bases Orthonormal bases normalized tight frames with A = 1

Bases

FIGURE 6.30 Venn diagram showing the relation between frames and bases in a Hilbert space.

Exact Frames and Biorthogonality For the special case of an exact frame (i.e., a Riesz basis) the sequence { fn} is minimal, and it is biorthogonal to the dual frame sequence {gn}. This is consistent with our observation at the end of Section 6.7. Summary of Frames A sequence of vectors { fn} in a Hilbert space * is a frame if there exist constants A > 0 and B < 1 such that Equation 6.73 holds for every vector x 2 *. Frames are complete (because A > 0), but not necessarily linearly independent. The constants A and B are called the frame bounds. A frame is tight if A ¼ B. A tight frame with A ¼ B ¼ 1 and with normalized vectors (kfnk ¼ 1) is an orthonormal basis. For a tight frame with kfnk ¼ 1, the frame bound A measures redundancy. Any vector x 2 * can be expressed in either of the two ways shown in Equation 6.76. Here, gn ¼ ^1 fn, where ^ is the frame operator defined in Equation 6.74. The frame operator is a bounded linear operator and is self-adjoint (in fact, positive). The sequence {gn} is the dual frame and has frame bounds B1 and A1. For a tight frame the frame representation reduces to Equation 6.77. A frame is exact if deletion of any vector fm destroys the frame property. A sequence { fn} is an exact frame iff it is a Riesz basis. An exact frame { fn} is biorthogonal to the dual frame {gn}. Figure 6.30 is a Venn diagram, which shows the classification of frames and bases and the relationship between these.

6.9 Short-Time Fourier Transform: Invertibility, Orthonormality, and Localization In Section 6.8, we saw that a vector x in an infinite dimensional Hilbert space (e.g., a function x(t) in L2) can be expanded in terms of a sequence of vectors { fn} called a frame, that is x ¼ St1¼ 1 hx, gni fn. One of the most important features of frames is that the construction of the expansion coefficients hx, gni from x as well as the reconstruction of x from these coefficients are numerically stable operations

Fundamentals of Circuits and Filters

6-48

because A > 0 and B < 1 (Section 6.8). Riesz and orthonormal bases, which are special cases of a frame (Figure 6.30), also share this numerical stability. In Section 6.3, we attempted to represent an L2 function in terms of the STFT. The STFT coefficients are constructed using the integral (Equation 6.24). Denote for simplicity gkn (t) ¼ v*(t  nTs )e jk vs t

(6:79)

The computation of the STFT coefficients can be written as XSTFT (kvs , nTs ) ¼ hx(t), gkn (t)i

(6:80)

This is a linear transformation which converts x(t) into a two-dimensional sequence because k and n are integers. Our hope is to be able to reconstruct x(t) using an inverse linear transformation (inverse STFT) of the form x(t) ¼

1 1 X X

XSTFT (kvs , nTs )fkn (t)

(6:81)

k¼1 n¼1

We know that this can be done in a numerically stable manner if {gkn(t)} is a frame in L2 and { fkn(t)} is the dual frame. The fundamental questions, then, are under what conditions does {gkn(t)} constitute a frame? Under what further conditions does this become a Riesz basis, better still, an orthonormal basis? With such conditions, what are the time–frequency localization properties of the resulting STFT? The answers depend on the window v(t) and the sample spacings vs and Ts. We first construct a very simple example which shows the existence of orthonormal STFT bases, and indicate a fundamental disadvantage in the example. We then state the answers to the above general questions without proof. Details can be found in Refs. [5,12,16].

Example 6.8:

Orthonormal Short-Time Fourier Transform Basis

Suppose v(t) is the rectangular window shown in Figure 6.31, applied to an L2 function x(t). The product x(t)v(t) therefore has finite duration. If we sample its FT at the rate vs ¼ 2p we can recover x(t)v(t) from these samples (this is like a Fourier series of the finite duration waveform x(t)v(t)). Shifting the window by successive integers (i.e., Ts ¼ 1), we can in this way recover successive pieces of x(t) from the STFT, with sample spacing vs ¼ 2p in the frequency domain. Thus, the choice Ts ¼ 1 and vs ¼ 2p (so, vsTs ¼ 2p) leads to an STFT XSTFT(kvs, nTs), from which we can reconstruct x(t) for all t. The quantity gkn(t) becomes

gkn (t) ¼ v(t  n)e jk vs t ¼ v(t  n)e j2pkt

(6:82)

Because the successive shifts of the window do not overlap, the functions gkn(t) are orthonormal for different values of n. The functions are also orthonormal for different values of k. Summarizing, the rectangular window of Figure 6.31, with the v(t –1) v(t) time–frequency sampling durations Ts ¼ 1 and vs ¼ 2p, produces an orthonormal x(t) STFT basis for L2 functions. This example is reminiscent of the Nyquist sampling theorem in the sense t that we can reconstruct x(t) from (time– 0 1 frequency) samples, but the differences are that x(t) is an L2 signal, not necessarily

FIGURE 6.31

Rectangular window in STFT.

Wavelet Transforms

6-49

band-limited. Note that Ts and vs cannot be arbitrarily interchanged (even if vsTs ¼ 2p is preserved). Thus, if we had chosen Ts ¼ 2 and vs ¼ p (preserving the product vsTs) we would not have obtained a basis because two successive positions of the window would be spaced too far apart and we would miss 50% of the signal x(t).

6.9.1 Time–Frequency Sampling Density for Frames and Orthonormal Bases

Ð Let us assume that v(t) is normalized to have unit energy, i.e., jv(t)j2 dt ¼ 1 so that k gkn(t)k ¼ 1 for all k, n. If we impose the condition that gkn(t) be a frame, then it can be shown that the frame bounds satisfy the condition A

2p B vs Ts

(6:83)

regardless of how v(t) is chosen. As an orthonormal basis is a tight frame with A ¼ B ¼ 1, an STFT orthonormal basis must therefore have vsTs ¼ 2p. It can further be shown that if vsTs > 2p, {gkn(t)} cannot be a frame. For vsTs < 2p, we can find frames (but not orthonormal basis) by appropriate choice of window v(t). The critical time–frequency sampling density is (vsTs)1 ¼ (2p)1. If the density is smaller we cannot have frames, and if it is larger we cannot have orthonormal basis, only frames. 6.9.1.1 Orthonormal Short-Time Fourier Transform Bases Have Poor Time–Frequency Localization If we wish to have an orthonormal STFT basis, the time–frequency density is constrained so that vsTs ¼ 2p. Under this condition suppose we choose v(t) appropriately to design such a basis. The time–frequency localization properties of this system can be judged by computing the mean square durations Dt2 and Df2 defined in Equation 6.27 It has been shown by Balian and Low [5,16] that one of these is necessarily infinite no matter how v(t) is designed. Thus, an orthonormal STFT basis always satisfies DtDf ¼ 1. That is, either the time localization or the frequency resolution is very poor. This is summarized in the following theorem.

THEOREM 6.5 Let the window v(t) be such that {gkn(t)} in Equation 6.79 is an orthonormal basis for L2 (which means, in particular, that vsTs ¼ 2p). Define the RMS durations Dt and Df for the window v(t) as usual (Equation 6.27). Then, either Dt ¼ 1 or Df ¼ 1. Return now to Example 6.8, where we constructed an orthonormal STFT basis using the rectangular window of Figure 6.31. Here, Ts ¼ 1 and vs ¼ 2p so that vsTs ¼ 2p. The windowÐ v(t) has finite mean square duration Dt2. Its FT V(v) has magnitude jV(v)j ¼ jsin(v=2)=(v=2)j so that v2jV(v)j2 dv is not finite. This demonstrates the result of Theorem 6.5. One can try to replace the window v(t) with something for which DtDf is finite, but this cannot be done without violating orthonormality. 6.9.1.2 Instability of the Gabor Transform Gabor constructed the STFT using the Gaussian window v(t) ¼ cet =2. In this case the sequence of functions {gkn(t)} can be shown to be complete in L2 (in the sense defined in Section 6.7) as long as vsTs  2p. However, if vsTs ¼ 2p the system is not a frame because it can be shown that A ¼ 0 in Equation 6.73. Thus, the reconstruction of x(t) from XSTFT(kvs, nTs) is unstable if vsTs ¼ 2p (Section 6.8), even though {gkn(t)} is complete. Although the Gabor transform has the ideal time–frequency 2

Fundamentals of Circuits and Filters

6-50

ωs

ωsTs > 2π; no frames possible

ωsTs < 2π Good tight frames possible

ωsTs = 2π; necessary condition for orthonormality. Orthonormality implies DtDf = ∞, so poor time–frequency localization

Ts

FIGURE 6.32 Behavior of STFT representation for various regions of time–frequency sampling product vsTs. The curve vsTs ¼ 2p is critical; see text.

localization (minimum Dt Df), it cannot provide a stable basis; hence, it is certainly not an orthonormal basis, whenever vsTs ¼ 2p. Because orthonormal STFT basis is not possible if vsTs 6¼ 2p, this shows that an orthonormal basis can never be achieved with the Gabor transform (Gaussian windowed STFT), no matter how we choose vs and Ts. The Gabor example also demonstrates the fact that even if we successfully construct a complete set of functions (not necessarily a basis) to represent x(t), it may not be useful because of the instability of reconstruction. If we construct Riesz bases (e.g., orthonormal bases) or more generally frames, this disadvantage disappears. For example, with the Gabor transform if we let vsTs< 2p then all is well. We obtain a frame (so A > 0 and B < 1 in Equation 6.73); we have stable reconstruction and good time– frequency localization, but not orthonormality. Figure 6.32 summarizes these results pertaining to the time–frequency product vsTs in the STFT. A major advantage of the WT over the STFT is that it is free from the above difficulties. For example, we can obtain an orthonormal basis for L2 with excellent time–frequency localization (finite, controllable DtDf). We will also see how to constrain such a wavelet c(t) to have the additional property of regularity or smoothness. Regularity is a property which is measured by the continuity and differentiability of c(t). More precisely, it is quantified by the Hölder index (defined in Section 6.13). In the next few sections where we construct wavelets based on paraunitary filter banks, we will see how to achieve all this systematically.

6.10 Wavelets and Multiresolution Sections 6.11 through 6.13 show how to construct compactly supported wavelets systematically to obtain orthonormal bases for L2. The construction is such that excellent time–frequency localization is possible. Moreover, the smoothness or regularity of the wavelets can be controlled. The construction is based on the two-channel paraunitary filter bank described in Section 6.4. In that section, we denoted the synthesis filters as Gs(z) and Hs(z), with impulse response gs(n) and hs(n), respectively. All constructions are based on obtaining the wavelet c(t) and an auxiliary function f(t), called the scaling function, from the impulse response sequences gs(n) and hs(n). We do this by using time domain recursions of the form f(t) ¼ 2

1 X n¼1

gs (n)f(2t  n),

c(t) ¼ 2

1 X n¼1

hs (n)f(2t  n)

(6:84)

Wavelet Transforms

6-51

called dilation equations. Equivalently, in the frequency domain F(v) ¼ Gs (e jv=2 )F(v=2),

C(v) ¼ Hs (e jv=2 )F(v=2)

(6:85)

If {Gs(z), Hs(z)} is a paraunitary pair with further mild conditions (e.g., that the low-pass filter Gs(e jv) has a zero at p and no zeroes in [0, p=3]) the preceding recursions can be solved to obtain c(t), which gives rise to an orthonormal wavelet basis {2k=2c(2kt  n)} for L2. By constraining Gs(e jv) to have a sufficient number of zeroes at p we can further control the Hölder index (or regularity) of c(t) (Section 6.13). Our immediate aim is to explain the occurrence of the function f(t), and the curious recursions (Equation 6.84) called the dilation equations or two-scale equations. These have origin in the beautiful theory of multiresolution for L2 spaces [4,11]. Because multiresolution theory lays the foundation for the construction of the most practical wavelets to date, we give a brief description of it here.

6.10.1 Idea of Multiresolution Return to Figure 6.13a, where we interpreted the wavelet transformation as a bank of continuous time analysis filters followed by samples, and the inverse transformation as a bank of synthesis filters. Assume for simplicity the filters are ideal bandpass. Figure 6.13b is a sketch of the frequency responses. The bandpass filters Fk(v) ¼ 2k=2c(v=2k) become increasingly narrow as k decreases (i.e., as k becomes more and more negative). Instead of letting k be negative, suppose we keep only k  0 and include a low-pass filter F(v) to cover the low frequency region. Then, we get the picture of Figure 6.33. This is analogous to Figure 6.12, where we used the pulse function f(t) instead of using negative k in c(2kt  n). Imagine for a moment that F(v) is an ideal low-pass filter with cutoff p. Then we can represent any 2 L function F(v) with support restricted to p in the form F(v) ¼ Sn1¼ 1 an F(v)ejvn. This is simply the FS expansion of F(v) in [p, p], and it follows that Snjanj2 < 1 (Theorem 6.1). In the time domain, this means f (t) ¼

1 X

an f(t  n)

(6:86)

n¼1

Let us denote by V0 the closure of the span of {f(t  n)}. Thus, V0 is the class of L2 signals that are bandlimited to [p, p]. Because f(t) is the sinc function, the shifted functions {f(t  n)} form an orthonormal basis for V0. Consider now the subspace W0  L2 of bandpass functions band-limited to p < jvj  2p. The bandpass sampling theorem (Section 6.2) allows us to reconstruct such a bandpass signal g(t) from its samples g(n) by using the ideal filter C(v). Denoting the impulse response of C(v) by c(t) we see

Ψ(ω) = F0(ω)

Fk(ω) = 2–k/2 Ψ(2–kω)

F1(ω)

F2(ω)

1 ω –8π

–4π

–2π

0

π







1 Φ(ω) ω –π

0

π

FIGURE 6.33 Low-pass function F(v), bandpass function C(v), and stretched bandpass filters Fk(v).

Fundamentals of Circuits and Filters

6-52

V3 V2 V1 V0 W0 0

FIGURE 6.34

π



W1

W2 4π

ω 8π

Toward multiresolution analysis. The spaces {Vk} and {Wk} spanned by various filter responses.

that {c(t  n)} spans W0. It can be verified that {c(t  n)} is an orthonormal basis for W0. Moreover, as C(v) and F(v) do not overlap, it follows from Parseval’s theorem that W0 is orthogonal to V0. Next, consider the space of all signals of the form f (t) þ g(t), where f (t) 2 V0 and g(t) 2 W0. This space is called the direct sum (in this case, orthogonal sum) of V0 and W0, and is denoted as V1 ¼ V0  W0. It is the space of all L2 signals band limited to [2p, 2p]. We can continue in this manner and define the spaces Vk and Wk for all k. Then, Vk is the space of all L2 signals band limited to [2kp, 2kp], and Wk is the space of L2 functions band limited to 2kp < jvj  2kþ1p. The general recursive relation is Vkþ1 ¼ Vk  Wk. Figure 6.34 demonstrates this for the case in which the filters are ideal bandpass. Only the positive half of the frequency axis is shown for simplicity. It is clear that we could imagine V0 itself to be composed of subspaces V1 and W1. Thus, V0 ¼ V1  W1, V1 ¼ V2  W2, and so forth. In this way, we have defined a sequence of spaces {Vk} and {Wk} for all integers k such that the following conditions are true: Vkþ1 ¼ Vk  Wk ,

Wk ?Wm ,

k 6¼ m

(6:87)

where ? means ‘‘orthogonal,’’ i.e., the functions in Wk are orthogonal to those in Wm. It is clear that Vk  Vkþ1. We will see later that even if the ideal filters F(v) and C(v) are replaced with nonideal approximations, we can sometimes define sequences of subspaces Vk and Wk satisfying the above conditions. The importance of this observation is that whenever C(v) and F(v) are such that we can construct such a subspace structure, the impulse response c(t) of the filter C(v) can be used to generate an orthonormal wavelet basis. Although this might appear to be too complicated and convoluted, we will see that the construction of the function f(t) is quite simple and elegant, and simplifies the construction of orthonormal wavelet bases. A realization of these ideas based on paraunitary filter banks is presented in Section 6.11. It is now time to be more precise with definitions as well as statements of the results.

6.10.2 Definition of Multiresolution Analysis Consider a sequence of closed subspaces {Vk} in L2, satisfying the following six properties: 1. Ladder property:    V2  V1  V0  V1  V2    . 1 T Vk ¼ {0}. 2. k¼1 S 2 3. Closure of 1 k¼1 Vk is equal to L . 4. Scaling property : x(t) 2 Vk iff x(2t) 2 Vkþ1. Because this implies ‘‘x(t) 2 V0 iff x(2k t) 2 Vk,’’ all the spaces Vk are scaled versions of the space V0. For k > 0, Vk is a finer space than V0. 5. Translation invariance: If x(t) 2 V0, then x(t  n) 2 V0; that is, the space V0 is invariant to translations by integers. By the previous property, this means that Vk is invariant to translations by 2kn.

Wavelet Transforms

6-53

6. Special orthonormal basis: A function f(t) 2 V0 exists such that the integer shifted versions {f(t  n)} form an orthonormal basis for V0. Employing property 4, this means that {2k=2 f(2kt  n)} is an orthonormal basis for Vk. The function f(t) is called the scaling function of multiresolution analysis. Comments on the Definition Notice that the scaling function f(t) determines V0, hence all Vk. We say that f(t) generates the entire multiresolution analysis {Vk}. The sequence {Vk} is said to be a ladder of subspaces because of the inclusion property Vk  Vkþ1. The technical terms closed and closure, which originate from metric space theory, have simple meanings in our context because L2 is a Hilbert space. Thus, the subspace Vk is ‘‘closed’’ if the following is true: whenever a sequence of functions { fn(t)} 2 Vk converges to a limit f (t) 2 L2 (i.e., kf (t)  fn(t)k ! 0 as n ! 1), the limit f (t) is in Vk itself. In general, an infinite union of closed sets is not closed, which is why we need to take ‘‘closure’’ in the third property on page 187. The third property simply means that any element x(t)L2 can be approximated arbitrary closely (in the S L2 norm sense) by an element in 1 k¼1 Vk . General Meaning of Wk In the general setting of the previous definition, the subspace Wk is defined as the orthogonal complement of Vk with respect to Vkþ1. Thus, the relation Vkþ1 ¼ Vk  Wk, which was valid in the ideal bandpass case (Figure 6.34), continues to hold. Haar Multiresolution A simple example of multiresolution in which F(v) is not ideal low-pass is the Haar multiresolution, generated by the function f(t) in Figure 6.35a. Here, V0 is the space of all functions that are piecewise constants on intervals of the form [n, n þ 1]. We will see later that the function c(t) associated with this example is as in Figure 6.35b—the space W0 is spanned by {c(t  n)}. The space Vk contains functions that are constants in [2k n, 2k (n þ 1)]. Figure 6.35c and d shows examples of functions belonging to V0 and V1. For this example, the six properties in the definition of multiresolution are particularly clear (except perhaps property 3, which also can be proved). The multiresolution analysis generated by 1 φ(t), the scaling function the ideal bandpass filters (Figures 6.33 and t 6.34) is another simple example, in which 0 1 f(t) is the sinc function. We see that the two (a) elementary orthonormal wavelet examples 1 ψ(t) (Haar wavelet and the ideal bandpass wavelet) 1 t also generate a corresponding multiresolution 0 analysis. The connection between wavelets (b) and multiresolution is deeper than this, and A function in V0 is elaborated in the following section. Derivationpofffiffiffi the Dilation Equation Because { 2f(2t  n)} is an orthonormal basis for V1 (see p. 6-53), and because f(t) 2 V0  V1, f(t) can be expressed as a linear combination of the functions pffiffiffi { 2f(2t  n)}: f(t) ¼ 2

1 X

gs (n)f(2t  n)

n¼1

(dilation equation)

(6:88)

t (c) A function in V1

t (d)

FIGURE 6.35 Harr multiresolution example. (a) The scaling function f(t) that generates multiresolution, (b) the function c(t) that generates W0, (c) example of a member of V0, and (d) example of a member of V1.

Fundamentals of Circuits and Filters

6-54

Thus, the dilation equation arises naturally out of the multiresolution condition. For example, the Haar scaling function f(t) satisfies the dilation equation f(t) ¼ f(2t) þ f(2t  1)

(6:89)

The notation gs(n) and the factor 2 in the dilation equation might appear arbitrarypnow, ffiffiffi but are convenient for future use. Orthonormality of {f(t  n)} implies that kf(t)k ¼ 1, and that { 2f(2t  n)} are orthonormal. Therefore, Snjgs(n)j2 ¼ 0.5 from Equation 6.88.

Example 6.9:

Nonorthonormal Multiresolution

Consider the triangular function shown in Figure 6.36a. This has kf(t)k ¼ 1 and satisfies the dilation equation

f(t) ¼ f(2t) þ 0:5f(2t  1) þ 0:5f(2t þ 1)

(6:90)

as demonstrated in Figure 6.36b. With Vk denoting the closure of the span of {2k=2f(2kt  n)} it can be shown that the spaces {Vk} satisfy all the conditions in the multiresolution definition, except one. Namely, {f(t  n)} does not form an orthonormal basis (for example, compare f(t) and f(t  1)). We will see later (Example 6.10) that it does form a Riesz basis and that it can be converted into an orthonormal basis by orthonormalization. This example is a special case of a family of scaling functions called spline functions [15]. We will see below that starting from an orthonormal multiresolution system (in particular from the function f(t)) one can generate an orthonormal wavelet basis for L2. The wavelet bases generated from splines f(t) after orthonormalization are called spline wavelets [15]. These are also called the Battle– Lemarié family of wavelets. The link between multiresolution analysis and wavelets is explained quantitatively in Section 6.10.3. Multiresolution Approximation of L2 Functions S1 T 2 Given a multiresolution analysis, we know that 1 k¼1Vk ¼ {0} and that the closure of k¼1Vk ¼ L . 2 From this it can be shown that the Wk make up the entire L space, that is 1

L2 ¼  Wk

(6:91a)

k¼1

φ(t) √3/2

(a)

–1

1 φ(2t)

φ(2t + 1)

(b)

–1 –0.5

√3/2

0

0.5

t

φ(2t – 1)

1

t

FIGURE 6.36 Example of a scaling function f(t) generating nonorthogonal multiresolution. (a) The scaling function and (b) demonstrating the dilation equation.

Wavelet Transforms

6-55

We can approximate an arbitrary L2 function x(t) to a certain degree of accuracy by projecting it onto Vk for appropriate k. Thus, let xk(t) be this orthogonal projection (Section 6.2). Suppose we increase k to k þ 1. Because Vkþ1 ¼ Vk  Wk and Wk is orthogonal to Vk, we see that the new approximation xkþ1(t) (projection onto the finer space Vkþ1) is given by xkþ1(t) ¼ xk(t) þ yk(t), where yk(t) is in Wk. Thus, when we go from scale k to scale k þ 1 we go to a larger space Vkþ1 Vk, which permits a finer approximation. This is nicely demonstrated in the two extreme examples mentioned previously. For the example with ideal filters (Figures 6.33 and 6.34), the process of passing from scale k to k þ 1 is like admitting higher frequency components, which are orthogonal to the existing low-pass components. For the Haar example (Figure 6.35) where c(t) and f(t) are square pulses, when we pass from k to k þ 1 we permit finer pulses (i.e., highly localized finer variations in the time domain). For this example, Figure 6.35c and d demonstrates the projections xk(t) and xkþ1(t) at two successive resolutions. The projections are piecewise-constant approximations of an L2 signal x(t). By repeated application of Vkþ1 ¼ Vk  Wk, we can express V0 as 1

V0 ¼  Wk

(6:91b)

L2 ¼ V0  W0  W1  W2    

(6:91c)

k¼1

which, together with Equation 6.91a, yields

This has a nice interpretation based on Figure 6.34. The L2 signal x(t) has been decomposed into orthogonal components belonging to V0 (low-pass component), W0 (bandpass component), W1 (bandpass with higher bandwidth and center frequency), etc. We can find an infinite number of multiresolution examples by choosing f(t) appropriately. It is more important now to obtain systematic techniques for constructing such examples. The quality of the example is governed by the quality of c(t) and f(t)—the time localization and frequency resolution they can provide, the smoothness (regularity) of these functions, and the ease with which we can implement these approximations.

6.10.3 Relation between Multiresolution and Wavelets Suppose f(t) 2 L2 generates an orthonormal multiresolution {Vk}, as defined in Section 6.10.2. We know f(t) 2 V0 and that {f(t  n)} is an orthonormal basis for V0. Moreover, f(t) satisfies the dilation Equation 6.88, and the sequence {gs(n)} 2 ‘2 defines the filter Gs(e jv). Now consider the finer spacepVffiffi1ffi ¼ V0  W0, where W0 is orthonormal to V0. If f (t) 2 W0 then f (t) 2 V1, so it is a linear combination of 2f(2t  n) (property 6; see definitions). Using this and the fact that W0 is orthogonal to V0, we can show that F(v) (the L2-FT of f (t)) has a special form. This is given by F(v) ¼ e jv=2 Gs*(e jv=2 )F(v=2)H(e jv ) where H(e jv) is 2p-periodic. The special case of this with H(e jv) ¼ 1 is denoted C(v); that is C(v) ¼ e jv=2 Gs*(e jv=2 )F(v=2)

(6:92)

The above definition of C(v) is equivalent to c(t) ¼ 2

1 X n¼1

(1)nþ1 gs*(n  1)f(2t  n)

[dilation equation for c(t)]

(6:93)

Fundamentals of Circuits and Filters

6-56

The function c(t) satisfying this equation has some useful properties. First, it is in L2. This follows from P Theorem 6.2 (Riesz–Fisher Theorem), because n jgs (n)j2 is finite. It can be shown that c(t  n) 2 W0 and that {c(t  n)} is an orthonormal basis for W0. This implies that {2k=2c(2k t  n)} is an orthonormal basis for Wk because f (t) 2 W0 iff f (2kt) 2 Wk, which is a property induced by the scaling property (property 4 in the definition of multiresolution). In view of Equation 6.91 we conclude that the sequence {2k=t c(2k t  n)}, with k and n varying over all integers, forms a basis for L2. Summarizing we have the following result:

THEOREM 6.6: (Multiresolution and Wavelets) Let f(t) 2 L2 generate an orthonormal multiresolution, i.e., a ladder of spaces {Vk} satisfying the six properties in the definition of multiresolution; {f(t  n)} is an orthonormal basis for V0. Then, f(t) satisfies the dilation Equation 6.88 for some gs(n) with Sn jgs(n) j2 ¼ 0.5. Define the function c(t) according to the dilation Equation 6.93. Then, c(t) 2 W0  L2, and {c(t  n)} is an orthonormal basis for W0. Therefore, {2k=2 c(2k t  n)} is an orthonormal basis for Wk, just as {2k=2 f(2k t  n)} is an orthonormal basis for Vk (for fixed k). Moreover, with k and n varying over all integers, the doubly indexed sequence {2k=2 c(2k t  n)} is an orthonormal wavelet basis for L2. Thus to construct a wavelet basis for L2 we have only to construct an orthonormal basis {f(t  n)} for V0. Everything else follows from that. All proofs can be found in Refs. [5,11,15].

6.10.4 Relation between Multiresolution Analysis and Paraunitary Filter Banks Denoting hs (n) ¼ (1)nþ1 gs*(1  n),

i:e:, Hs (e jv ) ¼ e jv Gs*(e jv )

we see that f(t) and c(t) satisfy the two dilation equations in Equation 6.84. By construction, c(t) 2 W0 and f(t) 2 V0. The fact that W0 and V0 are mutually orthogonal subspaces can be used to show that Hs(e jv) and Gx(e jv) satisfy Gs*(e jv )Hs (e jv ) þ !Gs*(e jv )Hs (e jv ) ¼ 0

(6:94)

Also, orthonormality of {f(t  n)} leads to the power complementary property   jv 2   jv 2 Gs e  þ Gs e  ¼ 1

(6:95)

In other words, Gs(e jv) is a power symmetric filter. That is, the filter jGs(e jv)j2 is a half-band filter. Using Hs(e jv) ¼ e jvGs(e jv), we also have   jv 2   jv 2 Hs e  þ Hs e  ¼ 1

(6:96)

A compact way to express the above three equations is by defining the matrix 

Hs (e jv ) Gs (e jv ) Gs (e ) ¼ jv Gs (e ) Hs (e jv )



jv

The three properties in Equations 6.94 through 6.96 are equivalent to Gys (e jv)Gs(e jv) ¼ I; i.e., the matrix Gs(e jv) is unitary for all v. This matrix was defined in Section 6.4 in the context of paraunitary digital

Wavelet Transforms

6-57

filter banks. Thus, the filters Gs(e jv) and Hs(e jv) constructed from a multiresolution setup constitute a paraunitary (CQF) synthesis bank. Thus, orthonormal multiresolution automatically gives rise to paraunitary filter banks. Starting from a multiresolution analysis we obtained two functions f(t) and c(t). These functions generate orthonormal bases {f(t  n)} and {c(t  n)} for the orthogonal subspaces V0 and W0. The functions f(t) and c(t) generated in this way satisfy the dilation equations (Equation 6.84). Defining the filters Gs(z) and Hs(z) from the coefficients gs(n) and hs(n) in an obvious way, we find that these filters form a paraunitary pair. This raises the following fundamental question: If we start from a paraunitary pair {Gs(z), Hs(z)} and define the functions f(t) and c(t) by (successfully) solving the dilation equations, do we obtain an orthonormal basis {f(t  n)} for multiresolution, and a wavelet basis {2k=2 c(2k t  n)} for the space of L2 functions? The answer, fortunately, is in the affirmative, subject to some minor requirements which can be trivially satisfied in practice. 6.10.4.1 Generating Wavelet and Multiresolution Coefficients from Paraunitary Filter Banks Recall that the subspaces V0 and W0 have the orthonormal bases {f(t  n)} and {c(t  n)}, respectively. By the scaling property, the subspace Vk has the orthonormal basis {fkn(t)}, and similarly the subspace Wk has the orthonormal basis {ckn(t)}, where, as usual, fkn(t) ¼ 2k=2f (2k t  n) and ckn(t) ¼ 2k=2 c(2kt  n). The orthogonal projections of a signal x(t) 2 L2 onto Vk and Wk are given, respectively, by Pk [x(t)] ¼ Qk [x(t)] ¼

1 X n¼1 1 X

hx(t), fkn (t)ifkn (t)

and (6:97)

hx(t), ckn (t)ickn (t)

n¼1

(Section 6.2). Denote the scale-k projection coefficients as dk(n) ¼ hx(t), fkn(t)i and ck(n) ¼ hx(t), ckn(t)i for simplicity. (The notation ckn was used in earlier sections, but ck(n) is convenient for the present discussion.) We say that dk(n) are the multiresolution coefficients at scale k and ck(n) are the wavelet coefficients at scale k. Assume that the projection coefficients dk(n) are known for some scale, e.g., k ¼ 0. We will show that dk(n) and ck(n) for the coarser scales, i.e., k ¼ 1, 2, . . . can be generated by using a paraunitary analysis filter bank {Ga(e jv), Ha(e jv)}, corresponding to the synthesis bank {Gs(e jv), Hs(e jv)} (Section 6.4). We know f(t) and c(t) satisfy the dilation equations (Equation 6.84). By substituting the dilation equations into the right-hand sides of fkn(t) ¼ 2k=2 f(2k t  n) and ckn(t) ¼ 2k=2 c(2k t  n), we obtain 1 pffiffiffi X gs (m  2n)fkþ1, m (t) and fkn (t) ¼ 2 m¼1

1 pffiffiffi X hs (m  2n)fkþ1, m (t) ckn (t) ¼ 2

(6:98)

m¼1

A computation of the inner products dk(n) ¼ hx(t), fkn(t)i and ck(n) ¼ hx(t), ckn(t)i yields dk (n) ¼ ck (n) ¼

1 pffiffiffi X 2ga (2n  m)dkþ1 (m) m¼1 1 pffiffiffi X

2ha (2n  m)dkþ1 (m)

m¼1

where ga(n) ¼ gs*(n) and ha(n) ¼ hs*(n) are the analysis filters in the paraunitary filter bank.

(6:99)

Fundamentals of Circuits and Filters

6-58

dk+1(n) Multiresolution coeff. at level k+1

FIGURE 6.37

√2ga(n)

2

√2ha(n)

2

ck(n)

Wavelet coeff. at level k

Generating the wavelet and multiresolution coefficients at level k from level k þ 1. d–2(n)

d–1(n)

d0(n)

√2ga(n)

√2ga(n)

2

√2ha(n)

√2ha(n)

2

2

c–1(n) Scale 0

dk(n) Multiresolution coeff. at level k

√2ha(n)

2 c–2(n)

Scale –1

Multiresolution coefficients

2

Scale –2

c–3(n)

Wavelet coefficients

Scale –3

FIGURE 6.38 Tree-structured analysis bank generating wavelet coefficients ck(n) and multiresolution coefficients dk(n) recursively.

The beauty of these equations is that pffiffiffi they look like discrete-time convolutions. Thus, if dkþ1(n) is convolved with the impulse response 2ga (n) and the output decimated by 2, the result is the sequence dk(n). A similar statement follows for ck(n). The above computation can therefore be interpreted in filter bank form as in Figure 6.37. Because of the PR property of the two-channel system (Figure 6.22), it follows that we can reconstruct the projection coefficients dkþ1(n) from the projection coefficients dk(n) and ck(n). 6.10.4.2 Fast Wavelet Transform Repeated application of this idea results pffiffiffi 6.38, which is a tree-structured paraunitary filter bank pffiffiffi in Figure (Section 6.4) with analysis filters 2ga (n)and 2ha (n) at each stage. Thus, given the projection coefficients d0(n) for V0, we can compute the projection coefficients dk(n) and ck(n) for the coarser spaces V1, W1, V2, W2,. . . . This scheme is sometimes referred to as the fast wavelet transform (FWT). Figure 6.39 shows a schematic of the In this figure each node (heavy dot) represents a decimated pffiffiffi pffifficomputation. ffi paraunitary analysis bank { 2ga (n), 2ha (n)}. The subspaces Wm and Vm are indicated in the figure rather than the projection coefficients.

V–1

V–2

V–3

V0

W–1

W–2

W–3

FIGURE 6.39 Schematic of the tree-structured filter bank, which generates the coefficients of the projections onto Vk and Wk.

Computation of the Initial Projection Coefficient: Everything depends on the computation of d0(n). Note that d0(n) ¼ hx(t), f(t  n)i, Ð which can be written as the integral d0(n) ¼ x(t)f*(t  n)dt. An elaborate computation of this integral is avoided in practice. If the scale k ¼ 0 is fine enough—if x(t) does not change much within the duration where f(t) is significant—we can approximate this integral with the sample value x(n); i.e., d0(n) x(n). Improved approximations of d0(n) have been suggested by other authors, but are not reviewed here.

Wavelet Transforms

6-59

6.10.5 Continuous Time Filter Banks and Multiresolution The preceding discussions show the deep connection between orthonormal multiresolution analysis and discrete-time paraunitary filter banks. As shown by Equation 6.91c, any L2 signal x(t) can be written as a sum of its projections onto the mutually orthogonal spaces V0, W0, W1, etc.: x(t) ¼

X

d0 (n)f(t  n) þ

n

1 X X k¼0

ck (n)2k=2 c(2k t  n)

n

This decomposition itself can be given a simple filter bank interpretation, with continuous time filters P and samplers. For this, first note that the V0 component n d0 (n)f(t  n) can be regarded as the output P of a filter with impulse response f(t), with the input chosen as the impulse train n d0 (n)da (t  n). P Similarly, the Wk component n ck (n)2k=2 c(2k t  n) is the output of a filter with impulse response P fk(t) ¼ 2k=2c(2kt), in response to the input n ck (n)da (t  2k n). This interpretation is shown by the synthesis bank of Figure 6.40a. The projection coefficients d0(n) and ck(n) can also be interpreted nicely. For example, we have d0(n) ¼ hx(t), f(t  n)i by orthonormality. This inner product can be explicitly written out as ð d0 (n) ¼ x(t)f*(t  n)dt The integral can be interpreted as a convolution of x(t) with f*(t). Consider the output of the filter with impulse response f*(t), with the input chosen as x(t). This output, sampled at time n, gives d0(n).

d0(n)

x(t)

Sampler Ts = 1

φ*(–t)

Sampler Ts = 1

ψ*(–t)

c0(n)

x(t)

Signal in W0 ψ(t)

c1(n)

Signal in W1 √2ψ(2t)

Analysis bank (multiresolution analysis)

Frequency response magnitude

(a)

φ(t)

Sampler Ts = 0.5

√2ψ*(–2t)

Signal in V0

Synthesis bank

For φ(t) and φ*(–t) For ψ(t) and ψ*(–t) For √2 ψ(2t) and √2 ψ*(–2t) V0

W0

W1

W2

ω

V0 V1 = V0 W0

(b)

FIGURE 6.40

V2 = V1 W1

(a) Multiresolution analysis and resynthesis in filter bank form and (b) typical frequency responses.

Fundamentals of Circuits and Filters

6-60

Similarly, ck(n) can be interpreted as the output of the filter hk(t) ¼ 2k=2c*(2k t), sampled at the time 2kn. The analysis bank of Figure 6.40a illustrates this interpretation. Thus, the projection coefficients d0(n) and ck(n) are the sampled versions of the outputs of an analysis filter bank. Notice that all the filters in the filter bank are determined by the scaling function f(t) and the wavelet function c(t). Every synthesis filter fk(t) is the time-reversed conjugate of the corresponding analysis filter hk(t), that is, fk(t) ¼ h*k(t) (a consequence of orthonormality). In terms of frequency responses this means Fk(v) ¼ Hk*(v). For completeness of the picture, Figure 6.40b shows typical frequency response magnitudes of these filters.

6.10.6 Further Manifestations of Orthonormality The orthonormality of the basis functions {f(t  n)} and {c(t  n)} have further consequences, summarized below. A knowledge of these will be useful when we generate the scaling function f(t) and the wavelet function c(t) systematically in Section 6.11 from paraunitary filter banks. 6.10.6.1 Nyquist Property and Orthonormality Ð With f(t) 2 L2, the autocorrelation function R(t) ¼ f(t)f*(t  t)dt exists for all t because this is simply an inner product of two elements in L2. Clearly, R(0) ¼ jf(t)j2 ¼ 1. Further, the orthonormality property hf(t), f(t  n)i ¼ d(n) can be rewritten as R(n) ¼ d(n). Thus, R(t) has periodic zero crossings at nonzero integer values of t (Figure 6.41). This is precisely the Nyquist property familiar to communication engineers. The autocorrelation of the scaling function f(t) is a Nyquist function. The same holds for the wavelet function c(t). Ð Next, using Parseval’s identity for L2-FTs, we obtain hf(t), f(t  n)i ¼ F(v)F(v)e jvndv=2p ¼ d(n). If we decompose the integral into a sum of integrals over intervals of length 2p and use the 2p-periodicity of e jvn, we obtain, after some simplification: 1 X

jF(v þ 2pk)j2 ¼ 1

a:e:

(6:100)

k¼1

This is the Nyquist condition, now expressed in the frequency domain. The term a.e., almost everywhere, arises from the fact that we have drawn a conclusion about an integrand from the value of the integral. Thus, {f(t  n)} is orthonormal iff the preceding equation holds. A similar result follows for C(v), so orthonormality of {c(t  n)} is equivalent to 1 X

jC(v þ 2pk)j2 ¼ 1

a:e:

(6:101)

k¼1

6.10.6.2 Case in Which Equalities Hold Pointwise If we assume that all FTs are continuous, then equalities in the Fourier domain actually hold pointwise. This is the most common situation; in all examples here, the following are true: the filters Gs(e jv) and

1

R(τ)

τ 0

FIGURE 6.41

1

2

Example of an autocorrelation of the scaling function f(t).

Wavelet Transforms

6-61

Hs(e jv) are rational (FIR or IIR), so the frequency responses are continuous functions of v, and f(t) and c(t) are not only in L2, but also in L1; i.e., f(t), c(t) 2 L1 \ L2. Thus, F(v) and C(v) are continuous functions (Section 6.6). With the dilation equation F(v) ¼ Gs(ejv=2)F(v=2) holding pointwise, we have F(0) ¼ Gs(e j0)F(0). In all our applications F(0) 6¼ 0 (it is a low-pass filter), so Gs(e j0) ¼ 1. The power symmetry property     Gs (e jv )2 þ Gs (e jv )2 ¼ 1 then implies Gs(e jp) ¼ 0. Because the high-pass synthesis filter is Hs(e jv) ¼ e jvG*s (e jv) we conclude Hs(e j0) ¼ 0 and Hs(e jp) ¼ 1. Thus, Gs (e j0 ) ¼ 1,

Gs (e jp ) ¼ 0,

Hs (e j0 ) ¼ 0,

Hs (e jp ) ¼ 1

(6:102)

In particular, the low-pass impulse response gs(n) satisfies Sngs(n) ¼ 1. Because we already have Snjgs(n)j2 ¼ 0.5 (Theorem 6.6), we have both of the following: 1 X

gs (n) ¼ 1

1 X

and

n¼1

j gs (n)j2 ¼ 0:5

(6:103)

n¼1

From the dilation equation F(v) ¼ Gs(e jv=2)F(v=2), we obtain F(2pk) ¼ Gs(e jpk)F(pk). By using the fact that Gs(e jp) ¼ 0, and after elementary manipulations, we can show that F(2pk) ¼ 0,

k 6¼ 0

(6:104)

In other words, F(v) is itself a Nyquist function of v. If Equation 6.100 is assumed Ð to hold pointwise, the above implies that jF(0)j ¼ 1. Without loss of generality we will let F(0) ¼ 1, i.e., (f(t)dt ¼ 1. The dilation equation for the wavelet Ðfunction C(v) in Equation 6.85 shows that C(0) ¼ 0 (because Hs(e j0) ¼ 0 by Equation 6.102). That is, c(t)dt ¼ 0. Summarizing, the scaling and wavelet functions satisfy 1 ð

1 ð

f(t)dt ¼ 1, 1 1 ð

c(t)dt ¼ 0 1 ð

1

jf(t)j2 dt ¼ 1

and (6:105)

jc(t)j2 dt ¼ 1 1

where property 3 follows from orthonormality. These integrals make sense because of the assumption f(t) 2 L1 \ L2. Another result that follows from F(2pk) ¼ d(k) is that 1 X

f(t  n) ¼ 1

a:e:

(6:106)

n¼1

Thus, the basis functions of the subspace V0 add up to unity. Return to the Haar basis and notice how beautifully everything fits together.

6.10.7 Generating Wavelet and Multiresolution Basis by Design of f(t) Most of the well-known wavelet basis families of recent times were generated by first finding a scaling function f(t) such that it is a valid generator of multiresolution, and then generating c(t) from f(t). The first step, therefore, is to identify the conditions under which a function f(t) will be a valid scaling function (i.e., it will generate a multiresolution). Once this is done and we successfully identify the coefficients gs(n) in the dilation equation for f(t), we can identify the wavelet function c(t) using the

Fundamentals of Circuits and Filters

6-62

second dilation equation in Equation 6.84. From Theorem 6.6, we know that if c(t) is computed in this way, then {2k=2 c(2kt  n)} is an orthonormal wavelet basis for L2. The following results can be deduced from the many detailed results presented in Ref. [5].

THEOREM 6.7: (Orthonormal Multiresolution) Ð T Let f(t) satisfy the following conditions: f(t) 2 L1 L2, f(t) dt 6¼ 0 (i.e., F(0) 6¼ 0), f(t) ¼ 2Sngs(n) f(2t  n) for some {gs(n)}, and {f(t  n)} is an orthonormal sequence. Then the following are true: 1. f(t) generates a multiresolution. That is, if we define the space Vk to be the closure of the span of {2k=2 f(2kt  n)}, then the set of spaces {Vk} satisfies the six conditions in the definition of multiresolution. 2. Define c(t) ¼ 2Sn(1)nþ1 gs*(n 1) f (2t  n). Then, c(t) generates an orthonormal wavelet basis for L2 ; that is, {2k=2c(2kt  n)}, with k and n varying over all integers, is an orthonormal basis for L2. In fact, for fixed k, the functions {2k=2c(2kt  n)} from an orthonormal basis for the subspace Wk (defined following the definition of multiresolution analysis). Comments: In many examples, f(t) 2 L2, and it is compactly supported. Then it is naturally in L1 as well, so the assumption f(t) 2 L2 \ L1 is not too restrictive. Because L1 \ L2 is dense in L2, the previous 2 construction still gives pffiffiffi a wavelet basis for L . Notice also that the orthonormality of {f(t  n)} implies orthonormality of { 2f(2t  n)}. The recursion f(t) ¼ 2Sngs(n)f(2t  n), therefore, is a Fourier series for f(t) in L2. Thus the condition Sn jgs(n)j2 ¼ 0.5 is automatically implied. This is not explicitly stated as part of the conditions in the theorem. Orthonormalization We know that orthonormality of {f(t  n)} is equivalent to 1 X

jF(v þ 2pk)j2 ¼ 1

(6:107)

k¼1

Suppose now that this is not satisfied, but the weaker condition a

1 X

jF(v þ 2pk)j2  b

(6:108)

k¼1

holds for some a > 0 and b < 1. Then, it can be shown that, we can at least obtain a Riesz basis (Section 6.7) of the form {f(t  n)} for V0. We can also normalize it to obtain an orthonormal sequence ^  n)} from which an orthonormal wavelet basis can be generated in the usual way. The following {f(t theorem summarizes the main results.

THEOREM 6.8 Ð Let f(t) 2 L1 \ L2, f(t)dt 6¼ 0 (i.e., F(0) 6¼ 0), and f(t) ¼ 2Sngs(n)f(2t  n) with Snjgs(n)j2 < 1. Instead of the orthonormality condition (Equation 6.107), let Equation 6.108 hold for some a > 0 and b < 1. Then the following are true: 1. {f(t  n)} is a Riesz basis for the closure V0 of its span. 2. f(t) generates a multiresolution. That is, if we define the space Vk to be the closure of the span of {2k=2f(2kt  n)} the set of spaces {Vk} satisfies the six conditions in the definition of multiresolution.

Wavelet Transforms

6-63

^ in terms of its FT as If we define a new function f(t) ^ F(v) ¼ P

F(v)

2 k jF(v þ 2pk)j

0:5

(6:109)

^ generates an orthonormal multiresolution, and satisfies a dilation equation similar to Equation then f(t) ^ ¼ ^ in the usual way. That is, if f(t) 6.84. Using this we can define a corresponding wavelet function c(t) nþ1 ^ ^ ^ 2Sngs(n)f(2t  n), choose c(t) ¼ 2Snhs(n)f(2t  n), where hs(n) ¼ (1) gs*(n  1). This wavelet ^ generates an orthonormal wavelet basis for L2. Note that the basis is not necessarily compactly c(t) supported if we start with compactly supported f(t). An example is given in Figure 6.46b later.

Example 6.10:

Battle–Lemarié Orthonormal Wavelets from Splines

In Example 6.9, we considered a triangular f(t) (Figure 6.36), which generates a nonorthonormal multiresolution. In this example, we have

rffiffiffi  3 sin (v=2) 2 F(v) ¼ 2 (v=2)

(6:110)

and it can be shown that 1 X

jF(v þ 2pk)j2 ¼

k¼1

2 þ cos v 2

(6:111)

The inequality Equation 6.108 is satisfied with a ¼ 1=2 and b ¼ 3=2. Thus, we have a Riesz basis {f(t  n)} ^ as above and then for V0. From this scaling function, we can obtain the normalized function F(v) ^ generate the wavelet function c(t) as explained earlier. This gives an orthonormal wavelet basis for L2. ^ does not, however, have compact support (unlike f(t)). Thus, the wavelet function c(t) ^ generating f(t) the orthonormal wavelet basis is not compactly supported either.

6.11 Orthonormal Wavelet Basis from Paraunitary Filter Banks The wisdom gained from the multiresolution viewpoint (Section 6.10) tells us a close connection exists between wavelet bases and two-channel digital filter banks. In fact, we obtained the equations of a paraunitary filter bank just by imposing the orthonormality condition on the multiresolution basis functions {f(t  n)}. This section presents the complete story. Suppose we start from a two-channel digital filter bank with the paraunitary property. Can we derive an orthonormal wavelet basis from this? To be more specific, return to the dilation equations (Equation 6.84) or equivalently Equation 6.85. Here, gs(n) and hs(n) are the impulse response coefficients of the two synthesis filters Gs(e jv) and Hs(e jv) in the digital filter bank. Given these two filters, can we ‘‘solve’’ for f(t) and c(t)? If so, does this c(t) generate an orthonormal basis for L2 space? This section answers some of these questions. Unlike any other section, we also indicate a sketch of the proof for each major result, in view of the importance of these in modern signal processing theory. Recall first that under some mild conditions (Section 6.10) we can prove that the filters must satisfy Equations 6.102 and 6.103, if we need to generate wavelet and multiresolution bases successfully. We impose these at the outset. By repeated application of the dilation equation, we obtain F(v) ¼ Gs(e jv=2) Gs(e jv=4)F(v=4). Further indefinite repetition yields an infinite product. Using the condition F(0) ¼ 1, which we justified earlier, we obtain the infinite products F(v) ¼

1 Y k¼1

k

Gs (e jv=2 ) ¼ Gs (e jv=2 )

1 Y k¼2

k

Gs (e jv=2 )

(6:112a)

Fundamentals of Circuits and Filters

6-64

C(v) ¼ Hs (e jv=2 )

1 Y

k

Gs (e jv=2 )

(6:112b)

k¼2

The first issue to be addressed is the convergence of the infinite products above. For this we need to review some preliminaries on infinite products [22,23]. Ideal Bandpass Wavelet Rederived from the Digital Filter Bank: Before we address the mathematical details, let us consider a simple example. Suppose the pair of filters Gs(e jv) and Hs(e jv) are ideal brickwall low-pass and high-pass filters in Figure 6.28a. Then we can verify, by making simple sketches of a few terms in Equation 6.112, that the above infinite products yield the functions F(v) and c(v) shown in Figure 6.33. That is, the ideal bandpass wavelet is indeed related to the ideal paraunitary filter bank by means of the above infinite product.

6.11.1 Convergence of Infinite Products

Q To define convergence of a product of the form 1 k¼1 ak , consider the sequence {pn} of partial products Q1 pn ¼ k¼1 ak . If this converges to a (complex) number A with 0 < jAj < 1, we say that the infinite product converges to A. Convergence to zero should be defined more carefully to avoid degenerate situations (e.g., if a1 ¼ 0, then pn ¼ 0 for all n regardless of the remaining terms ak, k > 1). We use definition in Ref. [22]. The infinite product is said to converge to zero iff ak ¼ 0 for a finite nonzero number of values of k, and if the product with these ak deleted converges to a nonzero value. 6.11.1.1 Useful Facts about Infinite Products Q 1. Whenever 1 k¼1 ak converges, it can be shown ak ! 1 as k ! 1. For this reason it is convenient to write ak ¼ 1 þ bk. Q Q (1 þ bk ) converges absolutely if 1 2. We say that 1 k¼1 k¼1 (1 þ jbk j) converges. Absolute convergence Q (1 þ b ) implies its convergence. of 1 k k¼1 P Q (1 þ jbk j) converges iff the sum 1 3. It can be shown that the product 1 k¼1 k¼1 jbk j converges. That is, P1 Q1 (1 þ b ) converges absolutely iff b converges absolutely. k k k¼1 k¼1

Example 6.11 Q P1 Q1 2 2 The product 1  k 2 ) conk¼1 (1 þ k ) converges because k¼1 1=k converges. Similarly, Q1 k¼1 (1 1 verges because it converges absolutely, by the precedingQexample. The product k¼1 (1 þ k ) does not P1 2 converge because k¼1 1=k diverges. Products such as 1 k¼1 (1=k ) do not converge because the terms do not approach unity as k ! 1.

6.11.1.2 Uniform Convergence A sequence {pn(z)} of functions of the complex variable z converges uniformly to a function p(z) on a set 6 in the complex plane if the convergence rate is the same everywhere in 6. More precisely, if we are given e > 0 we can find N such that jpn (z)  p(z)j < e for every z 2 6, as long as n  N. The crucial thing is that N depends only on e and not on z, as long as z 2 6. A similar definition applies for functions of real variables. Q We say that an infinite product of functions 1 k¼1 ak (z) converges at a point z if the sequence of partial Qn products pn (z) ¼ k¼1 ak (z) converges as described previously. If this convergence of pn(z) is uniform in a set 6, we say that infinite product converges uniformly on 6. Uniform convergence has similar advantages, as in the case of infinite summations. For example, if each of the functions ak(v) is continuous on the real Q interval [v1, v2], then uniform convergence of the infinite product A(v) ¼ 1 k¼1 ak (v) on [v1, v2] implies that the limit A(v) is continuous on [v1, v2]. We saw above that convergence of infinite products can be related to that of infinite summations. The following theorem [23] makes the connection between uniform convergence of summations and uniform convergence of products.

Wavelet Transforms

6-65

THEOREM 6.9 P Let bk(z), k  1 be a sequence of bounded functions of the complex variable z, such that 1 k¼1 jbk (z)j converges uniformly on a compact set* 6 in the complex z plane. Then, the infinite product Q1 k¼1 (1 þ bk (z)) converges uniformly on 6. This product is zero for some z0 iff 1 þ bk (z0) ¼ 0 for some k. Uniform convergence and analyticity: We know that if a sequence of continuous functions converges uniformly to a function, then the limit is also continuous. A similar result is true for analytic functions. If a sequence { fn(s)} of analytic functions converges uniformly to a function f (s), then f (s) is analytic as well. For a more precise statement of this result see Theorem 10.28 in Ref. [23].

6.11.2 Infinite Product Defining the Scaling Function Return now to the infinite product (Equation 6.112a). As justified in Section 6.10, assume Gs(e jv) to be continuous, Gs(e j0) ¼ 1, and F(0) 6¼ 0. Note that Gs(e j0) ¼ 1 is necessary for the infinite product to Q converge (because convergence of kak implies that ak ! 1; apply this for v ¼ 0). The following convergence result is fundamental.

THEOREM 6.10: Convergence of the Infinite Product Let Gs (e jv ) ¼

P1

n¼1 gs (n)e

jvn

. Assume that Gs(e j0) ¼ 1 and Snjngs(n)j < 1. Then

1. The infinite product (Equation 6.112a) converges pointwise for all v. In fact, it converges absolutely for all v, and uniformly on compact sets (i.e., closed bounded sets, such as sets of the form [v1,v2]). 2. The quantity Gs(e jv) as well as the limit F(v) of the infinite product (Equation 6.112a) are continuous functions of v. 3. Gs(e jv) is in L2. Because the condition Snjngs(n)j < 1 implies Snjgs(n)j < 1, the filter Gs (e jv) is restricted to be stable, but the above result holds whether gs(n) is FIR or IIR. Sketch of Proof: Theorem 6.9 allows us to reduce the convergence of the product to the convergence of an infinite sum. For this we must write Gs(e jv) in the form 1  F(e jv) and consider the summation P1 jv=2k )j. Because, Gs(e j0) ¼ 1 ¼ Sngs(n), we can write Gs(e jv) ¼ 1  (1  Gs(e jv)) ¼ k¼1 jF(e 1  Sngs (n)(1  ejvn). However, jSngs(n)(1  ejvn)j 2Snjgs(n) sin(vn=2)j  jvjSnj ngs(n)j(use j sin x=xj 1). Snjngs(n)j is assumed to converge, thus we have jSn gs(n)(1  e jvn)j  cj vj. Using this and P k converges, we can complete the proof of part 1 (by applying Theorem 6.9). Snjngs the fact that 1 k¼1 2 (n)j< 1 implies in particular that gs(n) 2 ‘1 therefore, its ‘1  FT Gs(e jv) is continuous (Section 6.6). The continuity Gs(e jv), together with uniform convergence of the infinite product, implies that the pointwise limit F(v) is also continuous. Finally, because ‘1  ‘2 (Section 6.6), we have gs(n) 2 ‘2, that is, Gs(e jv) 2 L2[0, 2p] as well.

6.11.3 Orthonormal Wavelet Basis from Paraunitary Filter Bank

Q jv=2k We now consider the behavior of the infinite product 1 ), when Gs(e jv) comes from k¼1 Gs (e a paraunitary filter bank. The paraunitary property implies that Gs(e jv) is power symmetric. If we impose some further mild conditions on Gs(e jv), the scaling function f(t) generates an orthonormal * For us, a compact set means any closed bounded set in the complex plane or on the real line. Examples are all points on and inside a circle in the complex plane, and the closed interval [a, b] on the real line.

6-66

Fundamentals of Circuits and Filters

multiresolution basis {f(t  n)}. We can then obtain an orthonormal wavelet basis {ckn(t)} (Theorems 6.6 and 6.7). The main results are given in Theorems 6.11 through 6.15. First, we define the truncated partial products Pn(v). Because Gs(e jv) has period 2p, the term Q k Gs(e jv=2k) has period 2kþ1 p. For this reason the partial product nk¼1 Gs (e jv=2 ) has period 2nþ1p, and we can regard the region [2np, 2np] to be the fundamental period. Let us truncate the partial product to this region, and define Q n jv=2k ), for 2n p  v  2n p, k¼1 Gs (e Pn (v) ¼ (6:113) 0, otherwise This quantity will be useful later. We will see that this is in L2(R), and we can discuss pn(t), its inverse L2-FT.

THEOREM 6.11 Let Gs(e jv) be as in Theorem 6.10. In addition let it be power symmetric; in other words, jGs(e jv)j2 þ Gs(e jv)j2 ¼ 1. Notice in particular that this implies Gs(e jp) ¼ 0, because Gs(e j0) ¼ 1. Then the following are true: Ð 2p 1. 0 jGs (e jv )j2 dv=2p ¼ 0:5. Ð1 2. The truncated partial product Pn(v) is in L2, and 1 jPn (v)j2 dv=2p ¼ 1 for all n. Further, the inverse L2-FT, denoted as pn(t), gives to an orthonormal sequence {pn(t  k)}, i.e., hpn(t  k), pn(t  i)i ¼ d(k  i) for any n  1. 3. The limit F(v) of the infinite product (Equation 6.112a) is in L2; hence, it has an inverse L2-FT, f(t) 2 L2. Moreover, kf(t)k2  1. Sketch of Proof: Part 1 follows by integrating both sides of jGs(e jv)j2 þ jGs(e jv)j2 ¼ 1. The integral in Ð 2nþ1 p Qn Ð 2n p Ð 2nþ1 p jv=2k 2 part 2 is 0 )j dv=2p, which we can split into two terms such as 0 þ 2n p . Using k¼1 jGs (e Ð Ð the 2p periodicity and the power symmetric property of Gs(e jv), we obtain jPnj2 dv ¼ jPn1j2dv. Ð1 Repeated application of this, together with part 1, yields 1 jPn (v)j2 dv=2p ¼ 1. The proof of orthonormality of {pn(t  k)} follows essentially similarly by working with the modified integral Ð1 2 jv(ki) dv=2p, and using the half-band property of jGs(e jv)j2. 1 jPn (v)j e The third part is the most subtle, and uses Fatou’s lemma for Lebesgue integrals (Section 6.6). For this, of nonnegative integrable functions such that define gn(v) ¼ jPn(v)j2. Then, {gn(v)} is a sequence Ð gn(v) ! jF(v)j2 pointwise for each v. Because gn(v)dv ¼ 2p (from part 2), Fatou’s lemma assures us that jF(v)j2 is integrable with integral  2p. This proves part 3. It is most interesting that the truncated partial products Pn(v) give rise to orthonormal sequences {pn(t  k)}. This orthonormality is induced by the paraunitary property, more precisely the power symmetry property of Gs(e jv). This is consistent with the fact that the filter bank type of basis introduced in Section 6.4 is an orthonormal basis for ‘2 whenever the filter bank is paraunitary. As the scaling function F(v) is the pointwise limit of {Pn(v)} as n ! 1, this leads to the hope that {f(t  k)} is also an orthonormal sequence, so that we can generate a multiresolution and then a wavelet basis as in Theorems 6.6 and 6.7. This, however, is not always true. The crux of the reason is that F(v) is only the pointwise limit of {Pn(v)}, and not necessarily the L2 limit. The distinction is subtle (see below). The pointwise limit property any fixed v, the function Pn(v) approaches F(v). The L2 Ð means that for 2 limit property means that jPn(v)  F(v)j dv ! 0. Neither of these limit properties implies the other; neither is stronger than the other. It can be shown that it is the L2 limit that propagates the orthonormality property, and this is what we want.

Wavelet Transforms

6-67

THEOREM 6.12 Let {pn (t  k)} be an orthonormal sequence for each n. That is hpn(t  k), pn(t  i)i ¼ d (k  i). Suppose pn(t) ! f(t) in the L2 sense. Then {f(t  k)} is an orthonormal sequence. Proof:

If we take limits as n ! 1, we can write lim hpn (t  k), pn (t  i)i ¼

n!1

D

E lim pn (t  k), lim pn (t  i)

n!1

(6:114)

n!1

This movement of the ‘‘limit’’ sign past the inner product sign is allowed (by continuity of inner products, Section 6.6), provided the limits in the second expression are L2 limits. By the conditions of the theorem, the left side of the above equation is d(k  i), whereas the right side is hf(t  k), f(t  i)i. So the result follows. 6.11.3.1 L2 Convergence versus Pointwise Convergence The fact that L2 limits are not necessarily pointwise limits is obvious from the fact that differences at a countable set of points do not affect integrals. The fact that pointwise limits are not necessarily L2 limits is demonstrated by the sequence of L2 functions { fn(t)}, with fn(t) as in Figure 6.42. Note that fn(t) ! 0 pointwise for each t, that is, the pointwise limit is f (t) 0. Hence, k fn(t)  f (t) k ¼ k fn(t)k ¼ 1 for all n, so k fn(t)  f (t)k does not Ðgo to zero as Ðn ! 1 and thus, f (t) is not the L2 limit of fn(t). Notice in this example that 1 ¼ limn!1 jfn(t)j2 dt 6¼ limn!1 jfn(t)j2 dt ¼ 0. This is consistent with the fact that the Lebesgue dominated convergence theorem cannot be applied here—no integrable function dominates jfn(t)j2 for all n. In this example, the sequence { fn(t)} does not converge in the L2 sense. In fact, kfn(t)  fm(t)k2 ¼ 2 for n 6¼ m. Thus, { fn} is not a Cauchy sequence [22] in L2. Some facts pertaining to pointwise and L2 convergences: It can be shown that if fn(t) ! f (t) in L2 sense and fn(t) ! g(t) 2 L2 pointwise as well, then f (t) ¼ g(t) a.e. In particular, kf (t)  g(t)k ¼ 0 and kf (t)k ¼ kg (t)k. It also can be shown that if fn(t) ! f (t) in L2 sense, then kfn(t)k ! kf (t)k. Finally, if fn(t) ! f (t) 2 L2 pointwise a.e., and kfn(t)k ! kf (t)k then fn(t) ! f (t) in L2 sense as well [23].

THEOREM 6.13: Orthonormal Wavelet Basis Let the filter Gs (e jv ) ¼ 1. 2. 3. 4.

P1

n¼1 gs (n)e

jvn

satisfy the following properties:

Gs(e j0) ¼ 1 Snjngs(n)j < 1 jGs(e jv)j2 þ jGs(e jv)j2 ¼ 1 (power symmetry) Gs(e jv) 6¼ 0 for v 2 [0.5p, 0.5p]

Then the infinite product (Equation 6.112a) converges to a limit F(v) 2 L2, and its inverse FT f(t) is such that {f(t  n)} is an orthonormal sequence. Defining the wavelet function c(t) as usual, i.e., as in Equation 6.93, the sequence {2k=2 c(2k t  n)} (with k and n varying over all integers) forms an orthonormal wavelet basis for L2.

0

1

fn(t)

n

n+1

t

FIGURE 6.42 Sequence {fn(t)} with a pointwise limit is not a limit in the L2 sense.

6-68

Fundamentals of Circuits and Filters

Sketch of Proof: We will show that the sequence {Pn(v)} of partial products converges to F(v) in the L2 Ð sense, i.e., jPn(v)  F(v)j2dv ! 0, so that pn(t) ! f(t) in L2 sense. The desired result then follows in view of Theorems 6.11 and 6.12. The key tool in the proof is the dominated convergence theorem for Lebesgue integrals (Section 6.6). First, the condition G(e jv) 6¼ 0 in [0.5p, 0.5p] implies that F(v) 6¼ 0 in [p, p]. Because jF(v)j2 is continuous (Theorem 6.10) it has a minimum value c2 > 0 in [p, p]. Now the truncated partial product Pn(v) can always be written as Pn(v) ¼ F(v)=F(v=2n) in its region of support. Because jF(v=2n)j2  c2 in [2np, 2np], we have jPn(v)j2  jF(v)j2=c2 for all v. Define Qn(v) ¼ jPn(v)  F(v)j2. Then using jPn(v)j2  jF(v)j2=c2 we can show that Qn(v)  ajF(v)j2 for some constant a. Because the right-hand side is integrable, and because Qn(v) ! 0 pointwise (Theorem 6.10) we Ð can use the dominated convergence theorem (Section 6.6) to conclude that Ð limn Qn(v)dv ¼ limnQn(v) dv ¼ 0. This completes the proof. 6.11.3.2 Computing the Scaling and Wavelet Functions Given the coefficients gs(n) of the filter G(e jv), how do we compute the scaling function F(t) and the P nþ1 gs*(n  1)f(2t  n), wavelet function c(t)? Because we can compute c(t) using c(t) ¼ 2 1 n¼1 (1) the key issue is the computation of f(t). In the preceding theorems f(t) was defined only as an inverse L2–FT of the infinite product F(v) given in Equation 6.112a. Because an L2 function is determined only in the a.e. sense, this way of defining f(t) itself does not fully determine f(t). Recall, however, that the infinite product for F(v) was only a consequence of the more fundamental equation, the dilation equation P f(t) ¼ 2 1 n¼1 gs (n)f(2t  n). In practice f(t) is computed using this equation, which is often a finite sum (Section 6.12). The procedure is recursive; we assume an initial solution for the function f(t), substitute it into the right-hand side of the dilation equation, thereby recomputing f(t), and then repeat the process. Details of this and discussions on convergence of this procedure can be found in Refs. [5,15,26]. 6.11.3.3 Lawton’s Eigenfunction Condition for Orthonormality [5] Equation 6.100 is equivalent to the orthonormality of {f(t  n)}. Let S(e jv) denote the left-hand side of Equation 6.100, which evidently has period 2p in v. Using the frequency domain version of the dilation equation (Equation 6.85), it can be shown that the scaling function f(t) generated from GS(e jv) is such that  jGs (e jv )j2 S(e jv )#2 ¼ 0:5S(e jv )

(6:115)

where the notation #2 indicates decimation (Section 6.4). Thus, the function S(e jv) can be regarded as an eigenfunction (with eigenvalue ¼ 0.5) of the operator ^, which performs filtering by jGs(e jv)j2 followed by decimation. Now consider the case in which the digital filter bank is paraunitary, so that GS(e jv) is power symmetric (i.e., satisfies Equation 6.95). The power symmetric condition can be rewritten in the form 2 jGs (e jv )j j#2 ¼ 0:5. Thus, in the power symmetric case the identity function is an eigenfunction of the operator F. If the only eigenfunction of the operatior F is the identity function, it then follows that S(e jv) ¼ 1; i.e., Equation 6.100 holds and {f(t  n)} is orthonormal. The FIR Case: Section 6.12 shows that restricting Gs(z) to be FIR ensures that f(t) has finite duration. For the FIR case, Lawton and Cohen independently showed that the previous eigenfunction condition also works in the other direction. That is, if {f(t  n)} has to be orthonormal, then the trignometric polynomial S(e jv) satisfying Equation 6.115 must be unique up to a scale factor.* Details can be found in Ref. [5]. P 2 * A finite sum of the form Nn¼N pn e jvn is said to be a trignometric polynomial. If Gs(e jv) is FIR, it can be demonstrated that 1 the left-hand side of Equation 6.100 is not only periodic in v, but is in fact a trignometric polynomial.

Wavelet Transforms

6-69

6.11.3.4 Examples and Counter-Examples We already indicated after the introduction of Equation 6.112 that the example of the ideal bandpass wavelet can be generated formally by starting from the ideal brickwall paraunitary filter bank. We now discuss some other examples.

Example 6.12:

Haar Basis from Filter Banks

A filter bank of the form Figure 6.22a with filters Ga (z) ¼

1 þ z 1 z 1  1 1 þ z 1 1  z 1 Ha (z) ¼ Hs (z) ¼ Gs (z) ¼ 2 2 2 2

is paraunitary. The magnitude responses of the synthesis filters, jGs(e jv)j ¼ jcos(v=2)j and jHs(e jv)j ¼ jsin (v=2)j, are shown in Figure 6.43a. Gs(z) satisfies all the conditions of Theorem 6.13. In this case we the infinite products for F(v) and c(v) explicitly by using the identity Q1 can evaluate m cos (2 v) ¼ sin v=v. The resulting f(t) and c(t) are as shown in Figure 6.43b and c. These are m¼1 precisely the functions that generate the Haar orthonormal basis.

Example 6.13:

Paraunitary Filter Bank That Does Not Give Orthonormal Wavelets

Consider the filter bank with analysis filters Ga(z) ¼ (1 þ z3)=2, Ha(z) ¼ (1  z3)=2, and synthesis filters Gs(z) ¼ (1 þ z3)=2, Hs(z) ¼ (1  z3)=2. Because this is obtained from the preceding example by the substitution z ! z3, it remains paraunitary and satisfies the PR property. Gs(z) satisfies all the properties of Theorem 6.13, except the fourth condition. With f(t) and c(t) obtained from Gs(e jv) using the usual dilation equations, the functions {f(t  n)} are not orthonormal. In addition, the wavelet functions {2k=2 c(2k t  n)} do not form an orthonormal basis. These statements can be verified from the sketches of the functions f(t) and c(t) shown in Figure 6.44. Clearly, f(t) and f(t  1) are not orthogonal, pffiffiffi and c(t) and c(t  2) are not orthogonal. In this example, kPn(v)k ¼ 1 for all n, whereas kF(v)k ¼ 1= 3. The limit of kPn(v)k does not agree with kF(v)k, and our conclusion is that F(v) is not the L2 limit of Pn(v). The L2 limit of Pn(v) does not exist in this example. |Hs(e jω)|

|Gs(e jω)|

Gs(z) = (1 + z–1)/2 Hs(z) = (1 – z–1)/2

0 (a)

π

φ(t)

ω

ψ(t)

1

1 Haar wavelet

Scaling function 0 (b)

1

1

t

0

t

(c)

FIGURE 6.43 Haar basis generated from a paraunitary filter bank. (a) The synthesis filters in the paraunitary filter bank, (b) the scaling function, and (c) the wavelet function generated using dilation equations.

Fundamentals of Circuits and Filters

6-70

|Gs(e jω)| 1 φ(t) 1/ 3

Scaling function

ω 0 (a)

π/3

2π/3

π

0 (b)

3

t

ψ(t–2) 1/ 3

ψ(t)

1/ 3

Wavelet function 3

t

0

0

3

t

(d)

(c)

FIGURE 6.44 Paraunitary filter bank generating nonorthonormal {f(t  n)}. (a) The synthesis filter response, (b) the scaling function, (c) the wavelet function, and (d) a shifted version.

Thus, a paraunitary filter bank may not generate an orthonormal wavelet basis if the fourth condition in Theorem 6.13 is violated. However, this is hardly of concern in practice, because any reasonable lowpass filter designed for a two-channel filter bank will be free from zeroes in the region [0.5p, 0.5p]. In fact, a stronger result was proved by Cohen, who derived necessary and sufficient conditions for an FIR paraunitary filter bank to generate an orthonormal wavelet basis. One outcome of Cohen’s analysis is that the fourth condition in Theorem 6.13 can be replaced by the even milder condition that Gs(e jv) not be zero in [p=3, p=3]. In this sense, the condition for obtaining an orthonormal wavelet basis is trivially satisfied in practice. The case in which the fourth condition fails is primarily of theoretical interest; an attractive result in this context is Lawton’s tight frame theorem.

6.11.4 Wavelet Tight Frames Although the wavelet functions {2k=2c(2k t  n)} generated from a paraunitary filter bank may not form an orthonormal basis when the fourth condition of Theorem 6.13 is violated, the functions always form a tight frame for L2. Thus, any L2 function can be expressed as an infinite linear combination of the functions {2k=2c(2k t  n)}. More precisely, we have the following result due to Lawton [5].

THEOREM 6.14: Tight Frames from Paraunitary Filter Banks Let Gs (e jv ) ¼

PN

n¼0 gs (n)e

jvn

be a filter satisfying the following properties:

1. Gs(e j0) ¼ 1 2. jGs(e jv)j2 þ jGs(e jv)j2 ¼ 1 (power symmetry) Then, f(t) 2 L2. Defining the wavelet function c(t) as in Equation 6.93, the sequence {2k=2c(2k t  n)} (with k and n varying over all integers) forms a tight frame for L2, with frame bound unity (i.e., A ¼ B ¼ 1; see Section 6.8).

Wavelet Transforms

6-71

Thus, the functions ckn(t) in Example 6.13 constitute a tight frame for L2. From Section 6.8, we know that this tight frame property means that any x(t) 2 L2 can be expressed as x(t) ¼

1 1 X X

hx(t), ckn (t)ickn (t)

(6:116)

k¼1 n¼1

where ckn(t) ¼ 2k=2 c(2k t  n). This expression is pretty much like an expansion into an orthonormal basis. We can find the wavelet coefficients ckn ¼ hx(t), ckn(t)i exactly as in the orthonormal case. We also know that frames offer stability of reconstruction. Thus, in every respect this resembles an orthonormal basis, the only difference being that the functions are not linearly independent (redundancy exists in the wavelet tight frame {ckn(t)}).

6.12 Compactly Supported Orthonormal Wavelets Section 6.11 showed how to construct an orthonormal wavelet basis for L2 space by starting from a paraunitary filter bank. Essentially, we defined two infinite products F(v) and C(v) starting from the digital low-pass filter Gs(e jv). Under some mild conditions on Gs(e jv), the products converge (Theorem 6.10). Under the further condition that Gs(e jv) be power symmetric and nonzero in [0.5, 0.5], we saw that {f(t  k)} forms an orthonormal set, and the corresponding {2k=2 c(2k t  n)} forms an orthonormal wavelet basis for L2 (Theorem 6.13). If we further constrain Gs(e jv) to be FIR, that P n is, Gs (z) ¼ N n¼0 gs (n)z , then the scaling function f(t) and the wavelet function c(t) have finite duration [5,6].

THEOREM 6.15 P j0 jv jv n Let Gs (z) ¼ N Gs*(e jv). Define the infinite products as n¼0 gs (n)z , with Gs(e ) ¼ 1 and Hs(e ) ¼ e in Equation 6.112a and b, and assume that the limits F(v) and C(v) are L2 functions, for example, by imposing power symmetry condition on Gs(z) as in Theorem 6.11. Then f(t) and c(t) (the inverse L2-FTs) are compactly supported, with support in [0, N]. The time decay of the wavelet c(t) is therefore excellent. In particular, all the basis functions {2k=2 c(2k t  n)} are compactly supported. By further restricting the low-pass filter Gs(z) to have a sufficient number of zeroes at v ¼ p, we also ensure (Section 6.13) that the FT C(v) has excellent decay (equivalently c(t) is regular or smooth in the sense to be quantified in Section 6.13). The rest of this section is devoted to the technical details of the above result. The reader not interested in these details can move to Section 6.13 without loss of continuity. The theorem might seem ‘‘obvious’’ at first sight, and indeed a simple engineering argument based on Dirac delta functions can be given (p. 521 of Ref. [7]). However, the correct mathematical justification relies on a number of deep results in function theory. One of these is the celebrated Paley–Wiener theorem for band-limited functions. Paley–Wiener Theorem: A beautiful result in the theory of signals is that if an L2 function f (t) is bandlimited, that is, F(v) ¼ 0, jvj  s, then f (t) is the ‘‘real-axis restriction of an entire function.’’ We say that a function f (s) of the complex variable s is entire if it is analytic for all s. Examples are polynomials in s, exponentials such as es, and simple combinations of these. The function f (t) obtained from f (s) for real values of s(s ¼ t) is the real-axis restriction of f (s). Thus, if f (t) is a band-limited signal then an entire function f (s) exists such that its real-axis restriction is f (t). In particular, therefore, a band-limited function f (t) is continuous and infinitely differentiable with respect to the time variable t. The entire function f (s) associated with band-limited function has the further

Fundamentals of Circuits and Filters

6-72

property j f (s)j  cesjsj for some c > 0. We express this by saying that f (s) is exponentially bounded or of the exponential type. What is even more interesting is that the converse of this result is true: if f (s) is an entire function of the exponential type, and the real-axis restriction f (t) is in L2, then f (t) is band-limited. By interchanging the time and frequency variables, we can obtain similar conclusions for time-limited signals; this is what we need in the discussion of the time-limited (compactly supported) wavelets.

THEOREM 6.16: (Paley–Wiener) Let W(s) be an entire function such that for all s, we have jW(s)j  c exp (Ajsj) for some c,ÐA > 0, and the A real-axis restriction W(v) is in L2. Then, there exists a function w(t) in L2 such that W(s)¼ A w(t)ejts dt. A proof can be found in Ref. [23]. Thus, w(t) can be regarded as a compactly supported function support in [A, A]. Recall Equation 6.48 that L2[A, A]  L1[A, A] so w(t) is in L1[A, A] and L2[A, A]. Therefore, W(v) is the L1-FT of w(t), and agrees with the L2-FT a.e. Our aim is to show that the infinite product for F(v) satisfies the conditions of the Paley–Wiener theorem, and therefore that f(t) is compactly supported. A modified version of the previous result is more convenient for this. The modification allows the support to be more general, namely [A1, A2], and permits us to work with the imaginary part of s instead of the absolute value.

THEOREM 6.17: (Paley–Wiener, Modified) Let W(s) be an entire function such that  jW(s)j 

c1 exp (A1 jIm sj), c2 exp (A2 jIm sj),

Im s  0 Im s  0

(6:117)

for some c1, c2, A1, A2 > Ð0, and such that the real-axis restriction W(v) is in L2. Then a function w(t) exists A in L2 such that W(s) ¼ A1 2 w(t)ejts dt. We can regard W(v) as the FT of the function w(t) supported in [A2, A1]. This result can be made more general; the condition given in Equation 6.117 can be replaced with one in which the right-hand sides have the form Pi(s) exp(AijIm sj), where Pi(s) are polynomials. We are now ready to sketch the proof that f(t) and C(t) have the compact support [0, N]. Q js=2k ) 1. Using the fact that Gs(z) is FIR and that Gs(e j0) ¼ 1, show that the product 1 k¼1 Gs (e converges uniformly on any compact set of the complex s-plane. (For real s, namely s ¼ v, this P holds even for the IIR case as long as n jngs (n)j converges. This was shown in Theorem 6.10.) 2. Uniformity of convergence of the product guarantees that is limit F(s) is an entire function of the complex variable s (Theorem 10.28 [23]). 3. The FIR nature of Gs(z) allows us to establish the exponential bound Equation 6.117 for F(s) with A2 ¼ 0 and A1 ¼ N. This shows that f(t) is compactly supported in [0, N]. Because C(t) is obtained from the dilation Equation 6.93, the same result follows for C(t) as well.

6.13 Wavelet Regularity From the preceding section, we know that if we construct the power symmetric FIR filter Gs(z) properly, we can get an orthonormal multiresolution basis {f(t  n)}, and an orthonormal wavelet basis

Wavelet Transforms

6-73

{2k=2c(2k t  n)} for L2. Both of these bases are compactly supported. These are solutions to the two-scale dilation equations f(t) ¼ 2

N X

gs (n)f(2t  n)

(6:118)

hs (n)f(2t  n)

(6:119)

n¼0

c(t) ¼ 2

N X n¼0

where hs(n) ¼ (1)nþ1gs*(n  1). In the frequency domain we have the explicit infinite product expressions (Equation 6.112) connecting the filters Gs(z) and Hs(z) to the L2-FTs F(v) and C(v). Figure 6.45a shows two cases of a ninth-order FIR filter Gs(e jv) used to generate the compactly supported wavelet. The resulting wavelets are shown in Figure 6.45b and c. In both cases all conditions of Theorem 6.13 are satisfied so we obtain orthonormal wavelet bases for L2. The filter Gs(e jv) has more zeroes at p for case 2 than for case 1. The corresponding wavelet looks much smoother or ‘‘regular’’; this is an example of a Daubechies wavelet. By designing Gs(z) to have a sufficient number of zeroes at p, we can make the wavelet ‘‘as regular as we please.’’ A quantitative discussion of the connection between the number of zeroes at p and the smoothness of c(t) is given in the following discussions.

1.5 1.0

0.5

Case 2 0.5

ψ(t)

|Gs(e jω)|

Case 1

Case 1

–0.5

0.0 0.00

(a)

0.10

0.20 0.30 0.40 Normalized frequency

0.50

–1.5 0.0 (b)

4.0 t

2.0

6.0

8.0

1.5 Case 2

ψ(t)

0.5

–0.5

–1.5 0.0

(c)

2.0

4.0 t

6.0

8.0

FIGURE 6.45 Demonstrating the importance of zeroes at p. (a) The response of the FIR filter Gs(z) for two cases, and (b) and (c) the corresponding wavelet functions.

6-74

Fundamentals of Circuits and Filters

Quantitatively, the idea is that if Gs(e jv) has a large number of zeroes at p, the function F(v) given by the infinite product (Equation 6.112a) decays ‘‘fast,’’ as v ! 1. This fast asymptotic decay in the frequency domain implies that the time function f(t) is ‘‘smooth.’’ Because c(t) is derived from f(t) using a finite sum (Equation 6.119), the smoothness of f(t) is transmitted to c(t). We will the make ideas more quantitative in the next few sections. Why Regularity? The point made above was that if we design an FIR paraunitary filter bank with the additional constraint that the low-pass filter Gs(e jv) should have a sufficient number of zeroes at p, the wavelet basis functions Ckn(t) are sufficiently smooth. The smoothness requirement is perhaps the main new component brought into the filter bank theory from the wavelet theory. Its importance can be understood in a number of ways. Consider the expansion x(t) ¼ Sk,n ck,n Ckn(t). Suppose we truncate this to a finite number of terms, as is often done in practice. If the basis functions are not smooth, the error can produce perceptually annoying effects in applications such as audio and image coding, even though the L2 norm of the error may be small. Next, consider a tree-structured filter bank. An example is shown in Figure 6.26. In the syntheses bank, the first path can be regarded as an effective interpolation filter, or an expander (e.g., "8 in Figure 6.26b) L followed by a filter of the form Gs(e jv)Gs (e2jv)Gs (e4jv)    Gs (e2 jv). The same finite product can be obtained by truncating to L þ 1 terms the infinite product defining F(v) (Equation 6.112), and making a change of variables. Similarly, the remaining paths can be related to interpolation filters which are various truncated versions of the infinite product defining C(v) in Equation 6.112. Imagine we use the treestructured system in subband coding. The quantization error in each subband is filtered through an interpolation filter. If the impulse response of the interpolation filter is not smooth enough (e.g., if it resembles Figure 6.45b), the filtered noise tends to show severe perceptual effects, for example, in image reconstruction. This explains, qualitatively, the importance of having ‘‘smooth impulse responses’’ for the synthesis filters.

6.13.1 Smoothness and Hölder Regularity Index We are familiar with the notion of continuous functions. We say that f (t) is continuous at t0 if, for any e > 0, we can find a d > 0 such that jf (t)  f (t0)j < e for all t satisfying jt  t0 j < d. A stronger type of continuity, called Hölder continuity, is defined as follows: f (t) is Hölder continuous in a region 6 if jf (t0)  f (t1)j  cjt0  t1jb for some c, b > 0, for all t0, t1 2 6. This implies, in particular, continuity in the ordinary sense. If b > 1 the above would imply that f (t) is constant on 6. For this reason, we have the restriction 0 < b  1. As b increases from 0 to 1, the function becomes increasingly ‘‘smoother.’’ The constant b is called the Lipschitz constant of the function f (t). Suppose the function f (t) is n times differentiable in some region 6 and the nth derivative f (n)(t) is Hölder continuous with Lipschitz constant b. Define a ¼ n þ b. We say that f (t) belongs to the class C a. The coefficient a is called the Hölder regularity index of f (t). For example, C3,4 is the class of functions that are three times differentiable and the third derivatives are Hölder continuous with Lipschitz constant equal to 0.4. The Hölder regularity index a is taken as a quantitative measure of regularity or smoothness of the function c(t). We sometimes say c(t) has regularity a. Qualitatively speaking, a function with a large Hölder index is regarded as more ‘‘smooth’’ or ‘‘well-behaved.’’ Because the dilation equations in the FIR case are finite summations, the Hölder indices of f(t) and c(t) are identical. Some functions are differentiable an infinite number of times. That is, they belong to C1. Examples are et, sin t, and polynomials. C1 functions even exist that are compactly supported (i.e., have finite duration; they will not be discussed here).

Wavelet Transforms

6-75

6.13.2 Frequency-Domain Decay and Time-Domain Smoothness We can obtain time-domain smoothness of a certain degree by imposing certain conditions on the FT C(v). This is made possible by the fact that the rate of decay of C(v) as v ! 1 (i.e., the asymptotic decay) governs the Hölder regularity index a of c(t). Suppose C(v) decays faster than (1 þ jvj)(1þa): jC(v)j 

c (1 þ jvj)1þaþ«

for all v

(6:120)

for some c > 0, e > 0. Then, C(v)(1 þjvj)a is bounded by the integrable function c=(1 þ jvj)1þ«, and is therefore (Lebesgue) integrable. Using standard Fourier theory it can be shown that this implies c(t) 2 Ca. In the wavelet construction of Section 6.11, which begins with a digital filter bank, the above decay of c(v) can be accomplished by designing the digital filter Gs(e jv) such that it has a sufficient number of zeroes at v ¼ p. Thus, the decay in the frequency domain translates into regularity in the time domain. Similarly, one can regard time-domain decay as an indication of smoothness in frequency. When comparing two kinds of wavelets, we can usually compare them in terms of time-domain regularity (frequency domain decay) and time-domain decay (frequency domain smoothness). An extreme example is one in which c(t) is band limited. This means that C(v) is zero outside the passband, and so the ‘‘decay’’ is the best possible. Correspondingly, the smoothness of c(t) is excellent; in fact, c(t) 2 C1. However, the decay of c(t) may not be excellent (certainly it cannot be time limited if it is band limited). Return to the two familiar wavelet examples, the Haar wavelet (Figure 6.12) and the bandpass wavelet (Figures 6.9 and 6.11). We see that the Haar wavelet has poor decay in the frequency domain because C(v) decays only as v1. Correspondingly, the time-domain signal c(t) is not even continuous, hence, not differentiable.* The bandpass wavelet, on the other hand, is band limited, so the decay in frequency is excellent. Thus, c(t) 2 C1, but it decays slowly, behaving similarly to t1 for large t. These two examples represent two extremes of orthonormal wavelet bases for L2. The game, therefore, is to construct wavelets that have good decay in time as well as good regularity in time. An extreme hope is where c(t) 2 C1, and has compact support as well. It can be shown that such c(t) can never give rise to an orthonormal basis, so we must strike a compromise between regularity in time and decay in time. 6.13.2.1 Regularity and Decay in Early Wavelet Constructions In 1982, Stromberg showed how to construct wavelets in such a way that c(t) has exponential decay, and at the same time has arbitrary regularity (i.e., c(t) 2 Ck for any chosen integer k). In 1985, Meyer constructed wavelets with band-limited c(t) (so c(t) 2 C1 as for the bandpass wavelet), but he also showed how to design this c(t) to decay faster than any chosen inverse polynomial, as t ! 1. Figure 6.46a shows an example of a Meyer wavelet; a detailed description of this wavelet can be found in Ref. [5]. In both of the above constructions the wavelets gave rise to orthonormal bases for L2. In 1987 and 1988, Battle and Lemarié independently constructed wavelets with similar properties as Stromberg’s wavelets, namely, c(t) 2 Ck for arbitrary k, and c(t) decays exponentially. Their construction is based on spline functions and an orthonormalization step, as described in Section 6.10. The resulting wavelets, while not compactly supported, decay exponentially and generate orthonormal bases. Figure 6.46b shows an example of the Battle–Lemarié wavelet. Table 6.1 gives a summary of the main features of these early wavelet constructions (first three entries). When these examples were constructed, the relation between wavelets and digital filter banks was not known. The constructions were not systematic or unified by a central theory. Moreover, it was not clear whether one could get a compactly supported (i.e., finite duration) wavelet c(t), which at the same time * It is true that c(t) is differentiable almost everywhere, but the discontinuities at the points t ¼ 0, 0.5, 1.0 will be very noticeable if we take linear combinations such as Sk,nckn ckn(t).

Fundamentals of Circuits and Filters

6-76

ψ(t) 1

ψ(t) 0.5

Meyer wavelet

Battle–Lemarié wavelet t

–4

–2

2

1

(a)

(b)

(a) An example of Meyer wavelet, and (b) an example of Battle–Lemarié wavelet.

FIGURE 6.46

TABLE 6.1

t

4

4

Summary of Several Types of Wavelet Bases for L2(R)

Type of Wavelet

Decay of c(t) in Time

Regularity of c(t) in Time

Type of Wavelet Basis

Stromberg (1982)

Exponential

c(t) 2 C ; k can be chosen arbitrarily large

Orthonormal

Meyer (1985)

Faster than any chosen inverse polynomial

c(t) 2 C1 (band limited)

Orthonormal

Battle–Lemarié (1987, 1988) (splines)

Exponential

c(t) 2 Ck; k can be chosen arbitrarily large

Orthonormal

Daubechies (1988)

Compactly supported

c(t) 2 Ca;. a can be chosen as large as we please

Orthonormal

k

had arbitrary regularity (i.e., c(t) 2 Ck for any chosen k), and generated an orthonormal wavelet basis. This was made possible for the first time when the relation between wavelets and digital filter banks was observed by Daubechies in Ref. [6]. Simultaneously and independently, Mallat invented the multiresolution framework and observed the relation between his framework, wavelets, and paraunitary digital filter banks (the CQF bank, Section 6.4). These discoveries have made the wavelet construction easy and systematic, as described in Sections 6.11 and 6.12. The way to obtain arbitrary wavelet regularity with this scheme is described next.

6.13.3 Time-Domain Decay and Time-Domain Regularity We now state a fundamental limitation which arises when trying to impose regularity and decay simultaneously [5].

THEOREM 6.18: Vanishing Moments Let {2k=2 c(2kt  n)}, 1  k, n  1 be an orthonormal set in L2. Suppose the wavelet c(t) satisfies the following properties: 1. jc(t)j  c(1 þ jtj)(mþ1þe) for some integer m and some e > 0; that is, the wavelet decays faster than (1 þ jtj)(mþ1). 2. c(t) 2 Cm (i.e., c(t) differentiable m times), and the m derivatives are bounded. Ð Then, the first m moments of c(t) are zero, that is, tic(t)dt ¼ 0 for 0  i  m.

Wavelet Transforms

6-77

Impossibility of Compact Support, Infinite Differentiability, and Orthonormality: Suppose we have an orthonormal wavelet basis such that c(t) is compactly supported, and infinitely differentiable (i.e., c(t) 2 C1). Then all the conditions of Theorem 6.18 are satisfied. So the moments of c(t) are zero, and therefore c(t) ¼ 0 for all t violating the unit-norm property of c(t). Thus, we cannot design compactly supported orthonormal wavelets which are infinitely differentiable; only a finite Hölder index can be accomplished. A similar observation can be made even when c(t) is not compactly supported as long as it decays faster than any inverse Ð polynomial (e.g., exponential decay). The vanishing moment condition ti c(t)dt ¼ 0, 0  i  m implies that the L2-FT C(v) has m þ 1 zeroes at v ¼ 0. This follows by using standard theorems on the L1-FT [23].* Thus, the first m derivatives of C(v) vanish at v ¼ 0. This implies a certain degree of flatness at v ¼ 0. Summarizing, we have the following result.

THEOREM 6.19: Flatness in Frequency and Regularity in Time Suppose we have a compactly supported c(t) generating an orthonormal wavelet basis {2k=2 C(2kt  n)}, and let c(t) 2 Cm, with m derivatives bounded. Then, c(v) has m þ 1 zeroes at v ¼ 0. Return now to the wavelet construction technique described in Section 6.11. We started from a paraunitary FIR filter bank (Figure 6.22a) and obtained the scaling function f(t) and wavelet function c(t) as in Equations 6.118 and 6.119. The FIR nature implies that c(t) has compact support (Section 6.12). With the mild conditions of Theorem 6.13 satisfied, we have an orthonormal wavelet basis for L2. We see that if the wavelet c(t) has Hölder index a, it satisfies all the conditions of Theorem 6.19, where m is the integer part of a. Thus, c(v) has m þ 1 zeroes at v ¼ 0, but because F(0) 6¼ 0 (Section 6.10), we conclude from the dilation equation C(v) ¼ Hs(e jv=2)F(v=2) that the high-pass FIR filter Hs(z) has m þ 1 zeroes at v ¼ 0 (i.e., at z ¼ 1). Using the relation Hs(e jv) ¼ e jv Gs. (e jv), we conclude that Gs(e jv) has m þ 1 zeroes at v ¼ p; that is, the low-pass FIR filter Gs(z) has the form Gs(z) ¼ (1 þ z1)mþ1 F(z), where F(z) is FIR. Summarizing, we have the theorem below.

THEOREM 6.20: Zeroes at p and Regularity Suppose we wish to design a compactly supported orthonormal wavelet basis for L2 by designing an FIR filter Gs(z) satisfying the conditions of Theorem 6.13. If c(t) must have the Hölder regularity index a then it is necessary that Gs(z) have the form Gs(z) ¼ (1 þ z1)mþ1 F(z), where F(z) is FIR, and m is the integer part of a. One zero at p is essential. From Theorem 6.10, we know that we must have Gs(e j0) ¼ 1, for the infinite product (Equation 6.112a) to converge. Theorem 6.13 imposes further conditions that enable us to obtain an orthonormal wavelet basis for L2. One of these conditions is the power symmetric property jGs(e jv)j2 þ jGs(e jv)j2 ¼ 1. Together with Gs(e j0) ¼ 1, this implies Gs(e jp) ¼ 0. Thus, it is necessary to have at least one zero for Gs(e jv) at p. The filter that generates the Haar basis (Example 6.12) has exactly one zero at p, but the Haar wavelet c(t) is not even continuous. If we desire increased regularity (continuity, differentiability, etc.), we need to put additional zeroes at p, as the above theorem shows. Design techniques for paraunitary filter banks do not automatically yield filters which have zeroes at p. This condition must be incorporated separately. The maximally flat filter bank solution (Section 6.4) does satisfy this property, and in fact even allows us to specify the number of zeroes at p. * Because c(t) 2 L2 and has compact support, c(t) 2 L1 as well.

6-78

Fundamentals of Circuits and Filters

6.13.4 Wavelets with Specified Regularity The fundamental connection between digital filter banks and continuous time wavelets, elaborated in the preceding sections, allows us to construct the scaling function f(t) and the wavelet function c(t) with specified regularity index a. If Gs(z) has a certain number of zeroes at p, this translates into the Hölder regularity index a. What really matters is not only the number of zeroes at p, but also the order of the FIR filter Gs(z). For a given order N of the filter Gs(z), suppose we wish to put as many of its zeroes as possible at p. Let this number be K. What is the largest possible K? Not all N zeroes can be at p because we have imposed the power symmetric condition on Gs(z). The best we can do is to put all the unit circle zeroes at p. The D power symmetric condition says that G(z) ¼ Gs(z)Gs(z) is a half-band filter. This filter has order 2N, with 2K zeroes at p. Because we wish to maximize K for fixed N, the solution for G(z) is the maximally flat FIR filter (Figure 6.25), given in Equation 6.45. As the filter in Equation 6.45 has 2K zeroes at p and order 2N ¼ 4K  2, we conclude that K ¼ (N þ 1)=2. For example, if Gs(z) is a fifth-order power symmetric filter it can have at most three zeroes at p. The 20% Regularity Rule Suppose Gs(z) has been designed to be FIR power symmetric of order N, with the number K of zeroes at p adjusted to be maximum (i.e., K ¼ (N þ 1)=2). It can be shown that the corresponding scaling and wavelet functions have a Hölder regularity index a 0.2 K. This estimate is poor for small K, but improves as K grows. Thus, every additional zero at p contributes to 20% improvement in regularity. For K ¼ 4 (i.e., seventh-order Gs(z)), we have a ¼ 1.275, which means that the wavelet c(t) is once differentiable and the derivative is Hölder continuous with Lipschitz constant 0.275. For K ¼ 10 (19thorder Gs(z)) we have a ¼ 2.9, so the wavelet c(t) is twice differentiable and the second derivative has Hölder regularity index 0.9. Design Procedure: The design procedure is therefore very simple. For a specified regularity index a, we can estimate K and hence N ¼ 2K  1. For this K, we compute the coefficients of the FIR half-band maximally flat filter G(z) using Equation 6.45. From this, we compute a spectral factor Gs(z) of the filter G(z). Tables of the filter coefficients gs(n) for various values of N can be found in Ref. [5]. From the coefficients gs(n) of the FIR filter Gs(z), the compactly supported scaling and wavelet functions are fully determined via the dilation equations. These wavelets are called Daubechies wavelets and were first generated in Ref. [6]. Figure 6.45c is an example, generated with a ninth-order FIR filter Gs(z), where response is shown as case 2 in Figure 6.45a. The above regularity estimates, based on frequency domain behavior, give a single number a, which represents the regularity of c(t) for all t. It is also possible to define pointwise or local regularity of the function c(t) so that its smoothness can be estimated as a function of time t. These estimation methods, based on time domain iterations, are more sophisticated, but give a detailed view of the behavior of c(t). Detailed discussions on obtaining various kinds of estimates for regularity can be found in Refs. [5,26].

6.14 Concluding Remarks We introduced the WT and studied its connection to filter banks and STFTs. A number of mathematical concepts such as frames and Riesz bases were reviewed and used later for a more careful study of wavelets. We introduced the idea of multiresolution analysis and explained the connections both to filter banks and wavelets. This connection was then used to generate orthonormal wavelet bases from paraunitary filter banks. Such wavelets have compact support when the filter bank is FIR. The regularity or smoothness of the wavelet was quantified in terms of the Hölder exponent. We showed that we can achieve any specified Hölder exponent for compactly supported wavelets by restricting the low-pass filter of the FIR paraunitary filter bank to be a maximally flat power symmetric filter, with a sufficient number of zeroes at p.

Wavelet Transforms

6-79

6.14.1 Why Wavelets? Discussions comparing wavelets with other types of time–frequency transforms appear at several places in this chapter. Here is a list of these discussions: 1. Section 6.2 discusses basic properties of wavelets and gives an elementary comparison of wavelet basis with the Fourier basis. 2. Section 6.3 compares the WT with the STFT and shows the time–frequency tilings for both cases (Figures 6.18 and 6.20). 3. Section 6.9 gives a deeper comparison with the STFT in terms of stability properties of the inverse, existence of frames, etc. 4. Section 6.13 presents a comparison to the traditional filter bank design approach. In traditional designs, the appearance of zero(es) at p is not considered important. At the beginning of Section 6.13.1, we discuss the importance of these zeroes in wavelets as well as in tree-structured filter banks.

6.14.2 Further Reading The literature on wavelet theory and applications is enormous. This chapter is only a brief introduction, concentrating on one-dimensional orthonormal wavelets. Many results can be found on the topics of multidimensional wavelets, biorthogonal wavelets, and wavelets based on IIR filter banks. Two special issues of the IEEE Transactions have appeared on the topic thus far [27,28]. Multidimensional wavelets are treated by several authors in the edited volume of Ref. [15], and the filter bank perspective can be found in the work by Kovacevicand Vetterli [27]. Advanced results on multidimensional wavelets can be found in Ref. [29]. Advanced results on wavelets constructed from M-channel filter banks can be found in the chapter by Gopinath and Burrus in the edited volume of Ref. [15], and in the work by Steffen et al. [28]. The reader can also refer to the collections of chapters in Refs. [15,16], and the many references therein.

Acknowledgments The authors are grateful to Dr. Ingrid Daubechies, Princeton University, Princeton, New Jersey, for many useful e-mail discussions on wavelets. This work was supported in part by Office of Naval Research grant N00014-93-1-0231, Rockwell International, and Tektronix, Inc.

References 1. M. E. Van Valkenburg, Introduction to Modern Network Synthesis, New York: John Wiley & Sons, 1960. 2. A.V. Oppenheim and R. W. Schafer, Discrete-Time Signal Processing, Englewood Cliffs, NJ: Prentice Hall, 1989. 3. A. Grossman and J. Morlet, Decomposition of Hardy functions into square integrable wavelets of constant shape, SIAM Journal of Mathematical Analysis, 15, 723–736, 1984. 4. Y. Meyer, Wavelets and Operators, Cambridge: Cambridge University Press, 1992. 5. I. Daubechies, Ten Lectures on Wavelets, SIAM, CBMS Series, April 1992. 6. I. Daubechies, Orthonormal bases of compactly supported wavelets, Communications on Pure and Applied Mathematics, 41, 909–996, November 1988. 7. P. P. Vaidyanathan, Multirate Systems and Filter Banks, Englewood Cliffs, NJ: Prentice Hall, 1993. 8. M. Vetterli, A theory of multirate filter banks, IEEE Transactions on Acoustics, Speech, and Signal Processing, ASSP-35, 356–372, March 1987.

6-80

Fundamentals of Circuits and Filters

9. A. N. Akansu and R. A. Haddad, Multiresolution Signal Decomposition: Transforms, Subbands, and Wavelets, Orlando, FL: Academic Press, 1992. 10. H. S. Malvar, Signal Processing with Lapped Transforms, Norwood, MA: Artech House, 1992. 11. S. Mallat, Multiresolution approximations and wavelet orthonormal bases of L2(R), Transactions of the American Mathematical Society, 315, 69–87, September 1989. 12. C. E. Heil and D. F. Walnut, Continuous and discrete wavelet transforms, SIAM Review, 31, 628–666, December 1989. 13. M. Vetterli and C. Herley, Wavelets and filter banks, IEEE Transactions on Signal Processing, SP-40, 1992. 14. R. A. Gopinath and C. S. Burrus, A tutorial overview of filter banks, wavelets, and interrelations, Proceedings of IEEE International Symposium on Circuits and Systems, Chicago, IL, pp. 104–107, May 1993. 15. C. K. Chui, Vol. 1, An Introduction to Wavelets, and Vol. 2 (edited), Wavelets: A Tutorial in Theory and Applications, Orlando, FL: Academic Press, 1992. 16. J. J. Benedetto and M. W. Frazier, Wavelets: Mathematics and Applications, Boca Raton, FL: CRC Press, 1994. 17. J. B. Allen and L. R. Rabiner, A unified theory of short-time spectrum analysis and synthesis, Proceedings of the IEEE, 65, 1558–1564, November 1977. 18. M. J. T. Smith and T. P. Barnwell, III, A procedure for designing exact reconstruction filter banks for tree structured subband coders, Proceedings of the IEEE International Conference on Acoustics, Speech, and Signal Processing, 27.1.1–27.1.4, San Diego, CA, March 1984. 19. F. Mintzer, Filters for distortion-free two-band multirate filter banks, IEEE Transactions on Acoustics, Speech, and Signal Processing, ASSP-33, 626–630, June 1985. 20. P. P. Vaidyanathan, Theory and design of M-channel maximally decimated quadrature mirror filters with arbitrary M, having perfect reconstruction property, IEEE Transactions on Acoustics, Speech, and Signal Processing, ASSP-35, 476–492, April 1987. 21. V. Belevitch, Classical Network Theory, San Francisco: Holden Day, 1968. 22. T. M. Apostol, Mathematical Analysis, Reading, MA: Addison-Wesley, 1974. 23. W. Rudin, Real and Complex Analysis, New York: McGraw-Hill, 1966. 24. R. M. Young, An Introduction to Nonharmonic Fourier Series, New York: Academic Press, 1980. 25. R. J. Duffin and A. C. Schaeffer, A class of nonharmonic Fourier series, Transactions of the American Mathematical Society, 72, 341–366, 1952. 26. O. Rioul, Sample regularity criteria for subdivision schemes, SIAM Journal on Mathematical Analysis, 23, 1544–1576, November 1992. 27. Special issue on wavelet transforms and multiresolution signal analysis, IEEE Transactions on Information Theory, 38, March 1992. 28. Special issue on wavelets and signal processing, IEEE Transactions on Signal Processing, 41, December 1993. 29. A. Cohen and I. Daubechies, Non-separable bidimensional wavelet bases, Revista Matemática IberoAmericana, 9, 51–137, 1993.

7 Graph Theory 7.1 7.2 7.3 7.4

Introduction ................................................................................ 7-1 Basic Concepts............................................................................ 7-1 Cuts, Circuits, and Orthogonality.......................................... 7-7 Incidence, Circuit, and Cut Matrices of a Graph............... 7-9 Incidence Matrix

.

Cut Matrix

.

Circuit Matrix

7.5

Krishnaiyan Thulasiraman University of Oklahoma

Orthogonality Relation and Ranks of Circuit and Cut Matrices ..................................................................... 7-12 7.6 Spanning Tree Enumeration ................................................. 7-15 7.7 Graphs and Electrical Networks........................................... 7-18 7.8 Tellegen’s Theorem and Network Sensitivity Computation ......................................................... 7-21 7.9 Arc Coloring Theorem and the No-Gain Property ......... 7-25 References ............................................................................................ 7-28

7.1 Introduction Graph theory had its beginning in Euler’s solution of what is known as the Konigsberg Bridge problem. Kirchhoff developed the theory of trees in 1847 as a tool in the study of electrical networks. This was the first application of graph theory to a problem in physical science. Electrical network theorists have since played a major role in the phenomenal advances of graph theory that have taken place. A comprehensive treatment of these developments may be found in Ref. [1]. In this chapter, we develop most of those results which form the foundation of graph theoretic study of electrical networks. Our development of graph theory is self-contained, except for the definitions of standard set-theoretic operations and elementary results from matrix theory. We wish to note that the ring sum of two sets S1 and S2 refers to the set consisting of all those elements which are in S1 or in S2 but not in both S1 and S2.

7.2 Basic Concepts A graph G ¼ (V, E) consists of two sets: a finite set V ¼ (v1, v2, . . . , vn) of elements called vertices and a finite set E ¼ (e1, e2, . . . , em) of elements called edges. Each edge is identified with a pair of vertices. If the edges of G are identified with ordered pairs of vertices, then G is called a directed or an oriented graph. Otherwise G is called an undirected or a nonoriented graph. Graphs are amenable for pictorial representations. In a pictorial representation each vertex is represented by a dot and each edge is represented by a line segment joining the dots associated with the edge. In directed graphs, we assign an orientation or direction to each edge. If the edge is associated with the ordered pair (vi, vj), then this edge is oriented from vi to vj. If an edge e connects vertices vi and vj, then it is denoted by e ¼ (vi, vj). In a directed graph, (vi, vj) refers to an edge directed from vi to vj. An undirected graph and a directed graph are shown in Figure 7.1. Unless explicitly stated, the term ‘‘graph’’ may refer to an undirected graph or to a directed graph. 7-1

Fundamentals of Circuits and Filters

7-2

v1

v2

v1

v2

v5

v3

v4

(a)

FIGURE 7.1

v3

v4

(b)

(a) An undirected graph; (b) a directed graph.

The vertices vi and vj associated with an edge are called the end vertices of the edge. All edges having the same pair of end vertices are called parallel edges. In a directed graph, parallel edges refer to edges connecting the same pair of vertices vi and vj oriented in the same direction from vi to vj or from vj to vi. For instance, in the graph of Figure 7.1a, the edges connecting v1 and v2 are parallel edges. In the directed graph of Figure 7.1b the edges connecting v3 and v4 are parallel edges. However, the edges connecting v1 and v2 are not parallel edges because they are not oriented in the same direction. If the end vertices of an edge are not distinct, then the edge is called a self-loop. The graph of Figure 7.1a has one self-loop and the graph of Figure 7.1b has two self-loops. An edge is said to be incident on its end vertices. In a directed graph the edge (vi, vj) is said to be incident out of vi and is said to be incident into vj. Vertices vi and vj are adjacent if an edge connects vi and vj. The number of edges incident on a vertex vi is called the degree of vi and is denoted by d(vi). In a directed graph din(vi) refers to the number of edges incident into vertex vi, and it is called the in-degree of vi. dout(vi) refers to the number of edges incident out of vertex vi, and it is called the out-degree of vi. If d(vi) ¼ 0, then vi is called an isolated vertex. If d(vi) ¼ 1, then vi is called a pendant vertex. A self-loop at a vertex vi is counted twice while computing d(vi). As an example, in the graph of Figure 7.1a, d(v1) ¼ 3, d(v4) ¼ 3, and v5 is an isolated vertex. In the directed graph of Figure 7.1b din(v1) ¼ 3, dout(v1) ¼ 2. Note that in a directed graph, for every vertex vi, d(vi ) ¼ din (vi ) þ dout (vi )

THEOREM 7.1 1. The sum of the degrees of the vertices of a graph G is equal to 2m, where m is the number of edges of G. 2. In a directed graph with m edges, the sum of the in-degrees and the sum of the out-degrees are both equal to m. Proof 1. Because each edge is incident on two vertices, it contributes 2 to the sum of the degrees of G. Hence, all edges together contribute 2m to the sum of the degrees. 2. Proof follows if we note that each edge is incident out of exactly one vertex and incident into exactly one vertex.

Graph Theory

7-3

THEOREM 7.2 The number of vertices of odd degree in any graph is even.

Proof: By Theorem 7.1, the sum of the degrees of the vertices is even. Thus, the sum of the odd degrees must be even. This is possible only if the number of vertices of odd degree is even. Consider a graph G ¼ (V, E). The graph G0 ¼ (V0 , E0 ) is a subgraph of G if V0  V and E0  E. If every vertex in V0 is an end vertex of an edge in E0 , then G0 is called the induced subgraph of G on E0 . As an example, a graph G and two subgraphs of G are shown in Figure 7.2. In a graph G, a path P connecting vertices vi and vj is an alternating sequence of vertices and edges starting at vi and ending at vj with all vertices except vi and vj being distinct. In a directed graph, a path P connecting vertices vi and vj is called a directed path from vi to vj if all the edges in P are oriented in the same direction as we traverse P from vi toward vj. If a path starts and ends at the same vertex, it is called a circuit.* In a directed graph, a circuit in which all the edges are oriented in the same direction is called a directed circuit. It is often convenient to represent paths and circuits by the sequence of edges representing them. For example, in the undirected graph of Figure 7.3a P: e1, e2, e3, e4 is a path connecting v1 and v5 and C: e1, e2, e3, e4, e5, e6 is a circuit. In the directed graph of Figure 7.3b P: e1, e2, e7, e5 is a directed path and C: e1, e2, e7, e6 is a directed circuit. Note that e7, e5, e4, e1, e2 is a circuit in this directed graph, although it is not a directed circuit. Two vertices vi and vj are said to be connected in a graph G if a path in G connects vi and vj. A graph G is connected if every pair of vertices in G is connected; otherwise, it is a disconnected graph. For example, the graph G in Figure 7.4a is connected, but the graph in Figure 7.4b is not connected. A connected subgraph G0 ¼ (V0 , E0 ) of a graph G ¼ (V, E) is a component of G if adding to G0 an edge e 2 E  E0 results in a disconnected graph. Thus, a connected graph has exactly one component. For example, the graph in Figure 7.4b is not connected and has two components.

v1

v2

v3

v4

(a) FIGURE 7.2

v5

v1

v1

v3

(b)

v4

v5

v3

(c)

(a) Graph G; (b) subgraph of G; (c) an edge-induced subgraph of G.

* In electrical network theory literature, the term ‘‘loop’’ is also used to refer to a circuit.

v2

v4

Fundamentals of Circuits and Filters

7-4

v1

e1

e6

e7

v6

e5

v2

e8

v5

(a)

FIGURE 7.3

e4

e2

v3

e9

e3

v4

v1

e4

e8

v6

e5

e1

e6

v5

v3

e9

e7

e2

v4

(b)

(a) An undirected graph; (b) a directed graph.

(a)

FIGURE 7.4

v2

e3

(b)

(a) A connected graph; (b) a disconnected graph.

A tree is a graph that is connected and has no circuits. Consider a connected graph G. A subgraph of G is a spanning tree* of G if the subgraph is a tree and contains all the vertices of G. A tree and a spanning tree of the graph of Figure 7.5a are shown in Figure 7.5b and c, respectively. The edges of a spanning tree T are called the branches of T. Given a spanning tree of a connected graph G, the cospanning tree* relative to T is the subgraph of G induced by the edges that are not present in T. For example, the cospanning tree relative to the spanning tree T of Figure 7.5c consists of the edges e3, e6, and e7. The edges of a cospanning tree are called chords. A subgraph of a graph G is a k-tree of G if the subgraph has exactly k components and has no circuits. For example, a 2-tree of the graph of Figure 7.5a is shown in Figure 7.5d. If a graph has k components, then a forest of G is a spanning subgraph that has k components and no circuits. Thus, each component of the forest is a spanning tree of a component of G. A graph G and a forest of G are shown in Figure 7.6. Consider a directed graph G. A spanning tree T of G is called a directed spanning tree with root vi if T is a spanning tree of G, and din(vi) ¼ 0 and din(vj) ¼ 1 for all vj 6¼ vi. A directed graph G and a directed spanning tree with root v1 are shown in Figure 7.7. It can easily be verified that, in a tree, exactly one path connects any two vertices.

THEOREM 7.3 A tree on n vertices has n  1 edges.

Proof: Proof is by induction on the number of vertices of the tree. Clearly, the result is true if a tree has one or two vertices. Assume that the result is true for trees on n  2 or fewer vertices. Consider now a * In electrical network theory literature, the terms ‘‘tree’’ and ‘‘cotree’’ are usually used to mean spanning tree and cospanning tree, respectively.

Graph Theory

7-5 v1 e5

e1 e3

v2

v3

e2

v5

v4

v5

e7

(b)

v1 e1

e4

e6

e7

(a)

v3 e2

e4

v4

v2

v1 e1

e5 v2

v3

v2 e4

e2

v3 e4 e7

v4 v4

v5

(c)

FIGURE 7.5

v5

(d)

(a) Graph G; (b) a tree of graph G; (c) a spanning tree of G; (d) a 2-tree of G.

tree T on n þ 1 vertices. Pick an edge e ¼ (vi, vj) in T. Removing e from T would disconnect it into exactly two components T1 and T2. Both T1 and T2 are trees. Let n1 and m1 be the number of vertices and the number of edges in T1, respectively. Similarly n2 and m2 are defined. Then, by the induction hypothesis

v2

v1

v1

v5

v5

v2

v7 v4

v3 v8

(a)

FIGURE 7.6

v9

v11

(a) Graph G; (b) a forest of G.

v6

v4

v3

v10

v8

v6 v9

v11 (b)

v7 v10

Fundamentals of Circuits and Filters

7-6 v1 e1

e2

v2

e3 e4

e7

v4

v3 e9

e5

e4

e7

v4

v3

e6

e8 v5

e8 v6

e10

(a)

FIGURE 7.7

e2

v1

v2

v5

e6 v6

(b)

(a) Directed graph G; (b) a directed spanning tree of G with root v1.

m1 ¼ n1  1 and m2 ¼ n2  1 Thus, the number m of edges in T is given by m ¼ m1 þ m2 þ 1 ¼ (n1  1) þ (n2  1) þ 1 ¼ n1 þ n2  1 ¼n1 This completes the proof of the theorem. If a connected graph G has n vertices, m edges, and k components, then the rank r and nullity m of G are defined as follows: r(G) ¼ n  k

(7:1)

m(G) ¼ m  n þ k

(7:2)

Clearly, if G is connected, then any spanning tree of G has r ¼ n  1 branches and m ¼ m  n þ 1 chords. We conclude this section with the following theorems. Proof of these theorems may be found in Ref. [2].

THEOREM 7.4 A tree on n  2 vertices has at least two pendant vertices.

THEOREM 7.5 A subgraph of an n-vertex connected graph G is a spanning tree of G if and only if the subgraph has no circuits and has n  1 edges.

Graph Theory

7-7

THEOREM 7.6 If a subgraph G0 of a connected graph G has no circuits then there exists a spanning tree of G that contains G0 .

7.3 Cuts, Circuits, and Orthogonality We introduce here the notions of a cut and a cutset and develop certain results which bring out the dual nature of circuits and cutsets. Consider a connected graph G ¼ (V, E) with n vertices and m edges. Let V1 and V2 be two mutually disjoint nonempty subsets of V such that V ¼ V1  V2. Thus, V2 ¼ V 1, the complement of V1 in V. V1 and V2 are also said to form a partition of V. Then the set of all those edges which have one end vertex in V1 and the other in V2 is called a cut of G and is denoted by hV1, V2i. As an example, a graph G and a cut hV1, V2i of G are shown in Figure 7.8. The graph G0 which results after removing the edges in a cut will have at least two components and so will not be connected. G0 may have more than two components. A cutset S of a connected graph G is a minimal set of edges of G such that removal of S disconnects G into exactly two components. Thus, a cutset is also a cut. Note that the minimality property of a cutset implies that no proper subset of a cutset is a cutset. Consider a spanning tree T of a connected graph G. Let b be a branch of T. Removal of the branch b disconnects T into exactly two components, T1 and T2. Let V1 and V2 denote the vertex sets of T1 and T2, respectively. Note that V1 and V2 together contain all the vertices of G. We can verify that the cut hV1, V2i is a cutset of G and is called the fundamental cutset of G with respect to branch b of T. Thus, for a given connected graph G and a spanning tree T of G, we can construct n  1 fundamental cutsets, one for each branch of T. As an example, for the graph shown in Figure 7.8, the fundamental cutsets with respect to the spanning tree T ¼ [e1, e2, e6, e8] are Branch e1 :

(e1 ,e3 ,e4 )

Branch e2 : Branch e6 : Branch e8 :

(e2 ,e3 ,e4 ,e5 ) (e6 ,e4 ,e5 ,e7 ) (e8 ,e7 )

v1 e3

e1 v2

e5

v4

e2

v3

e4 e6

e7

e8

v3

e1 e2 e5

v4

e7

v5

(a)

FIGURE 7.8

v1

(a) Graph G; (b) cut hV1, V2i of G.

v1 (b)

v2 e8 v5

v2

Fundamentals of Circuits and Filters

7-8

Note that the fundamental cutset with respect to branch b contains b. Furthermore, the branch b is not present in any other fundamental cutset with respect to T. Next, we identify a special class of circuits of a connected graph G. Again, let T be a spanning tree of G. Because exactly one path exists between any two vertices of T, adding a chord c to T produces a unique circuit. This circuit is called the fundamental circuit of G with respect to chord c of T. Note again that the fundamental circuit with respect to chord c contains c, and the chord c is not present in any other fundamental circuit with respect to T. As an example, the set of fundamental circuits with respect to the spanning tree T ¼ (e1, e2, e6, e8) of the graph shown in Figure 7.8 is Chord e3 : Chord e4 :

(e3 ,e1 ,e2 ) (e4 ,e1 ,e2 ,e6 )

Chord e5 : Chord e7 :

(e5 ,e2 ,e6 ) (e7 ,e8 ,e6 )

We now present a result that is the basis of what is known as the orthogonality relationship.

THEOREM 7.7 A circuit and a cutset of a connected graph have an even number of common edges.

Proof: Consider a circuit C and a cutset S ¼ hV1, V2i of G. The result is true if C and S have no common edges. Suppose that C and S possess some common edges. Let us traverse the circuit C starting from a vertex, e.g., v1 and V1. Because the traversing should end at v1, it is necessary that every time we encounter an edge of S leading us from V1 to V2 an edge of S must lead us from V2 back to V1. This is possible only if S and C have an even number of common edges. The above result is the foundation of the theory of duality in graphs. Several applications of this simple result are explored in different parts of this chapter. A comprehensive treatment of the duality theory and its relationship to planarity may be found in Ref. [2]. The following theorem establishes a close relationship between fundamental circuits and fundamental cutsets.

THEOREM 7.8 1. The fundamental circuit with respect to a chord of a spanning tree T of a connected graph consists of exactly those branches of T whose fundamental cutsets contain the chord. 2. The fundamental cutset with respect to a branch of a spanning tree T of a connected graph consists of exactly those chords of T whose fundamental circuits contain the branch.

Proof: Let C be the fundamental circuit of a connected graph G with respect to a chord c of a spanning tree T of G. Let C contain, in addition to the chord c, the branches b1, b2, . . . , bk of T. Let Si be the fundamental cutset with respect to branch bi.

Graph Theory

7-9

We first show that each Si, 1  i  k contains c. Note that bi is the only branch common to Si and C, and c is the only chord in C. Because by Theorem 7.7, Si and C must have an even number of common edges, it is necessary that Si contains c. Next, we show that no other fundamental cutset of T contains c. Suppose the fundamental cutset Sk þ 1 with respect to some branch bk þ 1 of T contains c. Then c will be the only edge common to Sk þ 1 and C, contradicting Theorem 7.7. Thus the chord c is present only in those cutsets defined by the branches b1, b2, . . . , bk. The proof for item 2 of the theorem is similar to that of item 1.

7.4 Incidence, Circuit, and Cut Matrices of a Graph The incidence, circuit, and cut matrices are coefficient matrices of Kirchhoff’s equations which describe an electrical network. We develop several properties of these matrices that have proved useful in the study of electrical networks. Our discussions are mainly in the context of directed graphs. The results become valid in the case of undirected graphs if addition and multiplication are in GF(2), the field of integers modulo 2. (Note that 1 þ 1 ¼ 0 in this field.)

7.4.1 Incidence Matrix Consider a connected directed graph G with n vertices and m edges and have no self-loop. The all-vertex incidence matrix Ac ¼ [aij] of G has n rows, one for each vertex, and m columns, one for each edge. The element aij of Ac is defined as follows: 8 < 1, aij ¼ 1, : 0,

if the jth edge is incident out of the ith vertex if the jth edge is incident into the ith vertex if the jth edge is not incident on the ith vertex

A row of Ac will be referred to as an incidence vector. As an example, for the directed graph shown in Figure 7.9, the matrix Ac is v1 v2 A c ¼ v3 v4 v5

e5 e6 e3 e4 e7 3 2 e 1 e2 1 0 0 0 0 1 1 6 1 1 0 0 0 0 07 6 7 6 0 1 1 0 1 0 07 6 7 4 0 0 1 1 0 1 05 0 0 0 1 1 0 1

v1

e7

e1

v2

e6 e4 e5

e2

v3

FIGURE 7.9

Directed graph.

v5

e3

v4

Fundamentals of Circuits and Filters

7-10

From the definition of Ac it should be clear that each column of this matrix has exactly two nonzero entries, one þ1 and one 1, and therefore, we can obtain any row of Ac from the remaining rows. Thus, rank(Ac )  n  1

(7:3)

An (n  1) rowed submatrix of Ac is referred to as an incidence matrix of G. The vertex which corresponds to the row of Ac that is not in A is called the reference vertex of A.

THEOREM 7.9 The determinant of an incidence matrix of a tree is 1.

Proof: Proof is by induction on the number m of edges in the tree. We can easily verify that the result is true for any tree with m  2 edges. Assume that the result is true for all trees having m  2 or fewer edges. Consider a tree T with m þ 1 edges. Let A be the incidence matrix of T with reference vertex vr. Because, by Theorem 7.4, T has at least two pendant vertices, we can find a pendant vertex vi 6¼ vr. Let (vi, vj) be the only edge incident on vi. Then, the remaining edges form a tree T1. Let A1 be the incidence matrix of T1 with vertex vr as reference. Now let us rearrange the rows and columns of A so that the first n  2 rows correspond to the vertices in T1 (except vr) and the first n  1 columns correspond to the edges of T1. Then, we have 

A1 A¼ 0

A3 1



So det A ¼ ( det A1 )

(7:4)

A1 is the incidence matrix of T1 and T1 has m edges, it follows from the induction hypothesis that det A1 ¼ 1. Hence the theorem. Because a connected graph has at least one spanning tree, it follows from the above theorem that any incidence matrix A of a connected graph has a nonsingular submatrix of order n  1. Therefore, rank(Ac )  n  1

(7:5)

Combining Equations 7.3 and 7.5 yields the following theorem.

THEOREM 7.10 The rank of any incidence matrix of a connected directed graph G is equal to n  1, the rank of G.

7.4.2 Cut Matrix Consider a cut hVa, V ai in a connected directed graph G with n vertices and m edges. Recall that hVa, V ai consists of all those edges connecting vertices in Va to those in V a. This cut may be assigned on orientation from Va to V a or from V a to Va. Suppose the orientation of hVa, V ai is from Va to V a. Then the orientation of an edge (vi, vj) is said to agree with the cut orientation if vi 2 Va, and vj 2 V a.

Graph Theory

7-11

The cut matrix Qc ¼ [qij] of G has m columns, one for each edge, and has one row for each cut. The element qij is defined as follows: 8 < 1, qij ¼ 1, : 0,

if the jth edge is in the ith cut and its orientation agrees with the cut orientation if the jth edge is in the ith cut and its orientation does not agree with the cut orientation if the jth edge is not in the ith cut

Each row of Qc is called a cut vector. The edges incident on a vertex form a cut. Thus, it follows that the matrix Ac is a submatrix of Qc. Next we identify another important submatrix of Qc. Recall that each branch of a spanning tree T of a connected graph G defines a fundamental cutset. The submatrix of Qc corresponding to the n  1 fundamental cutsets defined by T is called the fundamental cutset matrix Qf of G with respect to T. Let b1, b2, . . . , bn  1 denote the branches of T. Let us assume that the orientation of a fundamental cutset is chosen so as to agree with that of the defining branch. Suppose we arrange the rows and the columns of Qf so that the ith column corresponds to branch bi, and the ith row corresponds to the fundamental cutset defined by bi. Then, the matrix Qf can be displayed in a convenient form as follows: Qf ¼ ½UjQfc 

(7:6)

where U is the unit matrix of order n  1 and its columns correspond to the branches of T. As an example, the fundamental cutset matrix of the graph in Figure 7.9 with respect to the spanning tree T ¼ (e1 , e2 , e5 , e6 ) is 2 e1 e1 1 e 60 Qf ¼ 2 6 e5 4 0 e6 0

e2 0 1 0 0

e5 0 0 1 0

e6 e3 e4 e7 3 0 1 1 1 0 1 1 1 7 7 0 0 1 1 5 1 1 1 0

It is clear from Equation 7.6 that the rank of Qf is n  1. Hence, rank(Qc )  n  1

(7:7)

7.4.3 Circuit Matrix Consider a circuit C in a connected directed graph G with n vertices and m edges. This circuit can be traversed in one of two directions, clockwise or counterclockwise. The direction we choose for traversing C is called the orientation of C. If an edge e ¼ (vi, vj) directed from vi to vj is in C, and if vi appears before vj as we traverse C in the direction specified by its orientation, then we say that the orientation of e agrees with the orientation of C. The circuit matrix Bc ¼ [bij] of G has m columns, one for each edge, and has one row for each circuit in G. The element bij is defined as follows:

bij ¼

8 1, > < > : 1, 0,

if the jth edge is in the i th circuit and its orientation agrees with the circuit orientation if the jth edge is in the ith circuit and its orientation does not agree with the orientation if the jth edge is not in the ith circuit

Each row of Bc is called a circuit vector.

Fundamentals of Circuits and Filters

7-12

The submatrix of Bc corresponding to the fundamental circuits defined by the chords of a spanning tree T is called the fundamental circuit matrix Bf of G with respect to the spanning tree T. Let c1, c2, . . . , cm  n þ 1 denote the chords of T. Suppose we arrange the columns and the rows of Bf so that the ith row corresponds to the fundamental circuit defined by the chord ci, and the ith column corresponds to the chord ci. If, in addition, we choose the orientation of a fundamental circuit to agree with the orientation of the defining chord, we can write Bf as Bf ¼ [UjBft ]

(7:8)

where U is the unit matrix of order m  n þ 1, and its columns correspond to the chords of T. As an example, the fundamental circuit matrix of the graph shown in Figure 7.9 with respect to the tree T ¼ (e1, e2, e5, e6) is given below: 2 e3 e4 e7 e1 e2 e5 e6 3 e3 1 0 0 1 1 0 1 Bf ¼ e4 4 0 1 0 1 1 1 1 5 e7 0 0 1 1 1 1 0 It is clear from Equation 7.8 that the rank of Bf is m  n þ 1. Hence, rank(Bc )  m  n þ 1

(7:9)

7.5 Orthogonality Relation and Ranks of Circuit and Cut Matrices

THEOREM 7.11 If a cut and a circuit in a directed graph have 2k edges in common, then k of these edges have the same relative orientation in the cut and in the circuit, and the remaining k edges have one orientation in the cut and the opposite orientation in the circuit.

e1 c

e2 Va

FIGURE 7.10 and a circuit.

Va

Relative orientations of an edge in a cut

Proof: Consider a cut hVa, V ai and a circuit C in a directed graph. Suppose we traverse C starting from a vertex in Va. Then, for every edge e1 that leads from Va to V a, an edge e2 leads from V a to Va. Suppose the orientation of e1 agrees with the orientation of the cut and that of the circuit. Then we can easily verify that e2 has one orientation in the cut and the opposite orientation in the circuit (Figure 7.10). On the other hand, we can also verify that if e1 has one orientation in the cut and the opposite orientation in the circuit, then e2 will have the same relative orientation in the circuit and in the cut. This proves the theorem. Next we prove the orthogonality relation.

Graph Theory

7-13

THEOREM 7.12 If the columns of the circuit matrix Bc and the columns of the cut matrix Qc are arranged in the same edge order, then Bc Qtc ¼ 0

(7:10)

Proof: Each entry of the matrix BcQtc is the inner product of a circuit vector and a cut vector. Suppose a circuit and a cut have 2k edges in common. The inner product of the corresponding vectors is zero, because by Theorem 7.11, this product is the sum of k 1’s and k  1’s. The orthogonality relation is a profound result with interesting applications in electrical network theory. Consider a connected graph G with m edges and n vertices. Let Qf be the fundamental cutset matrix and Bf be the fundamental circuit matrix of G with respect to a spanning tree T. If we write Qf and Bf as in Equations 7.6 and 7.8, then using the orthogonality relation we get Bf Qtf ¼ 0 that is,   U [Bft U] t ¼ 0 Qfc that is, Bft ¼ Qtfc

(7:11)

Using Equation 7.11, each circuit vector can now be expressed as a linear combination of the fundamental circuit vectors. Consider a circuit vector b ¼ b1, b2, . . . , br jbr þ 1, . . . , bm of G where r ¼ n  1, is the rank of G. Then, again by the orthogonality relation we have bQtf

  U ¼ [b1 , b2 , . . . , br jbrþ1 bm ] t ¼ 0 Qfc

(7:12)

Therefore, [b1 , b2 , . . . , br ] ¼ [brþ1 , brþ2 , . . . , bm ]Qtfc ¼ [brþ1 , brþ2 , . . . , bm ]Bft So, [b1 , b2 , . . . , bm ] ¼ [brþ1 , brþ2 bm ] [ Bft ¼ [brþ1 , brþ2 bm ]Bf

U] (7:13)

Thus, any circuit vector can be expressed as a linear combination of the fundamental circuit vectors. So

Fundamentals of Circuits and Filters

7-14

rank(Bc )  rank(Bf ) ¼ m  n þ 1 Combining the above with Equation 7.9 we obtain rank(Bc ) ¼ m  n þ 1

(7:14)

Starting from a cut vector and using the orthogonality relation, we can prove in an exactly similar manner that rank(Qc )  rank(Qf ) ¼ n  1 Combining the above with Equation 7.7, we get rank(Qc ) ¼ n  1 Summarizing, we have the following theorem.

THEOREM 7.13 For a connected graph G with m edges and n vertices rank(Bc ) ¼ m  n þ 1 rank(Qc ) ¼ n  1 We wish to note from Equation 7.13 that the vector corresponding to a circuit C can be expressed as an appropriate linear combination of the fundamental circuit vectors corresponding to the chords present in C. Similarly, the vector corresponding to a cut can be expressed as an appropriate linear combination of the fundamental cut vectors corresponding to the branches present in the cut. Because modulo 2 addition of two vectors corresponds to the ring sum of the corresponding subgraphs, we have the following results for undirected graphs.

THEOREM 7.14 Let G be a connected undirected graph. 1. Every circuit can be expressed as a ring sum of the fundamental circuits with respect to a spanning tree. 2. Every cut can be expressed as a ring sum of the fundamental cutsets with respect to a spanning tree. We can easily verify the following consequences of the orthogonality relation: 1. A linear relationship exists among the columns of the cut matrix (also of the incidence matrix), which correspond to the edges of a circuit. 2. A linear relationship exists among the columns of the circuit matrix, which correspond to the edges of a cut. The following theorem characterizes the submatrices of Ac, Qc, and Bc which correspond to spanning trees and cospanning trees. Proof follows from the above results and may be found in Ref. [2].

Graph Theory

7-15

THEOREM 7.15 Let G be a connected graph G with n vertices, and m edges. 1. A square submatrix of order n  1 of Qc (also of Ac) is nonsingular iff the edges corresponding to the columns of this submatrix form a spanning tree of G. 2. A square submatrix of order m  n þ 1 of Bc is nonsingular iff the edges corresponding to the columns of this submatrix form a cospanning tree of G.

7.6 Spanning Tree Enumeration Here, we first establish a formula for counting the number of spanning trees of an undirected graph. We then state a generalization of this result for the case of a directed graph. These formulas have played key roles in the development of topological formulas for electrical network functions. A detailed development of topological formulas for network functions may be found in Swamy and Thulasiraman [1]. The formula for counting the number of spanning trees of a graph is based on Theorem 7.9 and a result in matrix theory, known as the Binet–Cauchy theorem. A major of a matrix is a determinant of a maximum order. Consider a matrix P of order p 3 q and a matrix Q of order q 3 p, with p  q. The majors of P and Q are of order p. If a major of P consists of columns i1, i2, . . . , ip, the corresponding major of Q is formed by rows i1, i2, . . . , ip of Q. For example, if 

1 P¼ 2

2 2 4 3 1 2

2

 and

5 6 2 Q¼6 4 2 3

3 0 17 7 25 1

then for the major   2   3

 4  2

of P  2  3

 1  1

is the corresponding major of Q. The Binet–Cauchy theorem is stated next. Proof of this theorem may be found in Hohn [3].

THEOREM 7.16 If P is a p 3 q matrix and Q is a q 3 p matrix, with p  q, then det (PQ) ¼ S (product of the corresponding majors of P and Q):

THEOREM 7.17 Let G be a connected undirected graph and A an incidence matrix of a directed graph obtained by assigning orientations to the edges of G. Then

Fundamentals of Circuits and Filters

7-16 v1

v2 v2

v1

v3

v4

(a)

FIGURE 7.11 edges of G.

v3

v4

(b)

(a) An undirected graph G; (b) directed graph obtained after assigning arbitrary orientations to the

t(G) ¼ det (AAt )

(7:15)

where t(G) is the number of spanning trees of G. Proof:

By the Binet–Cauchy theorem, we have det (AAt ) ¼ S (product of the corresponding majors of A and At )

(7:16)

Recall from Theorem 7.15 that a major of A is nonzero iff the edges corresponding to the columns of the major form a spanning tree of G. Also, the corresponding majors of A and At have the same value equal to 0, 1, or 1 (Theorem 7.9). Thus, each nonzero term in the sum on the right-hand side of Equation 7.16 has the value 1, and it corresponds to a spanning tree and vice versa—hence the theorem. For example, consider the undirected graph G shown in Figure 7.11a. Assigning arbitrary orientations to the edges of G, we obtain the directed graph in Figure 7.11b. If A is the incidence matrix of this directed graph with vertex v4 as reference vertex then it can be verified that 2

3 3 1 1 2 05 AAt ¼ 4 1 1 0 2 and det(AAt) ¼ 8. Thus, G has eight spanning trees. An interesting and useful interpretation of the matrix AAt now follows. Let v1, v2, . . . , vn be the vertices of an undirected graph G. The degree matrix K ¼ [kij] of G is an n 3 n matrix defined as follows.  kij ¼

p, d(vi ),

if i 6¼ j and p parallel edges connect vi and vj if i ¼ j

We may easily verify that K ¼ Ac Atc , and that it is independent of the choice of orientations for the edges of G. Also, if vi is the reference vertex, AAt is obtained by removing row i and column i of K. In other words, det(AAt) is the (i, i) cofactor of K. It then follows from Theorem 7.17 that all of the cofactors of K are equal to the number of spanning trees of G. Thus, Theorem 7.17 may be stated in the following form originally presented by Kirchhoff [4].

THEOREM 7.18 All the cofactors of the degree matrix of an undirected graph G have the same value equal to the number of spanning trees of G.

Graph Theory

7-17

Consider a connected undirected graph G. Let A be the incidence matrix of G with reference vertex vn. Let ti,n denote the number of spanning 2-trees of G such that the vertices vi and vn are in different components of these spanning 2-trees. Also, let tij,n denote the number of spanning 2-trees such that vertices vi and vj are in the same component, and vertex vn is in a different component of these spanning 2-trees. If Dij denotes the (i, j) cofactor of (AAt), then we have the following result, proof of which may be found in Ref. [2].

THEOREM 7.19 For a connected graph G, ti,n ¼ Dii

(7:17)

tij,n ¼ Dij

(7:18)

Consider next a directed graph G ¼ (V, E) without self-loops and with V ¼ (v1, v2, . . . , vn). The in-degree matrix K ¼ [kij] of G is an (n 3 n) matrix defined as follows:  kij ¼

p din (vi ),

if i 6¼ j and p parallel edges are directed from vi to vj if i ¼ j

The following result is due to Tutte [5]. Proof of this result may also be found in Ref. [2].

THEOREM 7.20 Let K be the in-degree matrix of a directed graph G without self-loops. Let the ith row of K correspond to vertex vi. Then, the number td of directed spanning trees of G having vr as root is given by td ¼ Drr

(7:19)

where Drr is the (r, r) cofactor of K. Note the similarity between Theorem 7.18 and Theorem 7.20. To illustrate Theorem 7.20, consider the directed graph G shown in Figure 7.12. The in-degree matrix K and G is 3 1 1 2 K ¼ 4 1 2 1 5 0 1 3 2

e1 v1 e2 e5 e6

v2

Then

e4 e3

v3

FIGURE 7.12 A directed graph G.



D11

2 ¼ 1

 1 ¼5 3

The five directed spanning trees of G with vertex v1 as root are (e1, e5), (e1, e6), (e1, e3), (e4, e5), and (e4, e6).

Fundamentals of Circuits and Filters

7-18

7.7 Graphs and Electrical Networks An electrical network is an interconnection of electrical network elements such as resistances, capacitances, inductances, voltage and current sources, etc. Each network element is associated with two variables, the voltage variable v(t) and the current variable i(t). We also assign reference directions to the network elements (Figure 7.13) so that i(t) is positive whenever the current is in the direction of the arrow, and v(t) is positive whenever the voltage drop in the network element is in the direction of the arrow. Replacing each element and its associated reference direction by a directed edge results in the directed graph representing the network. For example, a simple electrical network and the corresponding directed graph are shown in Figure 7.14. The physical relationship between the current and voltage variables of a network element is specified by Ohm’s law. For voltage and current sources, the voltage and current variables are required to have specified values. The linear dependence among the voltage variables in the network and the linear dependence among the current variables are governed by Kirchhoff’s voltage and current laws. Kirchhoff’s Voltage Law (KVL): The algebraic sum of the voltages around any circuit is equal to zero. Kirchhoff’s Current Law (KCL): The algebraic sum of the currents flowing out of a node is equal to zero. As an example, the KVL equation for the circuit 1, 3, 5 and the KCL equation for the vertex b in the graph of Figure 7.14 are Circuit: 1, 3, 5 v1 þ v3 þ v5 ¼ 0 Vertex b: i1 þ i2 þ i3 ¼ 0 It can easily be seen that KVL and KCL equations for an electrical network N can be conveniently written as Ac Ie ¼ 0

(7:20)

i (t) +

FIGURE 7.13

v (t)

A network element with reference convention.

6 6 b

a 5

2

1

c

1

a

b

3 5

4

3

FIGURE 7.14

c

4

+

(a)

2

d

(b)

(a) An electrical network N; (b) directed graph representation of N.

d

Graph Theory

7-19

and Bc Ve ¼ 0

(7:21)

where Ac and Bc are, respectively, the incidence and circuit matrices of the directed graph representing N Ie and Ve are, respectively, the column vectors of element currents and voltages in N Because each row in the cut matrix Qc can be expressed as a linear combination of the rows of the matrix, in Equation 7.20 we can replace Ac by Qc. Thus, we have KCL:

Qc Ie ¼ 0

(7:22)

KVL:

Be Ve ¼ 0

(7:23)

From Equation 7.22, we can see that KCL can also be stated as: The algebraic sum of the currents in any cut of N is equal to zero. If a network N has n vertices, m elements, and its graph is connected then there are only (n  1) linearly independent cuts and only (m  n þ 1) linearly independent circuits (Theorem 7.13). Thus, in writing KVL and KCL equations we need to use only, Bf, a fundamental circuit matrix and Qf, a fundamental cutset matrix, respectively. Thus, we have KCL:

Qf Ie ¼ 0

(7:24)

KVL:

Bf Ve ¼ 0

(7:25)

We note that the KCL and the KVL equations depend only on the way the network elements are interconnected and not on the nature of the network elements. Thus, several results in electrical network theory are essentially graph theoretic in nature. Some of these results and their usefulness in electrical network analysis are presented in the remainder of this chapter. In the following a network N and its directed graph are both denoted by N.

THEOREM 7.21 Consider an electrical network N. Let T be a spanning tree of N, and let Bf and Qf denote the fundamental circuit and the fundamental cutset matrices of N with respect to T. If Ie and Ve are the column vectors of element currents and voltages and Ic and Vt are, respectively, the column vector of currents associated with the chords of T and the column vector of voltages associated with the branches of T, then

Proof:

Loop transformation: Ie ¼ Btf Ic

(7:26)

Cutset transformation: Ve ¼ Qtf Vt

(7:27)

From Kirchhoff’s laws, we have

Qf Ie ¼ 0

(7:28)

Fundamentals of Circuits and Filters

7-20

and Bf Ve ¼ 0

(7:29)

Let us partition Ie and Ve as 

I Ie ¼ c It



and 

Vc Ve ¼ Vt



where the vectors that correspond to the chords and branches of T are distinguished by the subscripts c and t, respectively. Then Equations 7.28 and 7.29 can be written as   I U] c ¼0 It

(7:30)

  V Bft ] c ¼ 0 Vt

(7:31)

[ Qfc and [U Recall Equation 7.11 that

Bft ¼ Qtfc Then, we get from Equation 7.30 It ¼ Qfc Ic ¼ Btft Ic Thus, 

 U I ¼ Btf Ic Ie ¼ Btft c This establishes the loop transformation. Starting from Equation 7.31, we can show in a similar manner that Ve ¼ Qtf Vt thereby establishing the cutset transformation. In the special case in which the incidence matrix A is used in place of the fundamental cutset matrix, the cutset transformation (Equation 7.27) is called the node transformation. The loop, cutset, and node transformations have been extensively employed to develop different methods of network analysis. The loop method of analysis develops a system of network equations which involve only the chord currents as

Graph Theory

7-21

variables. The cutset (node) method of analysis develops a system of equations involving only the branch (node) voltages as variables. Thus, the loop and cutset (node) methods result in systems of equations involving m  n þ 1 and n  1 variables, respectively. In the mixed-variable method of analysis, which is essentially a combination of both the loop and cutset methods, some of the independent variables are currents and the others are voltages. The minimum number of variables required in the mixed-variable method of analysis is determined by what is known as the principal partition of a graph introduced by Kishi and Kajitani in a classic paper [6]. Ohtsuki et al. [7] discuss several issues relating to the mixedvariable method of analysis. A detailed discussion of the principal partition of a graph and the different methods of network analysis including the state-variable method may be found in Ref. [1].

7.8 Tellegen’s Theorem and Network Sensitivity Computation Here, we first present a simple and elegant theorem due to Tellegen [8]. The proof of this theorem is essentially graph theoretic in nature and is based on the loop and the cutset transformations, Equations 7.26 and 7.27, and the orthogonality relation (Theorem 7.12). Using this theorem, we develop the concept of the adjoint of a network and its application in network sensitivity computations.

THEOREM 7.22 ^ such that the graphs associated with them are identical. Let Ve Consider two electrical networks, N and N, ^ respectively, and let Ie and Le be the corresponding and ce denote the element voltage vectors of N and N, element current vectors. Then, Vet Le ¼ 0 Iet ce ¼ 0

^ then Proof: If Bf and Qf are the fundamental circuit and cutset matrices of N (and hence also of N), from the loop and cutset transformations, we obtain Ve ¼ Qtf Vt and Le ¼ Btf Lc So Vet Le ¼ Vtt (Qf Btf )Lc ¼ 0, by Theorem 7:12 Proof of the second part follows in a similar manner. The adjoint network was introduced by Director and Rohrer [9], and our discussion is based on their work. A more detailed discussion may be found in Ref. [1]. Consider a lumped, linear time-invariant network N. We assume, without loss of generality, that N is a ^ be a 2-port network that is topologically equivalent to N. In other words, the graph 2-port network. Let N ^ ^ are denoted by the same symbol. of N is identical to that of N. The corresponding elements of N and N

Fundamentals of Circuits and Filters

7-22

I2

I1

+

+ v1

v2 –

Ve, Ie

– (a)

+

λ1

λ2 +

ψ1 –

ψ2 ψe, λe



(b)

FIGURE 7.15

^ of N. (a) A 2-port network N; (b) adjoint network N

^ so that N ^ in conjunction with N can be used in computing Our goal now is to define the elements of N the sensitivities of network functions of N. Let Ve and Ie denote, respectively, the voltage and the current associated with the element e in N, and ce and le denote, respectively, the voltage and the current associated with the corresponding element e in ^ Also, Vi and Ii, i ¼ 1, 2, denote the voltage and current variables associated with the ports of N, and ci N. ^ (Figure 7.15). and li, i ¼ 1, 2, denote the corresponding variables for the ports of N ^ Applying Tellegen’s theorem to N and N, we get V1 l1 þ V2 l2 ¼

X

Ve le

(7:32)

Ie c

(7:33)

e

and I1 c1 þ I2 c2 ¼

X e

^ and the Suppose we now perturb the values of elements of N and apply Tellegen’s theorem to N perturbed network N: (V1 þ DV1 )l1 þ (V2 þ DV2 )l2 ¼

X

(Ve þ DVe )le

(7:34)

(Ie þ DIe )ce

(7:35)

e

and (I1 þ DI1 )c1 þ (I2 þ DI2 )c2 ¼

X e

where DV and DI represent the changes in the voltage and current which results as a consequence of the perturbation of the element values in N. Subtracting Equation 7.32 from Equation 7.34 and subtracting Equation 7.33 from Equation 7.35, DV1 l1 þ DV2 l2 ¼

X e

DVe le

(7:36)

Graph Theory

7-23

and DI1 c1 þ DI2 c2 ¼

X

DIe ce

(7:37)

e

Subtracting Equation 7.37 from Equation 7.36 yields (DV1 l1  DI1 c1 ) þ (DV2 l2  DI2 c2 ) ¼

X

(DVe le  DIe ce )

(7:38)

e

^ for every element in N so that each term in the We wish to define the corresponding element of N summation on the right-hand side of Equation 7.38 reduces to a function of the voltage and current variables and the change in value of the corresponding network element. We illustrate this for resistance elements. Consider a resistance element R in N. For this element, we have VR ¼ RIR

(7:39)

(VR þ DVR ) ¼ (R þ DR)(IR þ DIR )

(7:40)

Suppose we change R to DR, then

Neglecting second-order terms, Equation 7.40 simplifies to VR þ DVR ¼ RIR þ RDIR þ IR DR

(7:41)

Subtracting Equation 7.39 from Equation 7.41, DVR ¼ RDIR þ IR DR

(7:42)

Now using Equation 7.42 the terms in Equation 7.38 corresponding to the resistance elements of N can be written as X

[RlR  cR ]DIR þ IR lR DR

(7:43)

R

If we now choose cR ¼ RlR

(7:44)

then Equation 7.43 reduces to X

IR lR DR

(7:45)

R

^ and the changes in resistance which involves only the network variables in N (before perturbation) and N ^ corresponding to a values. Equation 7.44 is the relation for a resistance. Therefore, the element in N resistance element of value R in N is also a resistance of value R. ^ corresponding to other types of Proceeding in a similar manner we can determine the element of N ^ so obtained is called network elements (inductance, capacitance, controlled sources, etc.) The network N the adjoint of N. A table defining adjoint elements corresponding to different types of network elements may be found in Ref. [1].

Fundamentals of Circuits and Filters

7-24 R=3 Ω

+ – v1

+ –

(a)

FIGURE 7.16

IR





3Ω 2Ω

+ v2

3Ω ψ1 = 0

λR

3Ω 2Ω

λ2 =1

(b)

^ (a) A 2-port network N; (b) adjoint network N.

We now illustrate the application of the adjoint network in the computation of the sensitivity of a network function. Note that the sensitivity of a network function F with respect to a parameter x is a measure of the effect on F of an incremental change in x. Computing this sensitivity essentially involves determining qF=qx. For the sake of simplicity, consider the resistance network shown in Figure 7.16a. Let us assume that resistance R is perturbed from its nominal value of 3 V. Assume that no changes occur in the values of the other resistance elements. We wish to compute qF=qR where F is the open-circuit voltage ratio, that is,  V2  F¼  V1 I2¼0 In other words, to compute F, we connect a voltage source of value V1 ¼ 1 across port 1 of N and opencircuit port 2 of N (so that I2 ¼ 0). So, DV1 ¼ 0 and DI2 ¼ 0 and Equation 7.38 reduces to DI1 c1 þ DV2 l2 ¼ IR lR DR

(7:46)

Now we need to determine DV2 as a function of DR. This could be achieved if we set c1 ¼ 0 and l2 ¼ 1 ^ Connect a current source of value l2 ¼ 1 across port 2 and short circuit port 1 for the adjoint network N. ^ The resulting adjoint network is shown in Figure 7.16b. With port variables of N ^ defined as above, of N. Equation 7.46 reduces to DV2 ¼ IR lR DR Thus, qF=qR ¼ qV2 =qR ¼ IR lR ^ shown in Figure 7.16. Thus, in general, where IR and lR are the currents in the networks N and N ^ under computing the sensitivity of a network function essentially reduces to the analysis of N and N appropriate excitations at their ports. Note that we do not need to express the network function explicitly in terms of network elements, nor do we need to calculate partial derivatives. For the example under consideration, we calculate IR ¼ 1=12 A and lR ¼ 7=12 A with the result that qF=qR ¼ 7=144. A further discussion of the adjoint network and related results may be found in Section 7.3.

Graph Theory

7-25

7.9 Arc Coloring Theorem and the No-Gain Property We now derive a profound result in graph theory, the arc coloring theorem for directed graphs, and discuss its application in establishing the no-gain property of resistance networks. In the special case of undirected graphs the arc coloring theorem reduces to the ‘‘painting’’ theorem. Both of these theorems [10] are based on the notion of painting a graph. Given an undirected graph with edge set E, a painting of the graph is a partitioning of E into three subsets, R, G, and B, such that jGj ¼ 1. We may consider the edges in the set R as being ‘‘painted red,’’ edge in G as being ‘‘painted green,’’ and the edges in B as being ‘‘painted blue.’’

THEOREM 7.23 For any painting of a graph, there exists a circuit C consisting of the green edge and no blue edges, or a cutset C* consisting of the green edge and no red edges. Proof: Consider a painting of the edge set E of a graph G. Assuming that there does not exist a required circuit, we shall establish the existence of a required cutset. Let E0 ¼ R [ G and T0 denote a spanning forest of the subgraph induced by E0 containing the green edge. (Note that the subgraph induced by E0 may not be connected). Then, construct a spanning tree T of G such that T0  T. Now consider any red edge y that is not in T0 , and hence not in T. Because the fundamental circuit of y with respect to T is the same as the fundamental circuit of y with respect to T0 , this circuit consists of no blue edges. Furthermore, this circuit will not contain the green edge, for otherwise a circuit consisting of the green edge and no blue edges would exist contrary to our assumption. Thus, the fundamental circuit of a red edge with respect to T does not contain the green edge. Then, it follows from Theorem 7.8 that the fundamental cutset of the green edge with respect to T contains no red edges. Thus, this cutset satisfies the requirements of the theorem. A painting of a directed graph with edge set E is a partitioning of E into three sets R, G, and B, and the distinguishing of one element of the set G. Again, we may regard the edges of the graph as being colored red, green, or blue with exactly one edge of G being colored dark green. Note that the dark green edge is also to be treated as a green edge. Next, we state and prove Minty’s arc coloring theorem.

THEOREM 7.24 For any painting of a directed graph exactly one of the following is true. 1. A circuit exists containing the dark green edge, but no blue edges, in which all the green edges are similarly oriented. 2. A cutset exists containing the dark green edge, but no red edges, in which all the green edges are similarly oriented. Proof: Proof is by induction on the number of green edges. If only one green edge exists, then the result will follow from Theorem 7.23. Assume then that the result is true when the number of green edges is

Fundamentals of Circuits and Filters

7-26

m  1. Consider a painting in which m þ 1 edges are colored green. Pick a green edge x other than the dark green edge (Figure 7.17). Color the edge x red. In the resulting painting we find m green edges. If a cutset of type 2 is now found, then the theorem is proved. On the other hand if we color the edge x blue and in the resulting painting a circuit of type 1 exists, then the theorem is proved.

G

x

R

B

Suppose neither occurs. Then, using the induction hypothesis we have following: 1. Cutset of type 2 exists when x is colored blue. 2. Circuit of type 1 exists when x is colored red.

dg

FIGURE 7.17

Now let the corresponding rows of the circuit and cutset matrices be

Painting of a directed graph.

dg

R

Cutset

þ1

00 0 0

Circuit

þ1 11 01

B

G

x

1  1 01

111 0

?

0 00

011 0

?

0

Here, we have assumed, without loss of generality, that þ1 appears in the dark green position of both rows. By the orthogonality relation (Theorem 7.12) the inner product of these two row vectors is zero. No contribution is made to this inner product from the red edges or from the blue edges. The contribution from the green edges is a non-negative integer p. The dark green edge contributes 1 and the edge x contributes an unknown integer q which is 0, 1, or 1. Thus, we have 1 þ p þ q ¼ 0. This equation is satisfied only for p ¼ 0 and q ¼ 1. Therefore, in one of the rows, the question mark is þ1 and in the other it is 1. The row in which the question mark is 1 corresponds to the required circuit or cutset. Thus, either statement 1 or 2 of the theorem occurs. Both cannot occur simultaneously because the inner product of the corresponding circuit and cutset vectors will then be nonzero.

THEOREM 7.25 Each edge of a directed graph belongs to either a directed circuit or to a directed cutset, but no edge belongs to both. (Note: A cutset is a directed cutset if all its edges are similarly oriented.)

Proof: Proof will follow if we apply the arc coloring theorem to a painting in which all the edges are colored green and the given edge is colored dark green. We next present an application of the arc coloring theorem in the study of electrical networks. We prove what is known as the no-gain property of resistance networks. Our proof is the result of the work of Wolaver [11] and is purely graph theoretic in nature.

Graph Theory

7-27

THEOREM 7.26 In a network of sources and (linear=nonlinear) positive resistances, the magnitude of the current through any resistance with nonzero voltage is not greater than the sum of the magnitudes of the currents through the sources.

Proof: Let us eliminate all the elements with zero voltage by considering them to be short-circuits and then assign element reference directions so that all element voltages are positive. Consider a resistance with nonzero voltage. Thus, no directed circuit can contain this resistance, for if such a directed circuit were present, the sum of all the voltages in the circuit would be nonzero, contrary to Kirchhoff’s voltage law. It then follows from Theorem 7.25 that a directed cutset contains the resistance under consideration. Pick a directed cutset that contains the considered resistance. Let the current through this resistance be i0. Let R be the set of all other resistances in this cutset and let S be the set of all sources. Then, applying Kirchhoff’s current law to the cutset, we obtain i0 þ

X

ik þ

X

is ¼ 0

(7:47)

s2S

k2R

Because all the resistances and voltages are positive, every resistance current is positive. Therefore, we can write the above equation as ji0 j þ

X

jik j þ

k2R

X

is ¼ 0

(7:48)

s2S

and so ji0 j 

X s2S

is 

X

jis j

(7:49)

s2S

Thus follows the theorem. The following result is the dual of the above theorem. Proof of this theorem follows in an exactly dual manner, if we replace current with voltage, voltage with current, and circuit with cutset in the proof of the above theorem.

THEOREM 7.27 In a network of sources and (linear=nonlinear) positive resistances, the magnitude of the voltage across any resistance is not greater than the sum of the voltages across all the sources. Chua and Green [12] used the arc coloring theorem to establish several properties of nonlinear networks and nonlinear multiport resistive networks.

7-28

Fundamentals of Circuits and Filters

References 1. M. N. S. Swamy and K. Thulasiraman, Graphs, Networks and Algorithms, New York: Wiley-Interscience, 1981. 2. K. Thulasiraman and M. N. S. Swamy, Graphs: Theory and Algorithms, New York: Wiley-Interscience, 1992. 3. F. E. Hohn, Elementary Matrix Algebra, New York: Macmillan, 1958. 4. G. Kirchhoff, Uber die Auflosung der Gleichungen auf welche mon bei der untersuchung der linearen Verteilung galvanischer strome gefuhrt wind, Annalen Physik und Chemie, 72, 497–508, 1847. 5. W. T. Tutte, The dissection of equilateral triangles into equilateral triangles, Proceedings of the Cambridge Philosophical Society, 44, 203–217, 1948. 6. G. Kishi and Y. Kajitani, Maximally distant trees and principal partition of a linear graph, IEEE Transactions on Circuit Theory, CT-15, 247–276, 1968. 7. T. Ohtsuki, Y. Ishizaki, and H. Watanabe, Topological degrees of freedom and mixed analysis of electrical networks, IEEE Transactions on Circuit Theory, CT-17, 491–499, 1970. 8. B. D. H. Tellegen, A general network theorem with applications, Philips Research Reports, 7, 259–269, 1952. 9. S. W. Director and R. A. Rohrer, Automated network design—The frequency domain case, IEEE Transactions on Circuit Theory, CT-16, 330–337, 1969. 10. G. J. Minty, On the axiomatic foundations of the theories of directed linear graphs, electrical networks and network programming, Journal of Mathematics and Mechanics, 15, 485–520, 1966. 11. D. H. Wolaver, Proof in graph of the ‘‘no-gain’’ property of resistor networks, IEEE Transactions on Circuit Theory, CT-17, 436–437, 1970. 12. L. O. Chua and D. N. Green, Graph-theoretic properties of dynamic nonlinear networks, IEEE Transactions on Circuit Theory, CAS-23, 292–312, 1976.

8 Signal Flow Graphs

Krishnaiyan Thulasiraman University of Oklahoma

8.1 Introduction ................................................................................ 8-1 8.2 Adjacency Matrix of a Directed Graph ................................ 8-1 8.3 Coates’ Gain Formula ............................................................... 8-4 8.4 Mason’s Gain Formula ............................................................. 8-8 References ............................................................................................ 8-11

8.1 Introduction Signal flow graph theory is concerned with the development of a graph theoretic approach to solving a system of linear algebraic equations. Two closely related methods proposed by Coates [1] and Mason [2,3] have appeared in the literature and have served as elegant aids in gaining insight into the structure and nature of solutions of systems of equations. In this chapter, we develop these two methods. Our development follows these methods closely [4]. An extensive discussion of signal flow theory may be found in Ref. [5]. Applications of signal flow theory in the analysis and synthesis electrical networks may be found in Sections 8.3 and 8.4. Coates’ and Mason’s methods may be viewed as generalizations of a basic theorem in graph theory due to Harary [6], which provides a formula for finding the determinant of the adjacency matrix of a directed graph. Thus, our discussion begins with the development of this theorem. For graph theoretic terminology, the reader may refer to Chapter 7.

8.2 Adjacency Matrix of a Directed Graph Consider a directed graph G ¼ (V, E) with no parallel edges. Let V ¼ {v1, . . . , vn}. The adjacency matrix M ¼ [mij] of G is an n 3 n matrix defined as follows:  mij ¼

1, 0,

if (vi , vj ) 2 E otherwise

The graph in Figure 8.1 has the following adjacency matrix: 2 v1 v1 1 v2 6 60 M¼ 6 v3 4 1 v4 1

v2 1 1 0 1

v3 1 0 0 1

v4 3 0 07 7 7 15 1

8-1

Fundamentals of Circuits and Filters

8-2 v1 v2

v4

v3

Graph G.

FIGURE 8.1

In the following, we shall develop a topological formula for det M. Toward this end, we introduce some basic terminology. A 1-factor of a directed graph G is a spanning subgraph of G in which the in-degree and the out-degree of every vertex are both equal to 1. It is easy to see that a 1-factor is a collection of vertex-disjoint directed circuits. Because a self-loop at a vertex contributes 1 to the in-degree and 1 to the out-degree of the vertex, a 1-factor may have some self-loops. As an example, the three 1-factors of the graph of Figure 8.1 are illustrated in Figure 8.2. A permutation ( j1, j2, . . . , jn) of integers 1, 2, . . . , n is even (odd) if an even (odd) number of interchanges are required to rearrange it as (1, 2, . . . , n). The notation   1, 2, . . . , n j1 , j2 , . . . , jn

is also used to represent the permutation ( j1, j2, . . . , jn). As an example, the permutation (4, 3, 1, 2) is odd because it can be rearranged as (1, 2, 3, 4) using the following sequence of interchanges: 1. Interchange 2 and 4 2. Interchange 1 and 2 3. Interchange 2 and 3 For a permutation ( j) ¼ ( j1, j2, . . . , jn), ej1, j2, . . . , jn, is defined as equal to 1, if ( j) is an even permutation; otherwise, ej1, j2, . . . , jn, is equal to 1. v1 v2

v1 v2

v4 v4

v3

v3 (a)

(b) v1 v2

v3 (c)

FIGURE 8.2

Three 1-factors of the graph of Figure 8.1.

v4

Signal Flow Graphs

8-3

Given an n 3 n square matrix X ¼ [xij], we note that det X is given by det X ¼

X

ßj1 , j2 , j3 ,..., jn x1j1 , x2j2 , . . . , xnjn

( j)

where the summation S( j) is over all permutations of 1, 2, . . . , n [7]. The following theorem is due to Harary [6].

THEOREM 8.1 Let Hi, i ¼ 1, 2, . . . , p be the 1-factors of an n-vertex directed graph G. Let Li denote the number of directed circuits in Hi, and let M denote the adjacency matrix of G. Then, det M ¼ (  1)

n

p X

(  1)Li

i¼1

Proof.

From the definition of a determinant, we have det M ¼

X

ej1 , j2 ,..., jn m1j1  m2j2    mnjn

(8:1)

(j)

Proof will follow if we establish the following: 1. Each nonzero term m1j1  m2j2    mnjn corresponds to a 1-factor of G, and conversely, each 1-factor of G corresponds to a nonzero term m1j1  m2j2    mnjn. 2. ej1, j2, . . . , jn ¼ (1)n þ L if the 1-factor corresponding to a nonzero m1j1  m2j2    mnjn has L directed circuits. A nonzero term m1j1  m1j2    mnjn corresponds to the set of edges (v1, vj1), (v2, vj2), . . . , (vn, vjn). Each vertex appears exactly twice in this set, once as an initial vertex and once as a terminal vertex of a pair of edges. Therefore, in the subgraph induced by these edges, for each vertex its in-degree and its out-degree are both equal to 1, and this subgraph is a 1-factor of G. In other words, each nonzero term in the sum in Equation 8.1 corresponds to a 1-factor of G. The fact that each 1-factor of G corresponds to a nonzero term m1j1  m2j2    mnjn is obvious. Regarding ej1, j2, . . . , jn, consider a directed circuit C in the 1-factor corresponding to m1j1  m2j2    mnjn. Without loss of generality, assume that C consists of the w edges (v1 , v2 ), (v2 , v3 ), . . . , (vw , v1 ) It is easy to see that the corresponding permutation (2, 3, . . . , w, 1) can be rearranged as (1, 2, . . . , w) using w  1 interchanges. If the 1-factor has L directed circuits with lengths w1, . . . , wL, the permutation ( j1, . . . , jn) can be rearranged as (1, 2, . . . , n) using (w1  1) þ (w2  1) þ    þ (wL  1) ¼ n  L interchanges. So, ej1 , j2 , jn ¼ (1)nþL

Fundamentals of Circuits and Filters

8-4

As an example, for the 1-factors (Figure 8.2) of the graph of Figure 8.1, the corresponding Li are L1 ¼ 3, L2 ¼ 3, and L3 ¼ 2. So, the determinant of the adjacency matrix of the graph of Figure 8.1 is   (1)4 (1)3 þ (1)3 þ (1)2 ¼ 1 Consider next a weighted directed graph G in which each edge (vi, vj) as associated with a weight wij. Then we may define the adjacency matrix M ¼ [mij] of G as follows:  mij ¼

  if vi , vj 2 E otherwise

wij 0,

Given a subgraph H of G, let us define weight w (H) of H as the product of the weights of all edges in H. If H has no edges, then we define w (H) ¼ 1. The following result is an easy generalization of Theorem 8.1.

THEOREM 8.2 The determinant of the adjacency matrix of an n-vertex directed graph G is given by X (1)LH w(H), det M ¼ (1)n H

where H is a 1-factor, w(H) is the weight of H, and LH is the number of directed circuits in H.

8.3 Coates’ Gain Formula Consider a linear system described by the equation AX ¼ Bxnþ1

(8:2)

where A is a nonsingular n 3 n matrix X is a column vector of unknown variables x1, x2, . . . , xn B is a column vector of elements b1, b2, . . . , bn xnþ1 is the input variable It is well known that xk ¼ xnþ1

Pn

i¼1 bi Dik

det A

(8:3)

where Dik is the (i, k) cofactor of A. To develop Coates’ topological formulas for the numerator and the denominator of Equation 8.3, let us first augment the matrix A by adding B to the right of A and adding a row of zeroes at the bottom of the resulting matrix. Let this matrix be denoted by A0 . The Coates flow graph* Gc(A0 ), or simply the Coates graph, associated with matrix A0 is a weighted directed graph whose adjacency matrix is the transpose of the matrix A0 . Thus, Gc(A0 ) has n þ 1 vertices x1, x2, . . . , xn þ 1, and if aji . . . 6¼ 0, Gc(A0 ) has an edge directed from xi to xj with weight aji. Clearly, the Coates graph Gc(A) associated with matrix A can be obtained from Gc(A0 ) by removing the vertex xn þ 1. * In network and systems theory literature, the Coates graph is referred to as a flow graph.

Signal Flow Graphs

8-5

As an example, for the following system of equations 2

3 4 1 3

32 3 2 3 1 x1 3 0 54 x2 5 ¼ 4 1 5x4 2 x3 2

2 2 2

(8:4)

the matrix A0 is 2

3 6 1 A0 ¼ 6 4 3 0

2 2 2 0

1 0 2 0

3 3 1 7 7 25 0

The Coates’ graphs Gc(A0 ) and Gc(A) are depicted in Figure 8.3. Because a matrix and its transpose have the same determinant value, and because A is the transpose of the adjacency matrix of Gc(A), we obtain the following result from Theorem 8.2.

THEOREM 8.3 If a matrix A is nonsingular, then det A ¼ (1)n

X

(1)LH w(H)

(8:5)

H

where H is a 1-factor of Gc(A), w(H) is the weight of H, and LH is the number of directed circuits in H. x3

2 1

2

3

x2

–1 3

x1

(a)

1 –2

3

2 –3

x3

2

–2

–2

–1 3

–1 x4

x1

x2 2

–2

(b) x3

3

x2 2

x1 –3 x4 (c)

FIGURE 8.3 (a) The Coates graph Gc(A0 ); (b) the graph Gc(A); (c) a 1-factorial connection H4,3 of the graph Gc(A0 ).

Fundamentals of Circuits and Filters

8-6

To derive a similar expression for the sum in the numerator of Equation 8.3, we first define the concept of a 1-factorial connection. A 1-factorial connection Hij from xi to xj in Gc(A) is a spanning subgraph of G that contains a directed path P from xi to xj and a set of vertex-disjoint directed circuits, which include all the vertices of Gc(A) other than those that lie on P. Similarly, a 1-factorial connection of Gc(A0 ) can be defined. As an example, a 1-factorial connection from x4 to x3 of the graph Gc(A0 ) of Figure 8.3a is also in Figure 8.3c.

THEOREM 8.4 Let Gc(A0 ) be the Coates graph associated with an n 3 n matrix A. Then, X (1)LH w(H) 1: Dii ¼ (1)n1 H

2: Dij ¼ (1)

n1

X

0

(1)LH w(Hij ) i 6¼ j

Hij

where H is a 1-factor in the graph obtained by removing vertex xi from Gc(A), Hij is a 1-factorial connection in Gc(A) from vertex xi to vertex xj, and LH and L0 H are the numbers of directed circuits in H and Hij, respectively. Proof 1. Note that Dii is the determinant of the matrix obtained from A by removing its row i and column i. Also, the Coates graph of the resulting matrix can be obtained from Gc(A) by removing vertex xi. Proof follows from these observations and Theorem 8.3. 2. Let Aa denote the matrix obtained from A by replacing its jth column by a column of zeroes, except for the element in row i, which is 1. Then it is easy to see that Dij ¼ det Aa Now, the Coates graph Gc(Aa) can be obtained from Gc(A) by removing all edges incident out of vertex xj and adding an edge directed from xj to xi with weight 1. Then from Theorem 8.3, we get Dij ¼ det Aa ¼ (1)n

X

(1)La w(Ha )

(8:6)

Ha

where Ha is a 1-factor of Gc(Aa) La is the number of directed circuits in Ha Consider now a 1-factor Ha in Gc(Aa). Let C be the directed circuit of Ha containing xi. Because in Gc(Aa), (xj, xi) is the only edge incident out of xj, it follows that xj also lies in C. If we remove the edge (xj, xi) from Ha we get a 1-factorial connection, Hij. Furthermore, L0 H ¼ La  1 and w(Hij) ¼ w(Ha) because (xj, xi) has weight equal to 1. Thus, each Ha corresponds to a 1-factorial connection Hij of Gc(Aa) with w(Ha) ¼ w(Hij) and L0 H ¼ La – 1. The converse of this is also easy to see. Thus, in Equation 8.6 we can replace Ha by Hij and La by (L0 H þ 1). Then we obtain X 0 (1)LH w(Hij ) Dij ¼ (1)n1 Hij

Signal Flow Graphs

8-7

Having shown that each Dij can be expressed in terms of the weights of the 1-factorial connections Hij in Gc(A), we now show that SbiDik can be expressed in terms of the weights of the 1-factorial connections Hnþ1,k in Gc(A0 ). First, note that adding the edge (xnþ1, xi) to Hik results in a 1-factorial connection Hnþ1,k, with w(Hnþ1,k) ¼ bi w(Hik). Also, Hnþ1,k has the same number of directed circuits as Hik. Conversely, from each Hnþ1,k that contains the edge (xnþ1, xi) we can construct a 1-factorial connection Hik satisfying w(Hnþ1,k) ¼ bi w(Hik). Also, Hnþ1,k and the corresponding Hik will have the same number of directed circuits. Thus, a one-to-one correspondence exists between the set of all 1-factorial connections Hnþ1,k in Gc(A0 ) and the set of all 1-factorial connections in Gc(A) of the form Hik such that each Hnþ1,k and the corresponding Hik have the same number of directed circuits and satisfy the relation w (Hnþ1,k) ¼ bi w (Hik). Combining this result with Theorem 8.4, we get n X

X

bi Dik ¼ (1)n

i¼1

0

(1)LH w(Hnþ1, k )

(8:7)

Hnþ1, k

where the summation is over all 1-factorial connections, Hnþ1,k in Gc(A0 ), and L0 H is the number of directed circuits in Hnþ1,k. From Equations 8.5 and 8.7 we get the following theorem.

THEOREM 8.5 If the coefficient matrix A is nonsingular, then the solution of Equation 8.2 is given by xk ¼ xnþ1

P

0

Hnþl, k

P

(1)LH w(Hnþ1,k )

H

(8:8)

(1)LH w(H)

for k ¼ 1, 2, . . . , n, where Hnþ1,k is a 1-factorial connection of Gc(A0 ) from vertex xnþ1 to vertex xk, H is a 1-factor of Gc(A), and L0 H and LH are the numbers of directed circuits in Hnþ1,k and H, respectively. Equation 8.8 is the called Coates’ gain formula. We now illustrate Coates’ method by solving the system, Equation 8.4, for x2=x4. First, we determine the 1-factors of the Coates’ graph Gc(A) shown in Figure 8.3b. These 1-factors, along with their weights, are listed below. The vertices enclosed within parentheses represent a directed circuit. 1-Factor, H

Weight, w(H)

LH

(x1) (x2) (x3)

12

3

(x2) (x1, x3) (x3) (x1, x2)

6 4

2 2

(x1, x2, x3)

2

1

From the above we get the denominator in Equation 8.8 as X

(1)LH w(H) ¼ (1)3  12 þ (1)2  6 þ (1)2  4 þ (1)1  2 ¼ 4

H

To compute the numerator in Equation 8.8 we need to determine the 1-factorial connections H4,2 in the Coates graph Gc(A0 ), shown in Figure 8.3a. They are listed below along with their weights. The vertices in a directed path from x4 to x2 are given within parentheses.

Fundamentals of Circuits and Filters

8-8

1-Factorial Connection, H4,2

w(H4,2)

(x4, x1, x2) (x3)

L0 H

6

1

(x4, x2) (x1) (x3)

6

2

(x4, x2) (x1, x3) (x4, x3, x1, x2)

3 2

1 0

From the above we get the numerator in Equation 8.8 as X

0

(1)LH w(H4,2 ) ¼ (1)1  6 þ (1)2 (6) þ (1)1 (3) þ (1)0 (2) ¼ 11

H4,2

Thus, we get x2 11 ¼ x4 4

8.4 Mason’s Gain Formula Consider again the system of equations AX ¼ Bxnþ1 We can rewrite the above as xj ¼ (ajj þ 1)xj þ

n X

ajk xk  bj xnþ1 ,

j ¼ 1, 2, . . . , n,

xnþ1 ¼ xnþ1

(8:9)

k¼1 k6¼j

Letting X0 denote the column vector of the variables x1, x2, . . . , xn þ 1, and Un þ 1 denote the unit matrix of order n, we can write Equation 8.9 in matrix form as follows: (A0 þ Unþ1 )X 0 ¼ X 0

(8:10)

where A0 is the matrix defined earlier in Section 8.3. The Coates graph Gc(A0 þ Un þ 1) is called the Mason’s signal flow graph or simply the Mason graph* associated with A0 and it is denoted by Gm(A0 ). The Mason graph Gm(A) is defined in a similar manner. The Mason graphs Gm(A0 ) and Gm(A) associated with the system (Equation 8.4) are illustrated in Figure 8.4. Mason’s graph elegantly represents the flow of variables in a system. If we associate each vertex with a variable and if an edge is directed from xi to xj, then we may consider the variable xi as contributing (ajixi) to the variable xj. Thus, xj is equal to the sum of the products of the weights of the edges incident into vertex xj and the variables corresponding to the vertices from which these edges emanate. Note that, to obtain the Coates graph Gc(A) from the Mason graph Gm(A), we simply subtract one from the weight of each self-loop. Equivalently, we may add at each vertex of the Mason graph a self-loop of weight –1. Let S denote the set of all such loops of weight –1 added to construct the Coates graph Gc from the Mason graph Gm(A). * In network and systems theory literature, Mason graphs are usually referred to as signal flow graphs.

Signal Flow Graphs

8-9 3

1 –2

2

3

3 1

–1 4

3 –2 –3

–2

3 –1

–1

4

3

1

–2

(a)

FIGURE 8.4

(b)

(a) The Mason graph Gm(A0 ); (b) the Mason graph Gm(A).

Consider now the Coates graph Gc constructed as above and a 1-factor H in Gc having j self-loops from the set S. If H has a total of LQ þ j directed circuits, then removing the j self-loops from H will result in a subgraph Q of Gm(A), which is a collection of LQ vertex disjoint directed circuits. Also, w(H) ¼ (1)j w(Q) Then, from Theorem 8.3 we get det A ¼ (1)n

X

(1)LQ þj w(H)

H

¼ (1)

n

X "

(1)LQ w(Q)

Q

¼ (1) 1 þ n

X

# LQ

(1) w(Q)

(8:11)

Q

We can rewrite the above as " det A ¼ (1)n 1 

X

Qj1 þ

j

X

Qj2 

j

X

# Qj3   

(8:12)

j

where each term in SjQji is the weight of a collection of i vertex-disjoint directed circuits in Gm(A). Suppose we refer to (–1)n det A as the determinant of the graph Gm(A). Then, starting from Hnþ1,k and reasoning exactly as above we can express the numerator of Equation 8.3 as n X i¼1

bi Dik ¼ (1)n

X j w Pnþ1,k Dj j

where j Pnþ1,k is a directed path from xnþ1 to xk of Gm(A0 ) j Dj is the determinant of the subgraph of Gm(A0 ), which is vertex disjoint from the path Pnþ1,k From Equations 8.12 and 8.13, we get the following theorem.

(8:13)

Fundamentals of Circuits and Filters

8-10

THEOREM 8.6 If the coefficient matrix A in Equation 8.2 is nonsingular, then

j w P j nþl, k Dj

P xk ¼ xnþ1

D

k ¼ 1, 2, . . . , n

,

(8:14)

where Pnþ1,k is the jth directed path from xnþ1 to xk of Gm(A0 ), Dj is the determinant of the subgraph of j Gm(A0 ), which is vertex disjoint from the jth directed path Pnþ1,k , and D is the determinant of the graph of Gm(A). j

j

Equation 8.14 is known as Mason’s gain formula. In network and systems theory, Pnþ1,k is referred to as a forward path from vertex xnþ1 to vertex xk. The directed circuits of Gm(A0 ) are called the feedback loops. We now illustrate Mason’s method by solving the system (Equation 8.4) for x2=x4. To compute the denominator in Equation 8.14, we determine the different collections of vertex-disjoint directed circuits of the Mason graph Gm(A) shown in Figure 8.4b. They are listed below along with their weights.

Weight

No. of Directed Circuits

(x1)

4

1

(x2)

3

1

(x3) (x1, x2)

3 2

1 1

Collection of Vertex-Disjoint Directed Circuits of Gm(A)

(x1, x3)

3

1

(x1, x2, x3)

2

1

(x1) (x2)

12

2

(x1) (x3)

12

2

(x2) (x3)

9

2

(x2) (x1, x3)

9

2

(x3) (x1, x2) (x1) (x2) (x3)

6 36

2 3

From the above, we obtain the denominator in Equation 8.14 D ¼ 1 þ (1)1 [4 þ 3 þ 3 þ 2 þ 3 þ 2] þ (1)2 [12 þ 12 þ 9 þ 9 þ 6] þ (1)3 36 ¼ 4 To compute the numerator in Equation 8.14 we need the forward paths in Gm(A0 ) from x4 to x2. They are listed below with their weights.

j

j

P4;2

Weight

1

(x4, x2)

–1

2 3

(x4, x1, x2) (x4, x3, x1, x2)

3 –2

Signal Flow Graphs

8-11

1 The directed circuits which are vertex disjoint from P4;2 are (x1), (x3), (x1, x3). Thus

D1 ¼ 1  (4 þ 3 þ 3) þ 12 ¼ 1  10 þ 12 ¼ 3 2 . So, (x3) is the only directed circuit which is vertex disjoint from P4;2

D2 ¼ 1  3 ¼ 2 3 so D3 ¼ 1. Thus, the numerator in Equation 8.14 is No directed circuit is vertex disjoint from P4;2 1 1 1 D1 þ P4,2 D2 þ P4,3 D3 ¼ 3  6  2 ¼ 11 P4,2

and x2 11 ¼ x4 4

References 1. C. L. Coates, Flow graph solutions of linear algebraic equations, IRE Transactions on Circuit Theory, CT-6, 170–187, 1959. 2. S. J. Mason, Feedback theory: Some properties of signal flow graphs, Proceedings of the IRE, 41, 1144–1156, 1953. 3. S. J. Mason, Feedback theory: Further properties of signal flow graphs, Proceedings of the IRE, 44, 920–926, 1956. 4. K. Thulasiraman and M. N. S. Swamy, Graphs: Theory and Algorithms, New York: Wiley Interscience, 1992. 5. W. K. Chen, Applied Graph Theory, Amsterdam: North Holland, 1971. 6. F. Harary, The determinant of the adjacency matrix of a graph, SIAM Review, 4, 202–210, 1962. 7. F. E. Hohn, Elementary Matrix Algebra, New York: Macmillan, 1958.

9 Theory of Two-Dimensional Hurwitz Polynomials 9.1 9.2

Introduction ................................................................................ 9-1 Preliminaries and Notations.................................................... 9-2 Infinite Distant Points . Analog Biplane . Isolated and Continuum of Zeroes

9.3

Value of a Two-Variable Polynomial at Infinity ................ 9-3

9.4

Various Analog Hurwitz Polynomials .................................. 9-4

Nonessential Singularities of the Second Kind Self-Paraconjugate Polynomial . Broad-Sense Hurwitz Polynomial . Narrow-Sense Hurwitz Polynomial . Scattering Hurwitz Polynomial . Hurwitz Polynomial in the Strict Sense . Very Strict Hurwitz Polynomial . Self-Paraconjugate Hurwitz Polynomial . Reactance Hurwitz Polynomial . Immittance Hurwitz Polynomial . Summary

9.5

Testsets for Analog Hurwitz Polynomials............................ 9-7

9.6 9.7

Two-Variable Very Strict Hurwitz Polynomials............... 9-10 Application of Two-Dimensional Hurwitz Polynomials for Two-Variable Passive Networks and Stability............................................................................... 9-11

Continuity Property of the Zeroes of 2-V Polynomials

Hari C. Reddy

California State University and National Chiao-Tung University

Application to Two-Dimensional Analog System Stability

9.8 Conclusion................................................................................. 9-13 Acknowledgments.............................................................................. 9-13 References ............................................................................................ 9-13

9.1 Introduction The advances in two-dimensional (2-D) signal and image processing activities have stimulated active research in 2-D circuits and systems area. Two-variable (2-V) or 2-D Hurwitz polynomial study finds application in areas such as generation and testing of (2-V) reactance functions, bounded=positive real functions, and matrices; testing the stability of 2-D digital filters; and the generation of stable 2-D digital transfer functions. Stability analysis is an important aspect of the design of dynamic systems. This analysis is often carried out by examining for the absence of zeroes of the denominator polynomial of a system transfer function in some specified regions of the complex plane. 1-D systems are studied through the characterization whether or not the denominator polynomial is Hurwitz. By expanding this idea, we can define and study 2-D (also called bivariate, 2-V) Hurwitz polynomials. In view of the diverse needs of 9-1

9-2

Fundamentals of Circuits and Filters

several different applications a number of 2-D Hurwitz polynomials have been defined and their test procedures established. In this chapter, a detailed presentation of various 2-D Hurwitz polynomials and their relationships to one another is given. We also study their relevant applications. To highlight the relationships among the various Hurwitz polynomials, the definitions of all the Hurwitz polynomials are presented. This is done in terms of the absence of or the nature of their zerosets in specified regions such as the open or closed right half of the (S1, S2)-biplane. The goal is to make a tutorial exposition on 2-D Hurwitz polynomials. Section 9.2 gives some preliminaries and notations. We next present the definitions of a number of (S1, S2)-domain Hurwitz polynomials. Based on the continuity property of the zeroes of 2-V polynomials, testsets for the various Hurwitz polynomials are given in Section 9.5. Following that, a 2-D, very strict Hurwitz polynomial is discussed in detail because this is the counterpart of strict Hurwitz in the 1-D case. Some of the applications of the various Hurwitz polynomials are described in Section 9.7.

9.2 Preliminaries and Notations 9.2.1 Infinite Distant Points The following discussion is crucial to the understanding of certain classes of stable 2-D polynomials. The points at infinite distances in the (S1, S2)-biplane play an important role in the definition of certain 2-D Hurwitz polynomials. Some of the confusion that resulted in the application of these Hurwitz polynomials can be attributed to the neglect or omission of these infinite distant points. This chapter considers the extended (S1, S2)-biplane, which includes the infinite distance points. For the sake of clarity, we also explicitly indicate whether the infinite distant points are included in or excluded from the regions considered. The behavior of 2-V polynomials at infinite distant points is well described in the literature [2,3]. Seemingly many infinite distant points in the 1-D plane, such as the S1-plane or the S2-plane, may be assumed to merge to a single point. Thus, infinity is treated as a single point, and any shift from this infinite distant point, however small, leads to a finite distant point.

9.2.2 Analog Biplane Re(s) ¼ Real part of the variable s For i ¼ 1, 2 Si þ ¼ {sijRe(si) > 0, jsij < 1}, open right half of the Si-plane Sio ¼ {sijRe(si) ¼ 0, jsij  1}, imaginary axis of the Si-plane Si ¼ {sijRe(si)  0, jsij  1}, closed right half of the Si-plane S2þ0 ¼ {(s1, s2) j Re(s1) > 0, Re(s2) ¼ 0, js1j < 1, js2j  1}, open right half of the S1-plane and the imaginary axis of the S2-plane S20þ ¼ {(s1, s2) j Re(s1) ¼ 0, Re(s2) > 0, js1j  1, js2j < 1}, open right half of the S2-plane and the imaginary axis of the S1-plane S2þþ ¼ {(s1, s2) j Re(s1) > 0, Re(s2) > 0, js1j < 1, js2j < 1}, open right half of the (S1, S2)-biplane S200 ¼ {(s1, s2) j Re(s1) ¼ 0, Re(s2) ¼ 0, js1j  1, js2j  1}, distinguished boundary of the (S1, S2)-biplane 2 ¼ {(s1, s2) j Re(s1)  0, Re(s2)  0, js1j  1, js2j1}, closed right half of the (S1, S2)-biplane S PRF: Positive real function RF: Reactance function TPRF: 2-Variable positive real function TRF: 2-Variable reactance function TBRF: 2-Variable bounded real function

Theory of Two-Dimensional Hurwitz Polynomials

9-3

TLBRF: 2-Variable lossless bounded real function B*(s1, s2) ¼ Paraconjugate of B(s1, s2) ¼ [B(–s1*,–s2*)]*,where s* represents complex conjugate of s Be(s1, s2) ¼ Para-even part of B(s1, s2) ¼ [B(s1, s2) þ B*(s1, s2)]=2 B0(s1, s2) ¼ Para-odd part of B(s1, s2) ¼ [B(s1, s2) – B*(s1, s2)]=2

Definition A: A rational function F(s) with real coefficients such that Re[F(s)] > 0 for Re(s) > 0 is called a positive real function (PRF).

Definition B: A PRF F(s) is said to be a strict PRF if Re[F(s)] > 0 for Re(s)  0.

Definition C: A PRF F(s) is said to be a minimum reactive and susceptive if it has neither poles nor zeroes on the imaginary axis of the S-plane.

Definition D:

A PRF F(s) is called a reactance function (RF) if Re[F(s)] ¼ 0 for Re(s) ¼ 0.

Definition E: A 2-V rational function F(s1, s2) with real coefficients such that Re[F(s1, s2)] > 0 for S2þþ is called a TPRF. A TPRF F(s1, s2) ¼ –F(–s1, –s2) is called a TRF. Definition F: A 2-V rational function H(s1, s2) with real coefficients such that jH(s1, s2) j < 1 for S2þþ is called a TBRF. A TBRF H(s1, s2) satisfying the condition jH(s1, s2) j ¼ 1 or 0=0 for S200 is called TLBRF.

9.2.3 Isolated and Continuum of Zeroes Some types of Hurwitz polynomials are distinguished on the basis of whether they have isolated zeroes or a continuum of zeroes on S200 . As a point on S200 is characterized by s1 ¼ jw1 and s2 ¼ jw2, where w1 and w2 are real quantities, the region S200 can be graphically represented by the (W1,W2)-plane. The isolated zeroes on S200 are, thus, points on this plane and the continuum of zeroes is represented by continuous curves. Isolated and continuum of zeroes for the 2-D case are illustrated on the (W1,W2)-plane in Figure 9.1, where zeroes of some simple polynomials are shown.

9.3 Value of a Two-Variable Polynomial at Infinity Because later in the chapter it is necessary to determine the value of a 2-V polynomial at infinite distance points, the following explanation is in order. In 1-D complex plane S the infinite distant points can be represented by a single point, and the value of any function at this point is found by applying some transformation which transforms the point at infinity to some finite point s0 , and the value of the transformed function at s0 is determined. Often s ¼ 1=u is the transformation used and infinity is mapped onto the origin. Using this transformation, the value of B(s) at infinity can be defined as B(1) ¼ BT (0), where BT (u) ¼ B(1=u).

Fundamentals of Circuits and Filters

9-4 W2

(s2 – jβ) β (s1 – jα)

α (s12 + s22 + 2)

W1 s1 + s2 + s1 s2

FIGURE 9.1 Zero distribution of some simple polynomials in (W1, W2) plane (S200 ). (Polynomial (s1 þ s2 þ s1s2) has an isolated zero, whereas polynomials (s1  ja), (s2  jb), and (S21 þ S22 þ 2) have a continuum of zeroes.)

In the 2-D biplane (S1, S2) consisting of two complex planes, S1 and S2, an infinite distant point can have infinite coordinates in either one or both of these planes, and thus an infinite number of infinite distant points exists. They can be classified into three categories [3]: 1: s1 ¼ 1 and s2 ¼ finite

(9:1a)

2: s1 ¼ finite and s2 ¼ 1

(9:1b)

3: s1 ¼ 1 and s2 ¼ 1

(9:1c)

Applying the transformation method to each variable, the value of the function at each of the above points is defined as   B(1, s02 ) ¼ B1 (0, s02 ) where B1 (u, s2 ) ¼ B(1=u, s2 ), s02  < 1 (9:2a)   (9:2b) B(s01 , 1) ¼ B2 (s01 , 0) where B2 (s1 , v) ¼ B(s1 , 1=v), s01  < 1 B(1, 1) ¼ B3 (0, 0)

where B3 (u, v) ¼ B(1=u, 1=v)

(9:2c)

9.3.1 Nonessential Singularities of the Second Kind It is well known [3] that 2-V polynomials may have nonessential singularities of the second kind (NSSK) at some infinite distant points where the value of the polynomial is indeterminate. For the sake of notational convenience and to indicate the type of indeterminacy involved we write B(s10, s20) ¼ 0=0 to say that B(s1, s2) has an NSSK at (s10, s20). Of course, for a polynomial B(s1, s2), this can occur only if s10, s20, or both, have infinite value.

9.4 Various Analog Hurwitz Polynomials Following up the definition of 1-V Hurwitz polynomials, 2-D Hurwitz polynomials have been traditionally defined on the basis of the regions in the S2-biplane where the 2-V polynomials have no zeroes or have only some constrained types of zeroes. At least eight types of Hurwitz polynomials have been

Theory of Two-Dimensional Hurwitz Polynomials

9-5

defined. Below we present their definitions so as to bring out their zero-free regions and the constraints (if any) on their zeroes in some regions.

9.4.1 Self-Paraconjugate Polynomial A 2-V polynomial B(s1, s2) is said to be an (analog) self-paraconjugate polynomial if B*(s1, s2) ¼ cB(s1, s2), where c is a unimodular complex constant, i.e., jcj ¼ 1. When c ¼ þ1, B(s1, s2) is said to be a para-even polynomial, and when c ¼ 1, a para-odd polynomial. It is easy to verify that the para-even and para-odd parts of a polynomial are self-paraconjugate, i.e., Be*(s1, s2) ¼ Be(s1, s2) and B0*(s1, s2) ¼ B0(s1, s2). In the case of real polynomials para-even and para-odd polynomials are simply called even and odd polynomials.

9.4.2 Broad-Sense Hurwitz Polynomial This represents the weakest class of Hurwitz polynomials in the sense that their zero-free region in the S2-biplane is the smallest of all the 2-D Hurwitz polynomials. B(s1, s2) is a broad-sense Hurwitz polynomial (BHP) if B(s1, s2) 6¼ 0 in S2þþ . For example, B1 (s1 , s2 ) ¼ (s22 þ 4)i (s1 þ s2 )j (s1 s2 þ s1 þ s2 )k (s1 þ s2 þ 1)l (s1 s2 þ s1 þ s2 þ 1)m where i, j, k, l, m are nonnegative integers. In the literature this Hurwitz polynomial has been known by different names: Hurwitz polynomial in the extended sense, widest sense Hurwitz, or simply Hurwitz [4–7,10].

9.4.3 Narrow-Sense Hurwitz Polynomial A subclass of BHP is narrow-sense Hurwitz polynomial (NHP), which was introduced by Ansell [1] in the study of two-variable reactance functions (TRFs). They may be characterized by the following equivalent definitions: 1. B(s1, s2) is an NHP if B(s1, s2) 6¼ 0 in S2  S200 ¼ S2þþ þ S2þ0 þ S20þ where the minus sign is used to denote set theoretic subtraction and the plus sign, set theoretic union. 2. B(s1, s2) is an NHP if B(s1, s2) is a BHP [i.e., B(s1, s2) 6¼ 0 in S2þþ ] and B(s1, s2) has no 1-V factor having zeroes on the imaginary axis of the S1- or S2-plane. For example, B1 (s1 , s2 ) ¼ (s1 þ s2 )i (s1 s2 þ s1 þ s2 )j (s1 þ s2 þ 1)m (s1 s2 þ s1 þ s2 þ 1)n where i, j, m, n are nonnegative constants, is an NHP, whereas (S21 þ 1)(s1 þ s2 þ 1) is not an NHP because of the factor (S21 þ 1). We see that an NHP may have zeroes on S200 . The irreducible 2-V factors that give rise to zeroes on S200 with no zeroes on S2þþ can be shown to belong to one of two types: (1) those that become zero only at isolated points on S200 ; (2) those that become zero on a continuum of points on S200 . The fact that no irreducible factor can have isolated zeroes as well as continuum of zeroes without having zeroes in S2þþ can be established based on the continuity property of the zeroes of 2-V polynomials. For example, the factor (s1s2 þ s1 þ s2) with a zero at (0,0) on S200 corresponds to the first type, and the factor s1 þ s2 with a continuum of zeroes given by s1 ¼ s2 on S200 corresponds to the second type.

9.4.4 Scattering Hurwitz Polynomial Based on the above observation, the next class is identified as a subclass of NHP which can have only discrete (isolated) zeroes on S200 . Scattering Hurwitz polynomial (SHP) or principal Hurwitz polynomial corresponds to this class [7,13,18]. The following equivalent definitions characterize an SHP:

Fundamentals of Circuits and Filters

9-6

1. A 2-V polynomial B(s1, s2) is an SHP if B(s1, s2) is an NHP and if B(s1, s2) has no (1-D) continuum of zeroes on S200 . 2. A 2-V polynomial B(s1, s2) is an SHP if B(s1, s2) is a BHP and if B(s1, s2) has no (1-D) continuum of zeroes on S200 . 3. A 2-V polynomial B(s1, s2) is an SHP if B(s1, s2) is a BHP and if B(s1, s2) has no 1- or 2-V selfparaconjugate factors. 4. A 2-V polynomial B(s1, s2) is an SHP if B(s1, s2) is a BHP, i.e., B(s1, s2) 6¼ 0 in S2þþ , and if B(s1, s2) and B*(s1, s2) are relatively prime. For example, B(s1 , s2 ) ¼ (s1 s2 þ s1 þ s2 )i (s1 þ s2 þ 4)j (3s1 s2 þ s1 þ s2 þ 1)k where i, j, k are nonnegative integers. Fettweis [14] identified and popularized this class and suggested the names ‘‘principal Hurwitz polynomials’’ and ‘‘scattering Hurwitz polynomials.’’ He studied the properties of SHP in depth, and his pioneering efforts established SHP as a very important class of Hurwitz polynomials in stability and passivity studies.

9.4.5 Hurwitz Polynomial in the Strict Sense Increasing the zero-free regions of a Hurwitz polynomial further by the addition of the whole finite imaginary axes of the S1- and S2-planes, we get the definition of a Hurwitz polynomial in the strict sense: 2 –infinite distant points}. B(s1, s2) is a Hurwitz polynomial in the strict sense (HPSS) if B(s1, s2) 6¼ 0 in {S For example, B(s1 , s2 ) ¼ (s1 þ s2 þ 7)m (4s1 s2 þ s1 þ s2 þ 1)n where integers m and n are nonnegative. This class appears to have been first defined and used by Saito [4] and Youla [5,17]. This strict Hurwitz polynomial definition has been used by a number of authors such as Huang [8], in the derivation of stability tests for 2-D digital filters, Strintzis [15], in the extension of digital filter stability tests to continuous domain functions, and Goodman [16,23], in the study of double bilinear transformation. In order to get a 2-D Hurwitz polynomial that is a counterpart of 1-D strict Hurwitz we need to include the infinite distant points in the 2-D plane. (Note that, in certain cases, 2-D scattering Hurwitz appears to be the counterpart of the 1-D strict Hurwitz polynomial.)

9.4.6 Very Strict Hurwitz Polynomial By including the infinite distant points we get the most strict Hurwitz polynomial, the very strict Hurwitz polynomial (VSHP), named by Reddy et al. [2,24]. Similar conclusions were reached by Delsarte et al. [9]. The following equivalent definitions characterize this type of Hurwitz polynomial: 2 . 1. B(s1, s2) is a VSHP if B(s1, s2) 6¼ 0 or if B(s1, s2) 6¼ 0=0 in S 2 . For example, 2. B(s1, s2) is a VSHP if 1=B(s1, s2) has no (first or second kind) singularities in S

B(s1 , s2 ) ¼ (5s1 s2 þ s1 þ s2 þ 8) We discuss 2-D VSHP in detail in a later section of this chapter.

Theory of Two-Dimensional Hurwitz Polynomials

9-7

9.4.7 Self-Paraconjugate Hurwitz Polynomial B(s1, s2) is said to be a self-paraconjugate Hurwitz polynomial (SPHP) if B(s1, s2) is a self-paraconjugate polynomial and if B(s1, s2) 6¼ 0 in S2þþ . In other words, B(s1, s2) is a BHP. For example, B(s1 , s2 ) ¼ (s21 þ 1)j (s1 s2 þ 1)k where j, k are nonnegative integers.

9.4.8 Reactance Hurwitz Polynomial A reactance Hurwitz polynomial (RHP) is defined as the para-even or para-odd part of a SHP. B(s1, s2) is said to be a RHP if B(s1, s2) is an SPHP and if each zero locus of B(s1, s2) on S200 is of multiplicity unity; i.e., B(s1, s2) has no repeated factors. For example, B(s1 , s2 ) ¼ (s21 þ 1)(s1 s2 þ 1)k is an RHP whereas B(s1 , s2 ) ¼ (s21 þ 1)2 (3s1 s2 þ 1)2 is not an RHP.

9.4.9 Immittance Hurwitz Polynomial An immittance Hurwitz polynomial (IHP) is defined as the product of an RHP and an SHP [10]. An alternate definition is given in terms of the zero-free regions. B(s1, s2) is said to be an IHP if B(s1, s2) is a BHP and if each continuum zero locus of B(s1, s2) on S200 is of multiplicity unity; i.e., B(s1, s2) has no repeated self-paraconjugate factors. For example, B(s1, s2) ¼ (s21 þ 1)(s1s2 þ 1)(2s1s2þ 7s1 þ s2)(s1 þ s2 þ 1).

9.4.10 Summary The definitions of BHP, NHP, SHP, HPSS, and VSHP are such that in the above sequence each Hurwitz polynomial satisfies the conditions required for each of the preceding polynomials, and hence form a subset of each. In other words, {VSHP}  {HPSS}  {SHP}  {NHP}  {BHP} {IHP}  {BHP} {SPHP}  {BHP} {RHP} ¼ {IHP} \ {SPHP}

9.5 Testsets for Analog Hurwitz Polynomials 9.5.1 Continuity Property of the Zeroes of 2-V Polynomials Let B(s1, s2) be a 2-V polynomial of degree m in s1 and n in s2. Let x1 be a point in the S1-plane. Then, B(x1, s2) is a 1-V polynomial in s2 and has n zeroes (possible some at infinity) in the S2-plane. When x1 is nrþ1 become zero, B(x1, s2) becomes a such that the coefficients of r consecutive terms sn2 , sn1 2 , . . . , s2

9-8

Fundamentals of Circuits and Filters

polynomial of degree n  r in s2 and has only (n  r) zeroes in the finite part of the S2-plane. If this polynomial is considered an n – r degree polynomial in s2, then it has n poles at s2 ¼ 1. Further, we also know that for a polynomial (in general, for a rational function), the number of poles and zeroes are equal when those that are at infinity are also counted. Hence, in the present use, where r leading coefficients become zero, the r missing zeroes are accounted to be at infinity. As discussed earlier, the infinite distant points of the S2-plane are assumed to converge to a single point at infinity. We further note that at an NSSK the function possesses zeroes as well as poles. Consider a zero s02 of B(x1, s2). When x1 is moved on a continuous line in the S1-plane, S02 either moves continuously or remains stationary. Further, when K(K  n), zeroes are present at a point, among which K0 zeroes are stationary, (K  K0 ) incoming and (K  K0 ) outgoing loci exist at that point. We may associate an incoming locus with an outgoing locus arbitrarily on a one-to-one basis. With these remarks in mind, the continuity property of the zeroes of 2-V polynomials can be stated in the form of a theorem.

THEOREM 9.1 Let B(x1, s2) be not identically zero. [If B(x1, s2)  0, (s1  x1)k, K  M, is a factor of B(s1, s2). Divide this factor out and consider the resulting polynomial]. Then, the locus of a zero ^s2 of B(x1, s2) generated by the movement of x1 on a continuous line in the S1-plane is a continuous line or a fixed point in the S2 plane. In the latter case, (s2 ^s2 ) is a factor of B(s1, s2). Proof: The proof of Theorem 9.1 can be given based on the properties of algebraic functions discussed by Bliss [27]. Also note that the locus may pass through the point at infinity; this is treated just like any other point in the S2-plane. A similar property also holds for the zeroes s1 of B(s1, x2). As a first step to identifying smaller testsets, we show that, because of the continuity property of the zeroes of 2-V polynomials, tests at some isolated points can be omitted. We do this for the tests in the region s20þ in the following lemma [24].

LEMMA 9.1 A 2-V polynomial B(s1, s2) 6¼ 0 or 0=0 in the region S20þ if B(s1, s2) 6¼ 0 or 0=0 in {S10–some isolated points} 3 S2þ (i.e., the Cartesian product of the whole imaginary axis of the S1-plane, except some isolated points, and the open right half of the S2-plane), and if B(^s1, s2) 6¼ 0, where ^s1 is any such isolated point in S10 [i.e., no 1-V factor of the form (s1 ^s1) is present in B(s1, s2)].

COROLLARY 9.1 A 2-V polynomial B(s1, s2) 6¼ 0 or 0=0 in the region S20þ if B(jw1, s2) 6¼ 0 for 1 < w1 < 1 and Re(s2) > 0, js2j < 1. Note that to test for the absence of the zeroes of B(s1, s2) in s20 , we must test all the boundary points and we cannot leave any isolated point untested, as in the case of s20þ . Next, we discuss test procedure for the various Hurwitz polynomials.

Theory of Two-Dimensional Hurwitz Polynomials

9-9

THEOREM 9.2 A 2-V polynomial B(s1, s2) is a BHP iff B(jw1, s2) 6¼ 0 in S2þ for all real finite w1, except possibly some isolated w1 and if the polynomial B(s1, b) has no zeroes in S1þ for some b 2 S2þ.

THEOREM 9.3 A 2-V polynomial B(s1, s2) is an NHP iff for all real finite w1, B(jw1, s2) ¼ 6 0 in S2þ; the polynomial in s1, B(s1, b) ¼ 6 0 in S1þ for some b 2 S2þ, and B(s1, s2) has no factor of the type (s2  ja), where a is a real constant.

THEOREM 9.4 A 2-V polynomial B(s1, s2) is an SHP iff for all real finite w1, B(jw1, s2) has no zeroes in S2þ; the polynomial B(s1, b) has no zeroes in S1þ for some b 2 S2þ, and B(s1, s2) and B*(s1, s2) are relatively prime.

THEOREM 9.5 A 2-V polynomial B(s1, s2) is a HPSS iff for all finite w1, B(jw1, s2) has no zeroes in {s2: Re(s2)  0, js2j < 1} and the polynomial, B(s1, b) has no zeroes in Re s1  0, for some b 2 S2þ. It is easy to verify that only infinite distant points on S200 are omitted from S200 in testing for the zero locations of B(s1, s2). Hence, B(s1, s2) is a HPSS.

THEOREM 9.6 The necessary and sufficient conditions for a 2-V polynomial B(s1, s2) to be a VSHP are [19] 1. 2a. 2b. 2c.

B(s1, s2) is a HPSS B(1, s2) 6¼ 0=0 for Re(s2) ¼ 0 and js2j < 1 B(s1, 1) 6¼ 0=0 for Re(s1) ¼ 0 and js1j < 1 B(1, 1) 6¼ 0=0

The infinite point testing method shown in Equations 9.2a through c could be followed for the testing of the three conditions under number 2 above. Let M X N X

B(s1 , s2 ) ¼

j

bij si1 s2

i¼0 j¼0

Then, conditions 2a to 2c are equivalent to: AM (s2 ) ¼

N X

bMj s2 6¼ 0

j

for Re(s2 ) ¼ 0

biN si1 6¼ 0

for Re(s1 ) ¼ 0

j¼0

BN (s1 ) ¼

M X i¼0

bMN 6¼ 0

Fundamentals of Circuits and Filters

9-10

THEOREM 9.7 Let B(s1 , s2 ) ¼

N X

j

aj (s1 )s2

j¼0

¼

M X

bi (s2 )si1

i¼0

¼

M X N X

j

cij si1 s2

i¼0 j¼0

Then, B(s1, s2) is a VSHP iff B(jw1, jw2) 6¼ 0, 1 < wi < 1 i ¼ 1, 2, and aN (s1) 6¼ 0 in S1, and bM (s2) 6¼ 0 in S2, and cMN 6¼ 0. Testsets for SPHP, RHP, and IHP can be formulated easily based on their definitions and the testset of a BHP.

9.6 Two-Variable Very Strict Hurwitz Polynomials A brief, additional discussion of VSHPs is given in this section because this class of 2-V Hurwitz polynomials is a counterpart to the 1-V SHP, at least from the domain description of a closed righthalf plane. We now state some of the properties of 2-V VSHPs. Let a two-variable transfer function T(s1, s2) be expressed as T(s1 , s2 ) ¼ P(s1 , s2 )=Q(s1 , s2 )

(9:3)

where P(s1 , s2 ) ¼

XX i

Q(s1 , s2 ) ¼

(i ¼ 0, 1, . . . , k; j ¼ 0, 1, . . . , l)

j

(i ¼ 0, 1, . . . , m; j ¼ 0, 1, . . . , n)

j

XX i

j

pij si1 s2 qij si1 s2

j

By applying the transformation method of Equations 9.2a through c it can be shown that unless m  k and n  l, polar singularities exist at a set of infinite distant points in the closed right half of the {S1, S2}biplane. Therefore, assume the m  k and n  1. Then, the following theorem regarding the singularity in the closed right-half biplane can be stated.

THEOREM 9.8 T (s1, s2) does not possess any singularity on the closed right half of {S1, S2}-biplane defined by S2 iff Q(s1, s2) is a VSHP. Proof: The proof of the theorem is straightforward if the infinite distant points are also taken into account.

Theory of Two-Dimensional Hurwitz Polynomials

9-11

Some other useful properties of VSHPs are [2] . .

B(s1, s2) ¼ [B1(s1, s2). B2(s1, s2)] is a VSHP iff B1(s1, s2) and B2(s1, s2) are VSHPs. If B(s1, s2) is a VSHP, then @=@si [B(s1, s2)], i ¼ 1, 2 are also VSHPs. This property is not true for other 2-D Hurwitz polynomials. Let M1 þ    þ A1 (s2 )s1 þ A0 (s2 ) B(s1 , s2 ) ¼ AM (s2 )sM 1 þ AM1 (s2 )s1

¼ CN (s1 )sN2 þ CN1 (s1 )sN1 þ    þ C1 (s1 )s2 þ C0 (s1 ) 2 .

.

.

(9:4) (9:5)

Let B(s1, s2) be expressed as in Equations 9.4 and 9.5. Then, Ai(s2), i ¼ 0, 1, . . . , M and Cj(s1), j ¼ 0, 1, . . . , N are 1-V strict Hurwitz polynomials. This property readily follows from the preceding partial derivative property. j Let B(s1, s2) ¼ Si Sj bij si1 s2 be a real 2-D VSHP. Then bMN bij > 0 for all i and j (i ¼ 0, 1, . . . , M; j ¼ 0, 1, . . . , N). Let B(s1, s2) be expressed as in Equations 9.4 and 9.5. Then, Ai(s2)=Ai1 (s2) for i ¼ 1, . . . , M and Cj(s1)=Cj1(s1) for j ¼ 1, . . . , N are minimum reactive, susceptive, strict PRFs.

The preceding property gives the following the necessary and sufficient condition for B(s1, s2), which has a first degree in s1 and any degree in s2. The necessary and sufficient condition that allows a 2-V polynomial B(s1, s2) ¼ B1(s2)s1 þ B0(s2) to be a VSHP is that the 1-V function F(s2) ¼ B1(s2)=B0(s2) be a minimum reactive, susceptive, strict PRF. Finally, we give a transformation theorem that transforms a 1-D strict Hurwitz polynomial into a 2-D VSHP. This is called a reactance transformation.

THEOREM 9.9 Let D(s) be any strict Hurwitz polynomial of order n. Generate a 2-D polynomial in the following way: B(s1 , s2 ) ¼ [N(s1 , s2 ) ]n  {D(s)}js ¼ M(s1 , s2 )=N(s1 , s2 ) where M and N are, respectively, the even and odd 2-D polynomials. The necessary and sufficient condition for B(s1, s2) to be a VSHP is that M(s1, s2) þ N(s1, s2) be a VSHP [2]. The odd TPRF Z(s1, s2) ¼ M(s1, s2)=N(s1, s2) does not possess NSSK on the distinguished boundary S200 and is called a proper or strict 2-D reactance function [2].

9.7 Application of Two-Dimensional Hurwitz Polynomials for Two-Variable Passive Networks and Stability This section enumerates some properties of 2-V passive network functions, with particular reference to the Hurwitz nature of the polynomials [24]. (The following assumes Re F(1, 1) > 0.) Let F(s1, s2) ¼ N(s1, s2)=D(s1, s2) be the driving-point immittance of a passive network. Then, N(s1, s2) and D(s1, s2) are BHPs. Let the common factors of N(s1, s2) and D(s1, s2) be canceled out and the resulting polynomials be called N1(s1, s2) and D1(s1, s2). Then, N1(s1, s2) and D1(s1, s2) are immittance Hurwitz polynomials. Let F(s1, s2) ¼ A(s1, s2)=B(s1, s2) be a relatively prime 2-V odd rational function. Then, F(s1, s2) is a 2-V reactance function if A(s1, s2) þ B(s1, s2) is a VSHP. F(s1, s2) is A 2-V reactance function iff A(s1, s2) þ B(s1, s2) is a scattering Hurwitz polynomial. The self-paraconjugate polynomials A(s1, s2) and B(s1, s2) satisfy the reactance Hurwitz properties.

Fundamentals of Circuits and Filters

9-12

A relatively prime 2-V odd function F(s1, s2) ¼ A(s1, s2)=B(s1, s2) having no second-kind singularities is a reactance function iff A(s1, s2) þ B(s1, s2) is a VSHP. Such functions are called proper or strict reactance functions [2], and are useful as transformation functions to generate a (structurally stable) 2-D network from a stable 1-D network. This is one of the main applications of VSHP. Let us now consider a relatively prime function F(s1, s2) ¼ N(s1, s2)=D(s1, s2). F(s1, s2) is a TPRF iff N(s1, s2) þ D(s1, s2) is a scattering Hurwitz polynomial. Further, if no second-kind singularities exist for F(s1, s2) on S200 , N(s1, s2) þ D(s1, s2) will be a VSHP. From the previous discussion, we can conclude that the Hurwitz nature determines important necessary conditions (and in some cases necessary and sufficient conditions) of 2V positive lossless functions. Hurwitz polynomials can be used to generate 2-V positive and lossless functions as in 1-V case through partial derivative operations [6]. The following property relates to sum separability and Hurwitz nature [20]. Let F(s1, s2) ¼ N(s1, s2)= D(s1, s2) be a 2-V positive function. Assume D(s1, s2) is an immittance Hurwitz polynomial having selfparaconjugate factors. In other words, D(s1, s2) is written as D(s1, s2) ¼ D1(s1, s2)D2(s1, s2), where D1(s1, s2) is a reactance Hurwitz and D1(s1, s2) is a scattering Hurwitz. Then, F(s1, s2) is sum separable as F(s1 , s2 ) ¼

N1 (s1 , s2 ) N2 (s1 , s2 ) þ D1 (s1 , s2 ) D2 (s1 , s2 )

where N1=D1 is a reactance function and N2=D2 is a positive function. Now, we turn our attention to some applications concerning transfer functions. Let T(s1, s2) ¼ A(s1, s2)=B(s1, s2) be the transfer function of a singly terminated or doubly terminated 2-V lossless network. Then, B(s1, s2) is a scattering Hurwitz polynomial. References [21,22] provide a detailed discussion of networks with transfer functions having scattering and VSHP denominators. It is not necessary that the denominator of all RLC 2-V network transfer functions be scattering Hurwitz. In the most general case it could be a broad-sense Hurwitz. Another interesting observation is that in the 1-V case the voltage transfer function cannot have a pole at origin and infinity. Extending this to the 2-V situation, we find that the 2-V voltage transfer function, T(s1, s2) cannot have first-kind (polar) singularities at si ¼ 0 or 1 (i ¼ 1, 2), but T(s1, s2) can be 0=0 at si ¼ 0 or 1 (i ¼ 1, 2). Let H(s1, s2) ¼ P(s1, s2)=Q(s1, s2) be a 2-V bounded real or lossless bounded real function. Then, Q(s1, s2) is a scattering Hurwitz polynomial. If H(s1, s2) has no NSSK on S200 then Q(s1, s2) must be a VSHP.

9.7.1 Application to Two-Dimensional Analog System Stability We consider the following important theorem [12].

THEOREM 9.10 The 2-D analog transfer function T(s1, s2) ¼ A(s1, s2)=B(s1, s2) is bounded-input–bounded-output (BIBO) stable only if B(s1, s2) is a scattering Hurwitz polynomial. The sufficient condition for stability is that B(s1, s2) be a VSHP (assume that T(s1, s2) has no polar singularities at infinite distant points). We conclude this section with the following unresolved problem of BIBO stability of 2-D continuous time systems [12]: Conjecture: The 2-D analog transfer function T(s1, s2) described in Theorem 9.10 is BIBO stable with no NSSK on S200 iff B(s1, s2) is a VSHP. The sufficiency part of this statement is proved. The necessity has yet to be established.

Theory of Two-Dimensional Hurwitz Polynomials

9-13

9.8 Conclusion This chapter provides a comprehensive, yet compact treatment of the theory of 2-D (analog) Hurwitz polynomials. With the help of double bilinear transformation s1 ¼ (1  zi)=(1 þ zi), i ¼ 1, 2, most of the theory could easily be translated to the 2-D discrete case, and thus to the stability theory and design of 2-D digital filters [2,24]. As in the 1-D case the 2-D Hurwitz polynomials play a critical role in the study of 2-D circuits, systems, and filters. In this chapter, a detailed classification and testing (see theorems) of various 2-D Hurwitz polynomials is presented. Discussion of the properties of 2-D very strict Hurwitz polynomials is also given. The various testing procedures (algorithms) are not discussed. The test procedures can be found in Refs. [11,12,25,26]. The chapter concludes by discussing how various Hurwitz polynomials arise in passive 2-V circuit theory and 2-D analog stability.

Acknowledgments As always, Dr. P. K. Rajan of the Tennessee Technological University and Dr. E. I. Jury of the University of California at Berkeley and the University of Miami provided constant encouragement. Dr. Rajan made significant contributions to the theory of stable 2-D polynomials discussed in this chapter. The material in this chapter is based mainly on Refs. [2,24] (including Figure 9.1).

References 1. H. G. Ansell, On certain two-variable generalization of circuit theory with applications to networks and transmission lines of lumped reactances, IEEE Trans. Circuit Theory, CT-11, 214–223, June 1964. 2. H. C. Reddy et al., Generation of two-dimensional digital transfer functions without nonessential singularities of the second kind, in Proc. IEEE Int. Conf. Acoust., Speech, Signal Process., Washington, D.C., pp. 13–19, Apr. 1979. Also see P. K. Rajan et al., IEEE Trans. Acoust., Speech, Signal Process., pp. 216–223, Apr. 1980. 3. V. S. Valdimirov, Method of Theory of Functions of Many Complex Variables, Cambridge, MA: MIT Press, 1966, pp. 36–38. 4. M. Saito, Synthesis of transmission line networks by multivariable techniques, in Proc. Symp. Generalized Networks, PIB, New York, 1966, pp. 353–393. 5. D. C. Youla, Synthesis of networks containing lumped and distributed elements, in Proc. Symp. Generalized Networks, PIB, New York, 1966, pp. 289–343. 6. V. Ramachandran, Some similarities and dissimilarities between single variable and two-variable reactance functions, IEEE Circuits Syst. Newsl., pp. 11–14, 1976. 7. A. Fettweis, On the scattering matrix and the scattering transfer matrix of multidimensional lossless two-ports, Arch. Elk. Ubertragung, 36, 374–381, Sept. 1982. 8. T. S. Huang, Stability of two-dimensional recursive digital filters, IEEE Trans. Audio Electroacoust., AU–20, 158–163, June 1972. 9. Ph. Delsarte, Y. Genin, and Y. Kamp, Two–variable stability criteria, Proc. IEEE Trans. Int. Symp. Circuits Syst., Tokyo, Japan, pp. 495–498, Jul. 1979. 10. A. Fettweis, On Hurwitz polynomials in several variables, in Proc. 1983 IEEE Int. Symp. Circuits and Syst., Newport Beach, CA, 1983, pp. 382–385. 11. N. K. Bose, Applied Multidimensional Systems Theory, New York: Van Nostrand Reinhold, 1982. 12. E. I. Jury, Stability of multidimensional systems and related problems, in Multidimensional Systems—Techniques and Applications, S.G. Tzafestas, Ed., New York: Marcel Dekker, 1986. 13. A. Fettweis and S. Basu, On discrete scattering Hurwitz polynomials, Int. J. Circuit Theory Appl., 13, 47–59, Jan. 1985.

9-14

Fundamentals of Circuits and Filters

14. A. Fettweis, Some properties of scattering Hurwitz polynomials, Arch. Elk. Ubertragung, 38, 171–176, 1984. 15. M. G. Strintzis, Tests of stability of multidimensional filters, IEEE Trans. Circuits Syst., CAS-24, 432–437, Aug. 1977. 16. D. Goodman, Some difficulties with double bilinear transformation in 2-D filter design, Proc. IEEE, 66, 905–914, June 1977. 17. D. C. Youla, The analysis and synthesis of lumped passive n-dimensional networks—Part I—Analysis, Polytechnic Inst. New York, Brooklyn, Report MIR-1437-84, Jul. 1984. 18. A. Fettweis and S. Basu, New results on multidimensional Hurwitz polynomials, in Proc. Int. Symp. Circuits Syst., Kyoto, Japan, June 1985, pp. 1359–1362. 19. H. C. Reddy and P. K. Rajan, A simpler test-set for very strict Hurwitz polynomials, Proc. IEEE, 890–891, June 1986. 20. H. C. Reddy et al., Separability of mutivariable network driving point functions, IEEE Trans. Circuits Syst., CAS-29, 833–840, Dec. 1982. 21. H. C. Reddy et al., Realization of resistively terminated two-variable lossless ladder networks, IEEE Trans. Circuits Syst., CAS–29, 827–832, Dec. 1982. 22. H. C. Reddy et al., Design of two-dimensional digital filters using analog reference filters without second kind singularities, in Proc. IEEE Int. Conf. Acoust., Speech, Signal Processing, Atlanta, Georgia, Apr. 1981, pp. 692–695. 23. D. Goodman, Some stability properties of linear shift invariant digital filters, IEEE Trans. Circuits Syst., 26, 201–208, Apr. 1971. 24. H. C. Reddy and P. K. Rajan, A comprehensive study of two-variable Hurwitz polynomials, IEEE Trans. Educ., 32, 198–209, Aug. 1989. 25. H. C. Reddy and P. K. Rajan, A test procedure for the Hurwitz nature of two-dimensional analog polynomials using complex lossless function theory, Proc. IEEE Int. Symp. Circuits Syst., Philadelphia, Pennsylvania, 702–705, May 1987. 26. P. K. Rajan and H. C. Reddy, Hermite matrix test for very strict Hurwitz polynomials, Proc. Midwest Symp. Circuits Syst., Lincoln, Nebraska, 670–673, Aug. 1986. 27. G. A. Bliss, Algebraic Functions, New York: American Mathematical Society, 1933.

10 Application of Symmetry: Two-Dimensional Polynomials, Fourier Transforms, and Filter Design 10.1 10.2 10.3

Introduction.......................................................................... 10-2 Basic Symmetry Definitions.............................................. 10-2

Nature of T-Operations . Nature of c-Operations . Various T–c Symmetries

Two-Dimensional Fourier Transform Pairs with Symmetry..................................................................... 10-7 Continuous-Time Continuous-Frequency Case . Continuous-Time Discrete-Frequency Case (Fourier Series) . Discrete-Time Continuous-Frequency Case . Discrete-Time Discrete-Frequency Case (Discrete Fourier Transform)

10.4

Symmetry and 2-D Fast Fourier Transform ............... 10-10 Symmetry-Based 1-D FFT . 2-D Symmetries . Symmetrical Decomposition for Data without Symmetry

10.5

Symmetry in 2-D Magnitude Response ....................... 10-15 Continuous-Time Frequency Response . Discrete-Time Frequency Response . Symmetry in Magnitude Response

10.6 10.7 10.8

Quadrantal Symmetry for Analog Polynomials . Diagonal Symmetry for Analog Polynomials . Fourfold (908) Rotational Symmetry for Analog Polynomials . Octagonal Symmetry for Analog Polynomials . Summary of Analog and Digital Polynomial Factors Possessing Symmetry

Hari C. Reddy

California State University and National Chiao-Tung University

I-Hung Khoo

California State University

P. K. Rajan

Tennessee Tech University

Polynomial Operations and Definitions....................... 10-17 Spectral Forms for Magnitude-Squared Function ..... 10-19 Determination of 2-D Polynomials Possessing Various Symmetries.......................................................... 10-21

10.9

Symmetry and Stability.................................................... 10-27 Stability of Analog Filters with Symmetric Magnitude Response . Stability of Digital Filters with Symmetric Magnitude Response

10-1

Fundamentals of Circuits and Filters

10-2

10.10 Filter Design Procedure ................................................... 10-30 10.11 Filter Design Examples .................................................... 10-31 Bandpass Filter Bandstop Filter

.

Low-Pass Filter

.

High-Pass Filter

.

Appendix: MATLAB Programs for Filter Design.................... 10-36 References.......................................................................................... 10-39

10.1 Introduction Two-dimensional (2-D) digital filters find applications in many fields such as image processing and seismic signal processing. Design of 2-D digital filters is more complicated than that of 1-D digital filters because of the increase in the number of coefficients with the increase in the dimension and also the difficulty of testing their stability. Fortunately, 2-D frequency responses possess many types of symmetries and the presence of these symmetries can be used to reduce the complexity of the design as well as the implementation of these filters. Symmetry in the frequency response of a filter induces certain constraints on the coefficients of the filter, which in turn reduces the filter design complexity [1–28]. Therefore, a study of the symmetries of the filter frequency responses and the resulting constraints on the filter coefficients is undertaken in this chapter. As there is a close relationship between digital and analog filter functions, symmetry properties are discussed in this chapter for both analog and digital domain functions. In addition to filter design, symmetry can also be applied in the computation of Fourier transform of 2-D signals. To facilitate this, the symmetry properties in the Fourier transform pairs will be presented. It will also be shown that the presence of symmetry will reduce the complexity in the implementation of the fast Fourier transform (FFT) of 2-D signals [29]. The following is the layout of the sections. First, the symmetries are defined. Then, the Fourier transform pairs with symmetry and the use of symmetry in the implementation of FFT are presented. Next, symmetry in the magnitude functions of filters is discussed. This is followed by the symmetry constraints on polynomials and a procedure to design 2-D filters employing the constraints. Finally, several examples are given to illustrate the application of the symmetry-based filter design procedure.

10.2 Basic Symmetry Definitions Let us consider a real rational function f(x1, x2) in two independent variables x1 and x2, where the function f assumes a unique value for each pair of x1 and x2. The existence of symmetry in f(x1, x2) implies the value of the function at (x1, x2) is related to the value at (x1T, x2T), where (x1T, x2T) is obtained by some operation on (x1, x2) [30–32]. Expanding on this concept, a function f is said to possess a symmetry if a pair of operations, T and c, performed simultaneously on the vector of variables, (x1, x2), and the function value leaves the shape of the function f undisturbed. The T  c symmetry of a function is defined as follows:

Definition 10.1: A function f(x) is said to possess a T  c symmetry over a domain D if c[ f (T[x])] ¼ f (x) for all x 2 D where c is an operation on the value of f(x) T is an operation on x that maps D onto itself on a one-to-one basis

(10:1)

Application of Symmetry

10-3

Depending on the T  c operations, different symmetries are obtained such as x1 ¼ x2 diagonal reflection antisymmetry, fourfold rotational conjugate symmetry, and so on. The nature of T and c operations is discussed next.

10.2.1 Nature of T-Operations In the study of symmetry, the basic T-operation can be represented by the transformation: T[x] ¼ A  x þ b

(10:2)

where A is a nonsingular (2 3 2) real square matrix b is a (2 3 1) real vector Some of the common T-transformations are displacement transformation, rotational transformation, and reflection transformation. In Table 10.1, we list only the basic reflection and rotational transformations where b ¼ 0 and the A matrices are formed using 1 or 1 as elements on the diagonal or off the diagonal. Of the seven operations listed, only the first five are needed as the product of them will give the remaining two. Thus we will only focus on operations (i)–(v) listed in the table. It is to be noted that the T-operations can be compounded. For example, T1T2 refers to the compound operation consisting of operation T2 followed by T1. The compounding of transformations always obey the associative law, i.e., T1(T2T3) ¼ (T1T2)T3. The T-operations can also be classified by the number of cycles. A T-operation is said to be k-cyclic if k repeated T-operations on x yield the same original x. That is: T k[x] ¼ x or stated in another way T k ¼ I (where I is the identity matrix). For example, T 21 ¼ I and T 45 ¼ I. So, operations (i)–(iv) listed in Table 10.1 are 2-cyclic, while (v) is 4-cyclic.

Basic T-Operations

TABLE 10.1 A  (i)  (ii)  (iii) 

(iv) (v) (vi) (vii)

1 0

0 1

1 0 0 1

b

1 0

0 1 

Symbol

0

Reflection about x1-axis

T1

0

Reflection about x2-axis

T2

0

Reflection about x1 ¼ x2 diagonal

T3

0

Reflection about x1 ¼ x2 diagonal

T4

0

908 clockwise rotation about origin

T5

0

908 anticlockwise rotation about origin

T6

0

1808 rotation about origin

T7



 1 0   0 1 1 0   0 1 1 0   1 0 0 1 0 1

Name of Operation



Fundamentals of Circuits and Filters

10-4

10.2.2 Nature of c-Operations The basic c-operation can be represented by t

c[ f (x)] ¼ j f (x)jej(dff f (x)þb xþf) \

(10:3)

where d ¼ 1 ff\f(x) denotes the argument of f(x) b is a (2 3 1) real constant vector f is a real constant In Equation 10.3, it can be seen that c does not alter the magnitude of f(x) as the parameters d, b, and f affect only the argument of f(x). Most commonly occurring symmetries have b ¼ 0. The term bt has been included in the c operation to account for delay type symmetries that may be present in some functions. In Table 10.2, we list four common c-operations that are used in various symmetry descriptions.

10.2.3 Various T–c Symmetries The T and c operations in Equations 10.2 and 10.3 can be combined to form a composite symmetry operation that is described by the symmetry parameters (A, b, d, b, f). This composite operation can be used to generate various symmetries. For example, using the T-operations (i)–(v) in Table 10.1 and assuming the identity cI, we have the following standard symmetries. (Note that the corresponding antisymmetry, conjugate-symmetry, and conjugate-antisymmetry can be obtained by applying the cA, cC, and cCA operations, respectively, shown in Table 10.2.) Reflection about x1-axis symmetry: f(x) ¼ f(T1[x]). Reflection about x2-axis symmetry: f(x) ¼ f(T2[x]). Reflection about x1 ¼ x2 diagonal symmetry: f(x) ¼ f(T3[x]). Reflection about x1 ¼ x2 diagonal symmetry: f(x) ¼ f(T4[x]). 908 clockwise rotational symmetry: f(x) ¼ f(T5 [x]). This also implies f(T5[x]) ¼ f(T 25[x]) and f(T 25[x]) ¼ f(T 35[x]), through repeated substitution of x ¼ T5[x]. 6. Centrosymmetry (1808 rotation): f(x) ¼ f( x). This can also be expressed as f(x) ¼ f(T 25[x]) or f(x) ¼ f(T1T2[x]) or f(x) ¼ f(T3T4[x]). 1. 2. 3. 4. 5.

These symmetries are shown in Figure 10.1a through f. It is to be noted that the values of the function at corresponding points in the shaded regions are the same since we assume c ¼ cI. The various symmetries can also be classified according to their cycles. Reflection symmetries about x1-axis, x2-axis, x1 ¼ x2 diagonal, x1 ¼ x2 diagonal, and centrosymmetry are all 2-cyclic symmetries. On the other hand, 908 clockwise rotational symmetry is 4-cyclic, and we usually call it fourfold (908) rotational symmetry.

TABLE 10.2

Basic c-Operations

d

b

f

1

0

0

c[ f(x)] ¼ f(x)

Identity symmetry

cI

1 1

0 0

p 0

c[ f(x)] ¼ f(x) c[ f(x)] ¼ [ f(x)]*

Antisymmetry Conjugate symmetry

cA cC

1

0

p

c[ f(x)] ¼ [ f(x)]*

Conjugate antisymmetry

cCA

c-Operations

Symmetry Name

Symbol

Application of Symmetry

10-5

x2

x2

x2 f (T3[x_])

f (x_) 0

f (T2[x_])

f (T1[x_]) x1

f (x_)

f (x_) 0

x1

0

x1

x1 = x2 Diagonal (b)

(a) x2

(c) x2

x2

x1 = –x2 Diagonal f (x_)

f (x_) x1

0

0

f (T4[x_])

f (x_) x1

f (–x_)

0

x1

f (T5[x_])

(d)

(f)

(e)

FIGURE 10.1 (a) x1-Axis reflection symmetry, (b) x2-axis reflection symmetry, (c) x1 ¼ x2 diagonal reflection symmetry, (d) x1 ¼ x2 diagonal reflection symmetry, (e) 908 clockwise rotation symmetry, and (f) centrosymmetry (1808 rotation).

In addition to these basic symmetries, more complex symmetries can be generated using a combination of different T-operations. For example, using a combination of T1 and T2 operations, we can obtain quadrantal symmetry. These compound symmetries are listed in Table 10.3. (Once again, for illustration, we show only the symmetries resulting from the cI operation.) We can make some important observations on the compound symmetries in Table 10.3: 1. Quadrantal symmetry is a combination of x1-axis reflection, x2-axis reflection, and centrosymmetries. The presence of any two of the symmetries implies the existence of the third, i.e., T1  T2 ¼> I, IT1 ¼> T2, and IT2 ¼> T1. So only two of the three symmetries are needed to ensure quadrantal symmetry. In terms of cycles, quadrantal symmetry is a double 2-cyclic symmetry as (T1T2)(T1T2) ¼ I. TABLE 10.3

Definitions of Compound T–cI Symmetries

Type of Symmetry

Conditions

Quadrantal symmetry

f(x) ¼ f(T1[x]) ¼ f(T1T2[x]) ¼ f(T2[x])

Diagonal symmetry

f(x) ¼ f(T3[x]) ¼ f(T3T4[x]) ¼ f(T4[x])

Fourfold (908) rotational symmetry

f(x) ¼ f(T5[x]) ¼ f(T 25[x]) ¼ f(T 35[x])

Octagonal symmetry

f (x) ¼ f (T1 [x]) ¼ f (T2 [x]) ¼ f (T3 [x]) ¼ f (T4 [x]) ¼ f (T5 [x]) ¼ f (T52 [x]) ¼ f (T53 [x])

Fundamentals of Circuits and Filters

10-6

2. Diagonal symmetry is a combination of x1 ¼ x2 diagonal, x1 ¼ x2 diagonal, and centrosymmetries. The presence of any two of the three symmetries implies the existence of the third and is enough to ensure diagonal symmetry. Diagonal symmetry is a double 2-cyclic symmetry. 3. Fourfold (908) rotational symmetry is a 4-cyclic symmetry. It is formed by four repeated applications of T5. 4. Octagonal symmetry is a combination of quadrantal, diagonal, and fourfold rotational symmetries. The presence of any two of the three symmetries implies the existence of the third, and is sufficient to guarantee octagonal symmetry. Octagonal symmetry can be classified as a triple 2-cyclic symmetry, or a combination of 4-cyclic and 2-cyclic symmetries. To aid in understanding, Figure 10.2a through d shows the graphical interpretation of the quadrantal, diagonal, rotational, and octagonal symmetries. Once again, the values of the function in the shaded regions are related to one another in the manner specified by the c operation (i.e., identity, negative, conjugate, or negative conjugate). From the figures, one can also see that there are four regions of x2

x2

f(T3[x_])

f(T2[x_])

f(x_)

f(x_) 0

f(T1T2[x_])

f(T1[x_])

x1

x1

0

f(T3T4[x_])

f(T4[x_]) (a)

(b) x2

x2

f(T 53[x_]) f(T3[x_])

f(T 53[x])

f(T2[x_])

f(x) f(T 52[x])

0

x1

f(T 52[x_])

f(T5[x])

(c)

f(x_) 0

f(T1[x_])

x1

f(T4[x_]) f(T5[x_]) (d)

FIGURE 10.2 (a) Quadrantal symmetry, (b) diagonal symmetry, (c) fourfold rotational symmetry, and (d) octagonal symmetry.

Application of Symmetry

10-7

symmetry in the X-plane for quadrantal, diagonal, and fourfold rotational symmetries, and eight regions for octagonal symmetry.

10.3 Two-Dimensional Fourier Transform Pairs with Symmetry Two-dimensional Fourier transform is a very important tool in the analysis and design of 2-D linear systems. Since the transform uniquely relates the impulse or unit sample response of a linear system with its frequency response, symmetry present in one response may be expected to induce some form of symmetry in the other response. The existence of such symmetries can then be utilized to simplify the analysis and design of these systems. In this section, we present, for both continuous and discrete-time signals, the type of symmetry induced in one function (Fourier transform or inverse Fourier transform) as a result of a particular symmetry in the other function.

10.3.1 Continuous-Time Continuous-Frequency Case Let h(l) ¼ h(l1, l2) be a 2-D signal, and H(v) ¼ H(v1, v2) be the 2-D Fourier transform of h(l). If h(l) is the impulse response of a stable continuous-time system, then its Fourier transform H(v) always exists and the Fourier transform pair are given as follows: ð

h(l)  ejv l  dl t

H(v) ¼

(10:4)

l2L

and 1 h(l) ¼ (2p)2

ð

H(v)  ejl v  dv t

(10:5)

v2W

where dl ¼D dl1  dl2 dv ¼D dv1  dv2 The following theorem describes the nature of the symmetry that will be present in h(l), given a symmetry in H(v), and vice versa [29].

THEOREM 10.1 Let h(l) and H(v) form a 2-D Fourier transform pair. Then H(v) possesses a T – c symmetry with parameters (A, b, d, b, f), jAj ¼ 1, if and only if h(l) possesses a T – c symmetry with parameters (d(A1)t, db, d, db, bt b þ f) where A, b, d, b, f are as defined in Section 10.2. Observations We can make the following observations based on Theorem 10.1: 1. If (A, b, d, b, f)v and (A, b, d, b, f)l are respectively the v-domain and the l-domain symmetry parameters, the corresponding l and v domain parameters are obtained by the following relations: (A, b, d, b, f)v ) (d  (A1 )t , db, d,  db, bt b þ f)l

(10:6)

Fundamentals of Circuits and Filters

10-8

and (A, b, d, b, f)l ) (d  (A1 )t ,  db, d, db, bt b þ f)v

(10:7)

This illustrates the duality present in v and l domain symmetries. 2. Nature of symmetry transformation, such as rotation, reflection, etc., as identified by the A-matrix remains the same in both the v and l domains. 3. Identical symmetries resu1t in both v and l domains if d ¼ 1, b ¼ 0, and b ¼ 0.

10.3.2 Continuous-Time Discrete-Frequency Case (Fourier Series) Let h(l) ¼ h(l1, l2) be a 2-D continuous-time periodic signal with periods L1 and L2, respectively in l1 and l2 directions. Let H[k] ¼ H[k1, k2] be the 2-D Fourier series coefficients of h(l1, l2). The relations between h(l) and H[k] are given by 1  H[k] ¼ L1  L2

Lð1

Lð2

h(l)  ejk Vl  dl t

(10:8)

l1 ¼0 l2 ¼0

and h(l) ¼

X

H[k]  ejk Vl t

(10:9)

k

where 

V1 V¼ 0

0 V2

"

 ¼

2p L1

0

0

#

2p L2

It may be verified that h(l) is a doubly periodic function indicating the existence of displacement symmetries. In the following, we will consider the general T  c symmetry relations.

THEOREM 10.2 Let H[k] be the Fourier series representation of a periodic function h(l). Then h(l) possesses a T  c symmetry with parameters (A, b, d, b, f), jAj ¼ 1, if and only if H[k] possesses a T  c symmetry with parameters (d(A1)t, dV 1b, d, dVb, bt bþf) where A, b, d, b, f are as defined in Section 10.2. It should be noted that b and dV 1b are integer vectors. Since the observations made on the continuous domain case are also applicable here, the relations between k and l domain parameters are given by (A, b, d, b, f)l ) (d  (A1 )t ,  d  V 1  b, d, d  V  b, bt b þ f)k

(10:10)

(A, b, d, b, f)k ) (d  (A1 )t , d  V 1  b, d,  d  V  b, bt b þ f)l

(10:11)

and

Application of Symmetry

10-9

10.3.3 Discrete-Time Continuous-Frequency Case Let h(n) ¼ h(n1, n2), where n1, n2 are integers, be a 2-D discrete-domain signal and H(u) ¼ H(eju1, eju2) be the 2-D Fourier transform of h(n). The Fourier transform pair connecting h(n) and H(u) are given by H(u) ¼

X

h(n)  eju n

(10:12)

H(u)  ejn u  du

(10:13)

t

n2N 2

and h(n) ¼

1 (2p)2

ð

t

u2up

where up ¼ {ujp  u1  p, p  u2  p} du ¼ du1du2 It may easily be verified from Equation 10.12 that H(u) is a periodic function of u with a period of 2p in the u1 and u2 directions. Because of the periodic nature of H(u), displacement symmetries in u1 and u2 directions are always present. This is due to the discrete nature of h(n). We will consider the effects of the remaining symmetries that will be present in h(n), given a symmetry in H(u) and vice versa, in the following theorem.

THEOREM 10.3 Let h(n) and H(u) be a 2-D Fourier transform pair. Then H(u) possesses a T  c symmetry with parameters (A, b, d, b, f), jAj ¼ 1, if and only if h(n) possesses a T  c symmetry with parameters (d(A1)t, db, d, db, bt bþf) where A, b, d, b, f are as defined in Section 10.2. Because of the similarity between Theorem 10.3 and Theorem 10.1, the observations made in the continuous domain case are also applicable here. Consequently, the relations between u and n symmetry parameters are given by (A, b, d, b, f)u ) (d  (A1 )t , db, d,  db, bt b þ f)n

(10:14)

(A, b, d, b, f)n ) (d  (A1 )t ,  db, d, db, bt b þ f)u

(10:15)

and

In the application of this theorem, it should be noted that h(n) is a function of discrete-domain variable n and h(n) ¼ 0 if n is not an integer vector.

10.3.4 Discrete-Time Discrete-Frequency Case (Discrete Fourier Transform) Let h[n] ¼ h[n1, n2] be a 2-D discrete-domain signal defined over 0  n1  (N1  1) and 0  n2  (N2  1). Then its (N1, N2) length 2-D discrete Fourier transform (DFT) is given by H[k] ¼ H[k1 , k2 ] ¼

N 1 1 N 2 1 X X n1 ¼0 n2 ¼0

h[n]  ejk Vn , 0  k1  (N1  1), t

0  k2  (N2  1)

(10:16)

Fundamentals of Circuits and Filters

10-10

where " V¼

2p N1

0

#

2p N2

0

The inverse DFT is given by h[n] ¼ h[n1 , n2 ] ¼

N 1 1 N 2 1 X X t 1  H[k]  ejk Vn N1  N2 k ¼0 k ¼0 1

(10:17)

2

It may be noted that even though h[n1, n2] and H[k1, k2] are defined in the rectangle [0, N1  1] 3 [0, N2  1], they satisfy the doubly periodic relations: h[n1 þ r1  N1 , n2 þ r2  N2 ] ¼ h[n1 , n2 ] for any integer r1 and r2 and similarly H[k1 þ r1  N1 , k2 þ r2  N2 ] ¼ H[k1 , k2 ] for any integer r1 and r2 The symmetry relations between h and H are given in terms of T  c parameters in the following theorem.

THEOREM 10.4 Let H[k] be the DFT of h[n]. Then h[n] possesses a T  c symmetry with parameters (A, b, d, b, f) if and only if H[k] possesses a T  c symmetry with parameters (d  (A1)t, d  V 1  b, d, d  V  b, bt b þ f) where A, b, d, b, f are as defined in Section 10.2. As in the previous cases, the symmetry parameters of n and k domains can be written as (A, b, d, b, f)n ) (d  (A1 )t , d  V 1  b, d, d  V  b, bt b þ f)k

(10:18)

(A, b, d, b, f)k ) (d  (A1 )t , d  V 1  b, d,  d  V  b, bt b þ f)n

(10:19)

and

In the application of this theorem it should be noted that n and k are integer vectors and h[n] and H[k] are periodic with periods N1 and N2 in the two dimensions.

10.4 Symmetry and 2-D Fast Fourier Transform FFT is a frequently used operation on 2-D signals. For large size signals, it is also a very time-consuming operation. So, any technique that reduces this complexity is desirable. As noted earlier, presence of symmetry in a signal yields some constraints on the spectrum. Therefore, use of symmetry constraints can be expected to reduce the complexity of evaluation of 2-D Fourier transforms. In this section, we will see how symmetry constraints can be used to speed up the computation of the FFT. First we will consider 1-D signals and then we will discuss symmetry application to 2-D FFTs.

Application of Symmetry

10-11

10.4.1 Symmetry-Based 1-D FFT Let x[n], n ¼ 0, 1, . . . , (N  1) be an N-length 1-D complex signal and X[k], k ¼ 0, 1, . . . , (N  1), be the N-length DFT of x[n]. X[k] is given by

X[k] ¼

N1 X

x[n]  WNkn , k ¼ 0, 1, . . . , (N1)

(10:20)

n¼0

where WN ¼ ej N . The DFT requires approximately N2 complex multiplication and N2 complex additions. When N is a power of 2, this can be reduced to N2 log2 N complex multiplications and N2 additions using what is called the Cooley–Tukey FFT. 1-D signals may possess reflection symmetries, translation symmetries, and identity symmetries. Reflection symmetries themselves can be with respect to the point at N=2 or (N  1)=2. To illustrate the application of symmetries and the resultant reduction in the complexity, we use reflection symmetry about the point (N  1)=2. Application of other symmetries yields similar reductions in complexity. If x[n] possesses reflection symmetry about n ¼ (N  1)=2, then x[N  1  n] ¼ x[n] for n ¼ 0, 1, . . . , (N  1). In terms of T  c parameters, this corresponds to A ¼ [1], b ¼ [N  1], d ¼ 1, b ¼ 0, and f ¼ 0. The corresponding symmetry parameters in DFT domain is given by 2p

Ak ¼ [1],

bk ¼ [0],

dk ¼ 1, bk ¼ N  1, and

fk ¼ 0,

which yields the symmetry relation after employing the periodic property of X[k], X[k] ¼ WNk  X[N  k],

k ¼ 0, 1, . . . , (N  1)

(10:21)

Therefore, only half of X[k] and x[n] are independent and once they are known, the other half can be determined using the symmetry relation (Equation 10.21). Assuming N to be even, we next show how X[k] can be determined with less number of operations than the FFT. Using even and odd sample decomposition we can write X[k] as

X[k] ¼

N 1 X

x[n] 

WNkn

þ

n¼0 n even 2 1 X

N 1 X

N

¼

x[n]  WNkn

n¼0 n odd 2 1 X N

x[2r] 

WNk2r

þ

r¼0

x[2r þ 1]  WNk(2rþ1)

r¼0

¼ S0 [k] þ

WNk

 S1 [k]

(10:22)

where S0 and S1 are N=2 point DFTs. Now using the symmetry properties x[N  1  n] ¼ x[n], we can write S1[k] in terms of S0[k] as S1 [k] ¼ WN2k  S0



 N k 2

(10:23)

Fundamentals of Circuits and Filters

10-12

x[0] x[2]

N — – FFT 2

S0[0]

X[0]

S0[1]

X[1]

S0[2]

X[2]

x[N – 2 ] — – 1] X[N

— – 1] S0[N

2

2

0

WN

X[N —]

–1

2

–1

WN

– N — –2

(

WN 2

)

– N — –1

(

WN 2

FIGURE 10.3

— + 1] X [N 2

–1

–1

X[N – 2]

–1

X[N – 1]

)

Symmetry-based FFT diagram.

So, for k ¼ 0, 1, 2, . . . ,

N 2

 1, 

N k 2   N k ¼ S0 [k] þ WN  S0 k 2     N N k X þ k ¼ S0 [k]  WN  S0 k 2 2 X[k] ¼ S0 [k] þ WNk  WN2k  S0



(10:24)

Thus, X[k] for k ¼ 0, 1, 2, . . . , (N  1) can be determined using N=2 even indexed samples. This is illustrated in the flow diagram shown in Figure 10.3. It is noted that we need only one N2 -point FFT instead  of  the normal two. As a result, the total number of complex multiplications needed is reduced to N4 log2 N2 þ N2 instead of N2 log2 N in the nonsymmetrical case. Thus use of symmetry results in approximately 50% reduction in the computational complexity.

10.4.2 2-D Symmetries As in the 1-D case, symmetry can be utilized to reduce the computational complexity of 2-D DFTs. In the following, we illustrate the symmetry application for centrosymmetry and quadrantal symmetry N N cases. As in the 1-D case, the reflection and rotation symmetries can be defined with respect to N1 N1 N N 2 , 2 point point. Because of periodicity of h, the symmetries with respect to 2 , 2 will also or 2 , 2 correspond to symmetries with respect to the origin (0,0) for the periodically extended signal. On the N1 point for reflection or rotation, the other hand, with the choice of N1 2 , 2  various  symmetries will N1 , is chosen in the occur for h[n] with respect to the center of the given data. In the following, N1 2 2 definition of various symmetries for h[n].

Application of Symmetry

10-13

10.4.2.1 Centrosymmetry about (N21 , N21) An NN array 2-D signal x[m, n] is said to possess centrosymmetry about

N1 2

 , N1 if 2

x[N  1  m, N  1  n] ¼ x[m, n] for 0  m, n  N  1 The corresponding symmetry relation for its 2-D DFT X[k, l] is given by X[N  k, N  l] ¼ WNkþl  X[k, l]

(10:25)

Now employing the even- and odd-indexed samples decomposition, X[k, l] can be written as X[k, l] ¼ S00 [k, l] þ WNk  S10 [k, l] þ WNl  S01 [k, l] þ WNkþl  S11 [k, l]

(10:26)

where 2 1 X 2 1 X N

S00 [k, l] ¼

N

kpþlq

x[2p, 2q]  WN 2

p¼0 q¼0 p even q even 2 1 X 2 1 X N

S10 [k, l] ¼

N

kpþlq

x[2p þ 1, 2q]  WN 2

p¼0 q¼0 2 1 X 2 1 X N

S01 [k, l] ¼

N

kpþlq

x[2p, 2q þ 1]  WN 2

p¼0 q¼0 2 1 X 2 1 X N

S11 [k, l] ¼

N

kpþlq

x[2p þ 1, 2q þ 1]  WN 2

p¼0 q¼0

Now applying the symmetry relation we can write

and

S01 [k, l] ¼

WN2(kþl)

S11 [k, l] ¼

WN2(kþl)

  S10   S00

N N  k,  l 2 2

N N  k,  l 2 2

 

Then, X[k, l] ¼ S00 [k, l] þ

WNk

 S10 [k, l] þ

WN2kl

  S10

   N N N N (kþl)  S00  k,  l þ WN  k,  l 2 2 2 2 (10:27)

From the above expression   it is seen that when the centrosymmetry   relation is employed, we need to compute only two N2  N2 size 2-D FFTs instead of four N2  N2 size 2-D FFTs. Thus there is a 50% reduction in the computational complexity.

Fundamentals of Circuits and Filters

10-14

10.4.2.2 Quadrantal Symmetry A 2-D array x[m, n] is said to possess quadrantal symmetry if the following condition is satisfied: x[m, n] ¼ x[N  1  m, n] ¼ x[m, N  1  n] ¼ x[N  1  m, N  1  n]

(10:28)

It may be noted that quadrantal symmetry is defined here with respect to the center of the array, N1 N1 2 , 2 . In the expression for X [k, l] in Equation 10.26, S10[k, l], S01[k, l], and S11[k, l] can be expressed in terms of S00[k, l] as 2 1 X 2 1 X N

S10 [k, l] ¼

N

p¼0 q¼0

2

 N ¼  S00  k, l 2   N 2l S01 [k, l] ¼ WN  S00 k,  l 2   N N 2(kþl) S11 [k, l] ¼ WN  S00  k,  l : 2 2 WN2k

and

pkþql

x[2p þ 1, 2q]  WN 

Then X[k, l] can be written in terms of S00 solely as X[k, l] ¼ S00 [k, l] þ

WNk

  S00

     N N N N (kþl) l  S00  k, l þ WN  S00 k,  l þ WN  k,  l (10:29) 2 2 2 2

It is then noted that evaluation of X[k, l] requires the evaluation of four N2  N2 size 2-D FFTs when x[m, n] does not possess any symmetry whereas only one N2  N2 size 2-D FFT is required when quadrantal symmetry is present in x[m, n]. In other words, utilization of the quadrantal symmetry in x[m, n] reduces the computational complexity by approximately 75%. It may also be noted that quadrantal symmetry in x [m,n] as defined in Equation 10.28 results in a form of quadrantal symmetry in X[k, l] as X[k, l] ¼ WNk  X[N  k, l] ¼ WNl  X[k, N  l] ¼ WN(kþl)  X[N  k, N  l]

(10:30)

10.4.3 Symmetrical Decomposition for Data without Symmetry In many situations, signals do not possess any symmetries. In those cases, symmetry results cannot be applied if we process the signals as they are. One way of dealing with this situation is to decompose the signal into signals possessing symmetries and antisymmetries [20,33]. For example, x[m, n] can be decomposed as x[m, n] ¼ x00 [m, n] þ x10 [m, n] þ x01 [m, n] þ x11 [m, n] where x00 possesses x10 possesses x01 possesses x11 possesses

quadrantal quadrantal quadrantal quadrantal

(10:31)

symmetry antisymmetry of type 1 (antisymmetry w.r.t. m and symmetry w.r.t. n) antisymmetry of type 2 (symmetry w.r.t. m and antisymmetry w.r.t. n) antisymmetry of type 3 (antisymmetry w.r.t. both m and n)

Then each component can be processed using appropriate symmetry properties. While this method may not reduce the overall complexity, it will facilitate parallel processing of major computations.

Application of Symmetry

10-15

10.5 Symmetry in 2-D Magnitude Response In the design of 2-D filters, the design specifications are usually given in terms of the magnitude spectrum which possesses certain symmetries, while the phase characteristic is either not known or is not important. In such cases, it is desirable to know the types of transfer functions that can support the specified symmetry in the magnitude response. Recall that the c operation only affects the phase of the frequency response and not the magnitude. Hence, when dealing with magnitude symmetry, we assume c ¼ cI and call our T  c symmetry as simply T-symmetry. Now, in order for the magnitude response of a transfer function to possess a particularly symmetry, both the numerator and denominator polynomials have to possess the symmetry individually. In other words, when studying the symmetry constraints on the transfer function, one need only focus on the polynomial symmetry constraints on the numerator and denominator. In this section, we discuss the frequency response of the continuous-time and discrete-time 2-D polynomials and present the symmetry that might be present in the magnitude responses.

10.5.1 Continuous-Time Frequency Response Let P(s1, s2) be a 2-D s-domain polynomial with complex coefficients. Its frequency response is given by P(jv1, jv2) where v1 and v2 are the radian frequencies. This is equivalent to evaluating the polynomial on the imaginary axes of the (s1, s2) biplane as shown in Figure 10.4. The magnitude-squared function of the frequency response is then given by F(v1 , v2 ) ¼ P(jv1 , jv2 )  P*(jv1 ,jv2 ) ¼ P(s1 , s2 )  P*(s1 ,s2 )jsi ¼jvi , i ¼ 1, 2

(10:32)

where P* is obtained by complex conjugating the coefficients of P.

10.5.2 Discrete-Time Frequency Response For a 2-D z-domain polynomial Q(z1, z2) with complex coefficients, its frequency response is given by Q(eju1, eju2). This is the same as evaluating the polynomial on the boundary of the unit circle in the (z1, z2) biplane as shown in Figure 10.5. Note that ui ¼ vi T, where v1 and v2 are the same analog radian frequencies as above, and T is the sampling period. The magnitude-squared function of the frequency response is given by     F(u1 , u2 ) ¼ Q eju1 , eju2  Q* eju1 , eju2   (10:33) ¼ Q(z1 , z2 )Q* z 1 , z1  ju , i ¼ 1, 2 1

2

zi ¼e

i

where Q* is obtained by complex conjugating the coefficients of Q. jω2

jω1

0

σ1

0

σ2

FIGURE 10.4 (s1, s2) biplane. (The frequency response is evaluated on the imaginary axes, si ¼ jvi.)

Fundamentals of Circuits and Filters

10-16 Im(z1)

Im(z2)

θ2

θ1 0

FIGURE 10.5

Re(z1)

0

Re(z2)

(z1, z2) biplane. (The frequency response is evaluated on the unit circles, zi ¼ ejui.)

10.5.3 Symmetry in Magnitude Response It can be seen in Equations 10.32 and 10.33 that if P and Q are real coefficient polynomials, F(v1, v2) ¼ F(v1, v2) and F(u1, u2) ¼ F(u1, u2). Therefore, a real polynomial, analog or digital, always possesses centrosymmetry. Because of this, only the compound symmetries in Table 10.3 need to be considered. We summarize in Table 10.4 these symmetry conditions for the magnitude-squared functions in both continuous and discrete domains. These symmetries are the same as those shown in Figure 10.2a–d, with the appropriate change in function and variable names.

TABLE 10.4 Symmetries Conditions for Continuous and Discrete Domain Magnitude-Squared Functions Type of Symmetry Quadrantal

Diagonal

Fourfold (908) Rotational

Octagonal

Condition on F(v1, v2), 8 (v1, v2)

Condition on F(u1, u2), 8 (u1, u2)

F(v1 , v2 ) ¼ F(v1 , v2 )

F(u1 , u2 ) ¼ F(u1 , u2 )

¼ F(v1 , v2 )

¼ F(u1 , u2 )

¼ F(v1 , v2 )

¼ F(u1 , u2 )

F(v1 , v2 ) ¼ F(v2 , v1 )

F(u1 , u2 ) ¼ F(u2 , u1 )

¼ F(v1 , v2 )

¼ F(u1 , u2 )

¼ F(v2 , v1 )

¼ F(u2 , u1 )

F(v1 , v2 ) ¼ F(v2 , v1 )

F(u1 , u2 ) ¼ F(u2 , u1 )

¼ F(v1 , v2 )

¼ F(u1 , u2 )

¼ F(v2 , v1 )

¼ F(u2 , u1 )

F(v1 , v2 ) ¼ F(v2 , v1 ) ¼ F(v2 , v1 )

F(u1 , u2 ) ¼ F(u2 , u1 ) ¼ F(u2 , u1 )

¼ F(v1 , v2 )

¼ F(u1 , u2 )

¼ F(v1 , v2 )

¼ F(u1 , u2 )

¼ F(v2 , v1 )

¼ F(u2 , u1 )

¼ F(v2 , v1 )

¼ F(u2 , u1 )

¼ F(v1 , v2 )

¼ F(u1 , u2 )

Application of Symmetry

10-17

10.6 Polynomial Operations and Definitions In this section, we present the polynomial operations and definitions that will be used later for deriving the polynomial factors with symmetry.

Definition 10.2: Paraconjugate operation The paraconjugate of an analog polynomial P(s1, s2) is defined as P* (s1 , s2 ) ¼ P*(s1 , s2 )

(10:34)

The subscript ‘‘*’’ indicates the paraconjugate of a polynomial, whereas the superscript ‘‘*’’ indicates the complex conjugation of coefficients alone. Then in terms of the paraconjugate of a polynomial, the magnitude-squared function of P(s1, s2) can be expressed as  F(v1 , v2 ) ¼ P(s1 , s2 )  P* (s1 , s2 )si ¼jvi , i ¼ 1, 2

(10:35)

The paraconjugate operation can also be performed w.r.t. a single variable, s1 or s2: P*s1 (s1 , s2 ) ¼ P*(s1 , s2 )

(10:36)

P*s2 (s1 , s2 ) ¼ P*(s1 , s2 )

(10:37)

The paraconjugate of a discrete-time polynomial Q(z1, z2) is called the inverse polynomial, and is defined as Q* (z1 , z2 ) ¼ Q*(z11 , z21 )

(10:38)

It may be noted that Q(z1, z2) is considered to be a pseudo polynomial which may have negative powers also. The inverse operation for discrete-time polynomials can also be performed w.r.t. a single variable, z1 or z2. Q*z1 (z1 , z2 ) ¼ Q*(z11 , z2 )

(10:39)

Q*z2 (z1 , z2 ) ¼ Q*(z1 , z21 )

(10:40)

Definition 10.3: Self-paraconjugate polynomial P(s1, s2) is said to be self-paraconjugate or para-even if P* (s1 , s2 ) ¼ P(s1 , s2 ) Henceforth, Pe(s1, s2) will denote a para-even polynomial.

(10:41)

Fundamentals of Circuits and Filters

10-18

A polynomial can also be para-even w.r.t. a single variable si: P*si (s1 , s2 ) ¼ P(s1 , s2 ),

i ¼ 1, 2

(10:42)

An example of a polynomial that is para-even w.r.t. s1 is Pe,s1 (s1, s2) ¼ s 21 þ s2 þ 1.

Definition 10.4: Anti-self-paraconjugate polynomial P(s1, s2) is said to be anti-self-paraconjugate or para-odd if P* (s1 , s2 ) ¼ P(s1 , s2 )

(10:43)

Henceforth, Po(s1, s2) will denote a para-odd polynomial. A polynomial can also be para-odd w.r.t. a single variable si: P*si (s1 , s2 ) ¼ P(s1 , s2 ),

i ¼ 1, 2

(10:44)

Para-even and para-odd in (s1, s2) correspond to self-inverse and anti-self-inverse in (z1, z2) respectively. A discrete-time polynomial Q(z1, z2) is self-inverse if Q* (z1 , z2 ) ¼ Q(z1 , z2 )

(10:45)

Q(z1 , z2 ) is anti-self -inverse if Q* (z1 , z2 ) ¼ Q(z1 , z2 )

(10:46)

The discrete-time polynomial can also be self-inverse or anti-self-inverse w.r.t. a single variable, z1 or z2. Property 1: Unique decomposition of polynomials Any analog polynomial can be expressed as the sum of a para-even and a para-odd polynomial. That is, P(s1 , s2 ) ¼ Pe (s1 , s2 ) þ Po (s1 , s2 )

(10:47)

From the above, Pe(s1, s2) and Po(s1, s2) are given by Pe (s1 , s2 ) ¼

P(s1 , s2 ) þ P* (s1 , s2 ) 2

(10:48)

Po (s1 , s2 ) ¼

P(s1 , s2 )  P* (s1 , s2 ) 2

(10:49)

The same holds when we deal with the operation w.r.t. a single variable si: P(s1 ,s2 ) ¼ Pe,si (s1 ,s2 ) þ Po,si (s1 , s2 )

(10:50)

Application of Symmetry

10-19

where Pe, si (s1 , s2 ) ¼

P(s1 , s2 ) þ P*si (s1 , s2 ) 2

(10:51)

Po, si (s1 , s2 ) ¼

P(s1 , s2 )  P*si (s1 , s2 ) 2

(10:52)

In Equations 10.51 and 10.52 above, if i ¼ 1, then we have a polynomial restricted in s1 and general in s2. In such a case, we can further decompose into para-even and para-odd w.r.t. s2 variable. In other words, P(s1, s2) can be expressed as P(s1 , s2 ) ¼ Pe,s1 ;e,s2 (s1 , s2 ) þ Po,s1 ;o,s2 (s1 , s2 ) þ Po,s1 ;e,s2 (s1 , s2 ) þ Pe,s1 ;o,s2 (s1 , s2 )

(10:53)

More specifically,         P(s1 , s2 ) ¼ P1 s21 , s22 þ s1 s2 P2 s21 , s22 þ s1 P3 s21 , s22 þ s2 P4 s21 , s22

(10:54)

The above (s1, s2) decomposition is the most general w.r.t. paraconjugate operation. It compares as follows to the standard decomposition in discrete-time (z1, z2): Q(z1 , z2 ) ¼ Q1 (x1 , x2 ) þ y1 y2 Q2 (x1 , x2 ) þ y1 Q3 (x1 , x2 ) þ y2 Q4 (x1 , x2 )

(10:55)

where xi ¼ zi þ zi1

yi ¼ zi  zi1 ,

i ¼ 1,2

10.7 Spectral Forms for Magnitude-Squared Function In this section, we present the spectral forms of the magnitude-squared function for the various symmetries. Recall that the magnitude-squared function, F(v1, v2), of a polynomial P(s1, s2) is defined as F(v1 , v2 ) ¼ P(s1 , s2 )  P*(s1 , s2 )jsi ¼jvi , i ¼ 1, 2

(10:56)

Analogous to Equation 10.54, we can express the general magnitude-squared function as         F(v1 , v2 ) ¼ F1 v21 , v22 þ v1 v2 F2 v21 , v22 þ v1 F3 v21 , v22 þ v2 F4 v21 , v22

(10:57)

A polynomial with real coefficients always possesses centrosymmetry in its magnitude response. So, F(v1 , v2 ) ¼ F(v1 , v2 ) Using Equation 10.57, this can be written as         F1 v21 , v22 þ v1 v2 F2 v21 , v22 þ v1 F3 v21 , v22 þ v2 F4 v21 , v22         ¼ F1 v21 , v22 þ v1 v2 F2 v21 , v22  v1 F3 v21 , v22  v2 F4 v21 , v22 ,

        v1 F3 v21 , v22 þ v2 F4 v21 , v22 ¼ v1 F3 v21 , v22  v2 F4 v21 , v22

The above is only possible if F3(v 21, v 22) ¼ 0 and F4(v 21, v 22) ¼ 0.

(10:58)

Fundamentals of Circuits and Filters

10-20

Thus,     F(v1 , v2 ) ¼ F1 v21 , v22 þ v1 v2 F2 v21 , v22

(10:59)

Using analytic continuation and Equation 10.56, this can be written as     P(s1 , s2 )  P(s1 ,s2 ) ¼ F1 s21 , s22 þ s1 s2 F2 s21 , s22 m1 X n1 m2 X n2 X X 2j 2j aij s2i s þ s s bij s2i ¼ 1 2 2 1 1 s2 i¼0 j¼0

(10:60)

i¼0 j¼0

The above is the spectral form of the magnitude-squared function possessing centrosymmetry. Now, for a real-coefficient P(s1, s2) to possess quadrantal symmetry in its magnitude-squared response, we need F(v1 , v2 ) ¼ F(v1 , v2 ) Using Equation 10.59, this can be written as         F1 v21 , v22 þ v1 v2 F2 v21 , v22 ¼ F1 v21 , v22  v1 v2 F2 v21 , v22

(10:61)

The above is only possible if F2(v 21, v 22) ¼ 0. Thus,   F(v1 , v2 ) ¼ F1 v21 , v22 , TABLE 10.5

(10:62)

Spectral Forms of Magnitude-Squared Function for Various Symmetries Digitala

Analog Centrosymmetry P(s1 , s2 )  P(s1 , s2 ) ¼

m1 P n1 P i¼0 j¼0

2j

aij s2i 1 s2 þ s1 s2

m2 P n2 P i¼0 j¼0

Q(z1 ,z2 )  Q(z11 ,z21 ) ¼

2j

bij s2i 1 s2

m1 P n1 P i¼0 j¼0

j

cij x1i x2 þ y1 y2

m2 P n2 P i¼0 j¼0

j

dij x1i x2

Quadrantal symmetry P(s1 , s2 )  P(s1 , s2 ) ¼

m1 P n1 P i¼0 j¼0

Diagonal symmetry P(s1 ,s2 )  P(s1 ,s2 ) ¼

Q(z1 ,z2 )  Q(z11 ,z21 ) ¼

2j

aij s2i 1 s2

m1 X n1 X

2j

aij s2i 1 s2 þ s1 s2

i¼0 j¼0

m2 X n2 X

2j

bij s2i 1 s2

m1 P n1 P i¼0 j¼0

Q(z1 , z2 )  Q(z11 , z21 ) ¼

i¼0 j¼0

j

cij x1i x2

m1 X n1 X

j

cij x1i x2 þ y1 y2

i¼0 j¼0

where aij ¼ aji and bij ¼ bji

m2 X n2 X

j

dij x1i x2

i¼0 j¼0

where cij ¼ cji and dij ¼ dji

Fourfold (908) rotational symmetry P(s1 ,s2 )  P(s1 ,s2 ) ¼

m1 X n1 X

2j

aij s2i 1 s2 þ s1 s2

i¼0 j¼0

m2 X n2 X

2j

bij s2i 1 s2

Q(z1 , z2 )  Q(z11 , z21 ) ¼

i¼0 j¼0

where aij ¼ aji and bij ¼ bji

m1 X n1 X

j

cij x1i x2 þ y1 y2

i¼0 j¼0

i¼0 j¼0

where cij ¼ cji and dij ¼ dji

Octagonal symmetry P(s1 ,s2 )  P(s1 ,s2 ) ¼

m1 X n1 X

2j

aij s2i 1 s2

Q(z1 , z2 )  Q(z11 , z21 ) ¼

i¼0 j¼0

where aij ¼ aji a

Note that xi ¼ zi þ zi1 and yi ¼ zi  zi1, i ¼ 1, 2.

m1 X n1 X i¼0 j¼0

where cij ¼ cji

m2 X n2 X

j

cij x1i x2

j

dij x1i x2

Application of Symmetry

10-21

and so the spectral form for the magnitude-squared function that possesses quadrantal symmetry is   P(s1 , s2 )  P(s1 , s2 ) ¼ F1 s21 , s22 m1 X n1 X 2j aij s2i ¼ 1 s2

(10:63)

i¼0 j¼0

We can obtain the spectral forms for the other symmetries in a similar manner. They are listed in Table 10.5, together with the spectral forms for the z-domain functions.

10.8 Determination of 2-D Polynomials Possessing Various Symmetries In this section, we present the polynomial factors that possess symmetry in their magnitude responses. We begin by showing the conditions that the s-domain polynomials must satisfy in order to possess the required symmetry. Then, the s-domain polynomial factors that satisfy those conditions are given. (The proof is provided for quadrantal symmetry). Finally, at the end of the section, the z-domain polynomial factors possessing symmetry are listed, alongside the analog polynomial factors.

10.8.1 Quadrantal Symmetry for Analog Polynomials

THEOREM 10.5 A polynomial P(s1, s2) possesses quadrantal symmetry in its magnitude response if its factors either alone or jointly satisfy one of the following conditions: 1: P(s1 , s2 ) ¼ P(s1 , s2 )

(10:64)

2: P(s1 , s2 ) ¼ P(s1 , s2 )

(10:65)

3: P(s1 , s2 ) ¼ P(s1 , s2 )

(10:66)

4: P(s1 , s2 ) ¼ P(s1 , s2 )

(10:67)

5: P(s1 , s2 ) ¼ P1 (s1 , s2 )  P1 (s1 , s2 )

(10:68)

6: P(s1 , s2 ) ¼ P1 (s1 , s2 )  P1 (s1 , s2 )

(10:69)

Proof of Theorem 10.5: From Table 10.4, a magnitude-squared response possesses quadrantal symmetry if F(v1 , v2 ) ¼ F(v1 , v2 ) Applying Equation 10.32, this can be written as P(jv1 , jv2 )  P(jv1 , jv2 ) ¼ P(jv1 , jv2 )  P(jv1 , jv2 )

(10:70)

Fundamentals of Circuits and Filters

10-22

Using analytic continuation, this becomes P(s1 , s2 )  P(s1 , s2 ) ¼ P(s1 , s2 )  P(s1 , s2 )

(10:71)

If we assume P(s1, s2) to be irreducible, the unique factorization property of 2-variable polynomials [34] states that P(s1, s2) should satisfy one of the following two conditions: (i) P(s1 , s2 ) ¼ k1  P(s1 , s2 ) where k1 is a real constant

(10:72)

(ii) P(s1 , s2 ) ¼ k2  P(s1 , s2 ) where k2 is a real constant

(10:73)

We first consider case (i) above. Substituting s2 ¼ s2 into Equation 10.72, we obtain P(s1 , s2 ) ¼ k1  P(s1 , s2 ) Substituting this back into Equation 10.72, we get P(s1 , s2 ) ¼ k21  P(s1 , s2 )

(10:74)

So, k 21 ¼ 1, i.e., k1 ¼ þ1 or 1. Thus, Equation 10.72 becomes P(s1 , s2 ) ¼ 1  P(s1 , s2 )

(10:75)

With that, we have the first two conditions of Theorem 10.5, Equations 10.64 and 10.65. We now work on case (ii). Substituting s1 ¼ s1 into Equation 10.73, we have P(s1 , s2 ) ¼ k2  P(s1 , s2 )

(10:76)

Substituting Equation 10.76 back into Equation 10.73, we get k 22 ¼ 1, i.e., k2 ¼ þ1 or 1. This gives us the next two conditions for Theorem 10.5, Equations 10.66 and 10.67. So far, we have assumed P(s1, s2) to be irreducible. If P(s1, s2) is reducible, then it can be expressed as a product of irreducible factors: P(s1 , s2 ) ¼ k 

N Y

Pi (s1 , s2 )

(10:77)

i¼1

Let Pi(s1, s2) be one such irreducible factor in P(s1, s2). Invoking the unique factorization property on Equation 10.71, we can see that Pi(s1, s2) must be present in either P(s1, s2) or P(s1, s2) and thus must satisfy one of the following four conditions: (a) (b) (c) (d)

Pi(s1, s2) ¼ k1  Pi(s1, s2) Pi(s1, s2) ¼ k2  Pj(s1, s2) where i 6¼ j Pi(s1, s2) ¼ k3  Pi(s1, s2) 6 j Pi(s1, s2) ¼ k4  Pj(s1, s2) where i ¼

Cases (a) and (c) are identical to the ones for irreducible polynomials, so the previous results apply. We need only investigate cases (b) and (d) here. For case (b), Pi (s1 , s2 ) ¼ k2  Pj (s1 , s2 )

(10:78)

Application of Symmetry

10-23

We solve for Pj(s1, s2) by multiplying both sides by 1=k2 and substituting s2 ¼ s2: Pj (s1 , s2 ) ¼

1  Pi (s1 , s2 ) k2

(10:79)

Pi(s1, s2)  Pj(s1, s2) is a factor in P(s1, s2). Using Equation 10.79, this can be expressed as Pi (s1 , s2 )  Pj (s1 , s2 ) ¼ Pi (s1 , s2 ) 

1  Pi (s1 , s2 ) k2

(10:80)

So, Pi(s1, s2)  Pi(s1, s2) is a possible factor in P(s1, s2). This proves Equation 10.68 in Theorem 10.5. Now, we work on case (d), Pi (s1 , s2 ) ¼ k4  Pj (s1 , s2 )

(10:81)

1  Pi (s1 , s2 ) k4

(10:82)

Solving for Pj(s1, s2): Pj (s1 , s2 ) ¼

Now using Equation 10.82, the product of Pi(s1, s2)  Pj(s1, s2) can be expressed as Pi (s1 , s2 )  Pj (s1 , s2 ) ¼ Pi (s1 , s2 ) 

1  Pi (s1 , s2 ) k4

(10:83)

Therefore Pi (s1, s2)  Pi (s1, s2) is a factor in P(s1, s2) and this corresponds to Equation 10.69 in Theorem 10.5. This concludes the proof for Theorem 10.5. Theorem 10.5 specifies the conditions each factor of a polynomial should satisfy to ensure quadrantal symmetry in the magnitude response of the overall polynomial. However, these conditions (except 5 and 6) do not show the way of generating the polynomial factor that possesses the symmetry. In the following, we will derive the forms of the polynomial factors that satisfy conditions 1 to 4 of Theorem 10.5. Corollary of Condition 1 Using Equation 10.54, we can write Equation 10.64 as the following:         P1 s21 , s22 þ s1 s2 P2 s21 , s22 þ s1 P3 s21 , s22 þ s2 P4 s21 , s22         ¼ P1 s21 , s22  s1 s2 P2 s21 , s22 þ s1 P3 s21 , s22  s2 P4 s21 , s22 ,

        s1 s2 P2 s21 , s22 þ s2 P4 s21 , s22 ¼ s1 s2 P2 s21 , s22  s2 P4 s21 , s22

The above is only possible if P2(s 21, s 22) ¼ 0 and P4(s 21, s 22) ¼ 0. Therefore,     P(s1 , s2 ) ¼ P1 s21 , s22 þ s1 P3 s21 , s22 This can be expressed as P5 (s1 , s22 )

(10:84)

Fundamentals of Circuits and Filters

10-24

Corollary of Condition 2 Using Equation 10.54, we can write Equation 10.65 as the following:         P1 s21 , s22 þ s1 s2 P2 s21 , s22 þ s1 P3 s21 , s22 þ s2 P4 s21 , s22         ¼ P1 s21 , s22 þ s1 s2 P2 s21 , s22  s1 P3 s21 , s22 þ s2 P4 s21 , s22 ,

        P1 s21 , s22 þ s1 P3 s21 , s22 ¼ P1 s21 , s22  s1 P3 s21 , s22

The above is only possible if P1(s 21, s 22) ¼ 0 and P3(s 21, s 22) ¼ 0. So,     P(s1 , s2 ) ¼ s1 s2 P2 s21 , s22 þ s2 P4 s21 , s22 This can be expressed as s2  P5 (s1 , s22 )

(10:85)

Corollary of Condition 3 Using Equation 10.54, we can write Equation 10.66 as the following:         P1 s21 , s22 þ s1 s2 P2 s21 , s22 þ s1 P3 s21 , s22 þ s2 P4 s21 , s22         ¼ P1 s21 , s22  s1 s2 P2 s21 , s22  s1 P3 s21 , s22 þ s2 P4 s21 , s22 ,

        s1 s2 P2 s21 , s22 þ s1 P3 s21 , s22 ¼ s1 s2 P2 s21 , s22  s1 P3 s21 , s22

The above is only possible if P2(s 21, s 22) ¼ 0 and P3(s 21, s 22) ¼ 0. Therefore,     P(s1 , s2 ) ¼ P1 s21 , s22 þ s2 P4 s21 , s22 This can be expressed as P6 (s21 , s2 )

(10:86)

Corollary of Condition 4 Using Equation 10.54, we can write Equation 10.67 as the following:         P1 s21 , s22 þ s1 s2 P2 s21 , s22 þ s1 P3 s21 , s22 þ s2 P4 s21 , s22         ¼ P1 s21 , s22 þ s1 s2 P2 s21 , s22 þ s1 P3 s21 , s22  s2 P4 s21 , s22 ,

        P1 s21 , s22 þ s2 P4 s21 , s22 ¼ P1 s21 , s22  s2 P4 s21 , s22

The above is only possible if P1(s 21, s 22) ¼ 0 and P4(s 21, s 22) ¼ 0. So,     P(s1 , s2 ) ¼ s1 s2 P2 s21 , s22 þ s1 P3 s21 , s22 This can be expressed as s1  P6 (s21 , s2 )

(10:87)

Application of Symmetry

10-25

Reviewing all the above, we can see that the general polynomial form, P5 (s1, s 22)  P6 (s 21, s2), satisfies Equations 10.84 through 10.87. Now, we can state the polynomials factors that satisfy conditions 1 through 6 of Theorem 10.5 in the following corollary. Corollary of Theorem 10.5 The following polynomial factors possess quadrantal symmetry in their magnitude responses: 1: P(s1 , s22 )

(10:88)

2: P(s21 , s2 )

(10:89)

3: P(s1 , s2 )  P(s1 , s2 )

(10:90)

4: P(s1 , s2 )  P(s1 , s2 )

(10:91)

It is obvious that the product of any of the above polynomial factors satisfy the symmetry as well.

Examples We now provide examples of (2,2) degree polynomials satisfying Equations 10.88 and 10.90.

1: P(s1 , s22 ) ¼ a0 þ a1 s1 þ a2 s21 þ a3 s22 þ a4 s1 s22 þ a5 s21 s22 : 2: P(s1 , s2 )  P(s1 , s2 ) Let P(s1, s2) ¼ b0 þ b1 s1 þ b2 s2 þ b3 s1 s2 Then,

P(s1 , s2 )  P(s1 , s2 ) ¼ (b0 þ b1 s1 þ b2 s2 þ b3 s1 s2 )  (b0 þ b1 s1  b2 s2  b3 s1 s2 ) ¼ b20 þ 2b0 b1 s1 þ b21 s21  b22 s22  2b2 b3 s1 s22  b23 s21 s22 Using the same derivation procedure outlined above for quadrantal symmetry, we can obtain the conditions and polynomial factors for the other symmetries.

10.8.2 Diagonal Symmetry for Analog Polynomials

THEOREM 10.6 A polynomial P(s1, s2) possesses diagonal symmetry in its magnitude response if its factors either alone or jointly satisfy one of the following conditions: 1: P(s1 , s2 ) ¼ P(s2 , s1 )

(10:92)

2: P(s1 , s2 ) ¼ P(s2 , s1 )

(10:93)

3: P(s1 , s2 ) ¼ P(s2 ,s1 )

(10:94)

4: P(s1 , s2 ) ¼ P(s2 ,s1 )

(10:95)

Fundamentals of Circuits and Filters

10-26

5: P(s1 , s2 ) ¼ P1 (s1 , s2 )  P1 (s2 , s1 )

(10:96)

6: P(s1 , s2 ) ¼ P1 (s1 , s2 )  P1 (s2 ,s1 )

(10:97)

Corollary of Theorem 10.6 The following polynomial factors possess diagonal symmetry in their magnitude responses: 1: P1 (s1 , s2 )       2: P2 s21 , s22 þ s1 s2 P3 s21 , s22 þ s1 P4 s21 , s22  s2 P4 (s22 , s21 )

(10:98) (10:99)

3: P(s1 , s2 )  P(s2 , s1 )

(10:100)

4: P(s1 , s2 )  P(s2 , s1 )

(10:101)

where P1 (s1 , s2 ) ¼ P1 (s2 , s1 )   Pk s21 , s22 ¼ Pk (s22 , s21 ) for k ¼ 2, 3

10.8.3 Fourfold (908) Rotational Symmetry for Analog Polynomials

THEOREM 10.7 A polynomial P(s1, s2) possesses fourfold rotational symmetry in its magnitude response if its factors either alone or jointly satisfy one of the following conditions: 1: P(s1 , s2 ) ¼ P(s2 , s1 )

(10:102)

2: P(s1 , s2 ) ¼ P(s2 , s1 )

(10:103)

3: P(s1 , s2 ) ¼ P(s2 ,s1 )

(10:104)

4: P(s1 , s2 ) ¼ P(s2 ,s1 )

(10:105)

5: P(s1 , s2 ) ¼ P1 (s1 , s2 )  P1 (s2 , s1 )

(10:106)

6: P(s1 , s2 ) ¼ P1 (s1 , s2 )  P1 (s2 , s1 )

(10:107)

7: P(s1 , s2 ) ¼ P1 (s1 , s2 )  P1 (s2 , s1 )  P1 (s1 , s2 )  P1 (s2 , s1 )

(10:108)

Corollary of Theorem 10.7 The following polynomial factors possess fourfold rotational symmetry in their magnitude responses:     1: P1 s21 , s22 þ s1 s2  (s21  s22 )  P2 s21 , s22     2: (s21  s22 )P1 s21 , s22 þ s1 s2 P2 s21 , s22

(10:110)

3: P(s1 , s2 )  P(s2 , s1 )

(10:111)

4: P(s1 , s2 )  P(s2 ,  s1 )

(10:112)

5: P(s1 , s2 )  P(s2 , s1 )  P(s1 , s2 )  P(s2 , s1 )

(10:113)

where Pk(s 21, s 22) ¼ Pk(s 22, s 21) for k ¼ 1, 2.

(10:109)

Application of Symmetry

10-27

10.8.4 Octagonal Symmetry for Analog Polynomials THEOREM 10.8 The following polynomial factors possess octagonal symmetry in their magnitude responses:   1: (s21  s22 )a  P1 s21 , s22 , where a ¼ 0 or 1

(10:114)



P(s22 , s1 )

(10:115)



P(s22 , s1 )

(10:116)

4: P(s1 , s22 )  P(s2 , s21 )

(10:117)

5: P2 (s1 , s2 )  P2 (s1 , s2 )

(10:118)

6: P2 (s1 , s2 )  P2 (s1 , s2 )

(10:119)

2:

P(s21 , s2 )

3:

P(s21 , s2 )

where   P1 s21 , s22 ¼ P1 (s22 , s21 ) P2 (s1 , s2 ) ¼ P2 (s2 , s1 )

10.8.5 Summary of Analog and Digital Polynomial Factors Possessing Symmetry In Table 10.6, we state the digital polynomial factors that possess the various symmetries, alongside the analog polynomial factors presented earlier. The digital polynomial factors can be derived by assuming the general z-domain polynomial form in Equation 10.55 and following the same derivation steps as the analog case.

10.9 Symmetry and Stability A sufficient condition for the stability of 2-D analog filters [35–37] is that their transfer functions do not have any poles in the region of the (s1, s2) biplane defined by Re(s1)  0 and Re(s2)  0, including infinite distant points. Similarly, a sufficient condition for the stability of 2-D digital filters is that their transfer functions do not have any poles in the region of the (z1, z2) biplane defined by jz1j  1 and jz2j  1. We will next consider the analog domain and the digital domain stability conditions under the presence of symmetry.

10.9.1 Stability of Analog Filters with Symmetric Magnitude Response The transfer function of an analog 2-D filter can be represented as a rational function in s1 and s2 as T(s1 , s2 ) ¼

P(s1 , s2 ) Q(s1 , s2 )

(10:120)

It can be shown that T(jv1, jv2) possesses various magnitude symmetries if P(jv1, jv2) and Q(jv1, jv2) possess the same symmetries individually. Then for T(s1, s2) to possess a symmetry and be stable, Q(s1, s2) should satisfy the conditions for the symmetry as well as the conditions for stability. The type of Q(s1, s2) that satisfies these two conditions for quadrantal symmetry is presented in the following theorem.

Fundamentals of Circuits and Filters

10-28 TABLE 10.6

Analog and Digital Polynomial Factors Possessing Symmetry Digital z-Domaina

Analog s-Domain Quadrantal symmetry 1. P(s1, s 22)

1. Q(z1, x2)

2. P(s 21, s2)

2. Q(x1, z2)

3. P(s1, s2)P(s1, s2)

3. Q(z1, z2)Q(z1, z 1 2 )

4. P(s1, s2)P(s1, s2)

4. Q(z1, z2)Q(z11, z2)

Diagonal symmetry 1. P1(s1, s2)

1. Q1(z1, z2)

2. P2(s 21, s 22) þ s1s2P3(s 21, s 22)þs1P4(s 21, s 22)  s2P4(s 22, s 21)

2. Q2(x1, x2) þ y1y2Q3(x1, x2) þ y1Q4(x1, x2)  y2Q4(x2, x1)

3. P(s1, s2)P(s2, s1) 4. P(s1, s2)P(s2, s1)

3. Q(z1, z2)Q(z2, z1) 1 4. Q(z1, z2)Q(z 1 2 , z1 )

where P1(s1, s2) ¼ P1(s2, s1) and Pk(s 21, s 22) ¼ Pk(s 22, s 21) for k ¼ 2, 3.

where Q1(z1, z2) ¼ Q1(z2, z1) and Qk(x1, x2) ¼ Qk(x2, x1) for k ¼ 2, 3.

Fourfold (908) rotational symmetry 1. P1(s 21, s 22) þ s1s2(s 21  s 22)P2(s 21, s 22)

1. Q1(x1, x2) þ y1y2(x1  x2)Q2(x1, x2)

(s 21  s 22)P1(s 21, s 22) þ s1s2P2(s 21, s 22)

2. (x1  x2)Q1(x1, x2) þ y1y2Q2(x1, x2)

2.

3. P(s1, s2)P(s2, s1)

3. Q(z1, z2)Q(z 1 2 , z1)

4. P(s1, s2)P(s2, s1)

4. Q(z1, z2)Q(z2, z1 1 )

5. P(s1, s2)P(s2, s1)P(s1, s2)P(s2, s1)

1 1 1 5. Q(z1, z2)Q(z 1 2 , z1)Q(z1 , z2 )Q(z2, z1 )

where Pk(s 21, s 22) ¼ Pk(s 22, s 21) for k ¼ 1, 2

where Qk(x1, x2) ¼ Qk(x2, x1) for k ¼ 1, 2

Octagonal symmetry 1. (s 21  s 22)a  P1(s 21, s 22), where a ¼ 0 or 1 2. 3. 4.

1. (x1  x2)a Q1(x1, x2), where a ¼ 0 or 1

P(s 21, s2)P(s 22, s1) P(s 21, s2)P(s 22, s1) P(s1, s 22)P(s2, s 21)

2. Q(x1, z2)Q(x2, z1) 3. Q(x1, z2)Q(x2, z11 ) 4. Q(z1, x2)Q(z 1 2 , x1)

5. P2(s1, s2)P2(s1, s2)

5. Q2(z1, z2)Q2(z1 1 , z2)

6. P2(s1, s2)P2(s1, s2)

6. Q2(z1, z2)Q2(z1, z1 2 )

where P1(s 21, s 22) ¼ P1(s 22,s 21) and P2(s1, s2) ¼ P2(s2, s1) a

Note that

xi ¼ zi þ zi1

and

yi ¼ zi zi1

where Q1(x1, x2) ¼ Q1(x2, x1) and Q2(z1, z2) ¼ Q2(z2, z1)

for i ¼ 1, 2.

10.9.1.1 Quadrantal Symmetry

THEOREM 10.9 For T(s1, s2) to be stable and to possess quadrantal magnitude symmetry, P(s1, s2) should satisfy the quadrantal symmetry conditions listed in Table 10.6 and the denominator polynomial should be product separable as Q(s1, s2) ¼ Q1(s1)Q2(s2) where Q1 and Q2 are stable 1-D polynomials. Proof of Theorem 10.9: As mentioned above for T(s1, s2) to possess quadrantal magnitude symmetry in its frequency response, Q(s1, s2) should be expressible as Q(s1 , s2 ) ¼ QA (s1 , s22 )  QB (s21 , s2 )

(10:121)

Application of Symmetry

10-29

Now it can be easily seen that for any s1, QA(s1, s 22) will have zeros in the right half of s2 plane unless QA is a polynomial of s1 alone. Similarly it can be argued that QB should be a polynomial of s2 alone. In other words, for Q(s1, s2) to possess stability and quadrantal magnitude symmetry, it should be expressible as a product of two 1-D polynomials as Q(s1 , s2 ) ¼ Q1 (s1 )  Q2 (s2 )

(10:122)

such that Q1 and Q2 are stable analog polynomials.Q.E.D. 10.9.1.2 Octagonal Symmetry As quadrantal symmetry is an integral part of octagonal symmetry, for T(s1, s2) to possess octagonal symmetry it should first satisfy the conditions for quadrantal symmetry, i.e., the denominator polynomial should be separable. Then it can be shown that as octagonal symmetry also implies diagonal symmetry, Q(s1, s2) should be expressible as Q(s1 , s2 ) ¼ Q1 (s1 )  Q1 (s2 )

(10:123)

10.9.1.3 Rotational Symmetry In a similar manner examining the various factors that can be present in the polynomials possessing rotational symmetry, it can be shown that the denominator polynomial of a stable 2-D analog filter possessing rotational magnitude symmetry should be expressible as Q(s1 , s2 ) ¼ Q1 (s1 )  Q1 (s2 )

(10:124)

10.9.1.4 Diagonal Symmetry It can be shown that the denominator of a stable 2-D analog filter possessing diagonal magnitude symmetry should satisfy the stability conditions and satisfy the symmetry condition: Q(s1 , s2 ) ¼ Q(s2 , s1 )

(10:125)

10.9.2 Stability of Digital Filters with Symmetric Magnitude Response Now applying the stability conditions on the polynomial factors that possess the various magnitude symmetries, the following conditions on the denominator polynomials of 2-D digital filters are obtained. 10.9.2.1 Quadrantal Symmetry The denominator polynomial of a stable 2-D digital filter possessing quadrantal symmetry in its magnitude response can be expressed as Q(z1 , z2 ) ¼ Q1 (z1 )  Q2 (z2 ) where Q1 and Q2 are 1-D stable polynomials.

(10:126)

Fundamentals of Circuits and Filters

10-30

10.9.2.2 Octagonal Symmetry The denominator polynomial of a stable 2-D digital filter possessing octagonal symmetry in its magnitude response can be expressed as Q(z1 , z2 ) ¼ Q1 (z1 )  Q1 (z2 )

(10:127)

10.9.2.3 Rotational Symmetry The denominator polynomial of a stable 2-D digital filter possessing rotational symmetry in its magnitude response can be expressed as Q(z1 , z2 ) ¼ Q1 (z1 )  Q1 (z2 )

(10:128)

10.9.2.4 Diagonal Symmetry The denominator polynomial of a stable 2-D digital filter possessing diagonal symmetry in its magnitude response should satisfy the stability condition and satisfy the symmetry condition: Q(z1 , z2 ) ¼ Q(z2 , z1 )

(10:129)

10.10 Filter Design Procedure We now present the design steps for 2-D z-domain separable denominator IIR filters with symmetry in the magnitude response. Computer optimization is used in this procedure to obtain the filter coefficients such that the filter specifications are satisfied. Steps: 1. Identify the type of symmetry in the magnitude response specifications for the filter. 2. Assume a transfer function of the form H(z1 , z2 ) ¼

N(z1 , z2 ) D(z1 )  D(z2 )

(10:130)

Select the numerator from the list of polynomials in Table 10.6, such that it satisfies the required symmetry identified in Step 1. The denominator is chosen to be separable so that its stability can be easily assured. This denominator possesses all four types of symmetries. 3. Select a suitable order for the filter such that the specifications can be met. 4. Choose a region in the (u1, u2) frequency plane to specify the desired magnitude response. (Note that ui ¼ vi  T). For quadrantal, diagonal, and rotational symmetries, the region need only be a 908 sector in the frequency plane. For octagonal symmetry, it need only be a 458 sector. Specify the frequency sample points in this region using concentric circles or rectangular grids. 5. Form an objective function to be minimized. This will be based on the difference between the magnitude response of the transfer function and the desired magnitude response, at the selected frequency points. One such objective function is J¼

XX k

l

wkl [F(u1k , u2l )  Fd (u1k , u2l )]2

(10:131)

Application of Symmetry

10-31

where F is the magnitude-squared response u1k, u2l are the sample frequency points where the desired response is specified wkl are the weights on errors In this function, the variables to be optimized are the coefficients of the transfer function. The weights wkl are chosen so as to provide the emphasis on the accuracy needed at different frequency points. 6. Use any minimization algorithm, such as those provided in MATLAB, to minimize the objective function J and obtain the optimal values for the filter coefficients. Verify that the filter specifications can be met with this set of filter coefficients. If not, make adjustment to the minimization algorithm and repeat the process. 7. Check the stability of the filter by finding the poles. Any unstable pole can be stabilized by replacing it with its inverse pole.

10.11 Filter Design Examples 10.11.1 Bandpass Filter Using the procedure discussed in Section 10.10, we now design a bandpass filter with the ideal filter specification shown in Figure 10.6. It can be seen that the filter possesses diagonal symmetry. So we select the numerator to be N(z1, z2) ¼ N(z2, z1), which is case (1) in the list of polynomials for diagonal symmetry in Table 10.6. We choose the order of the filter to be (4,4) and wkl equal to 1 for all k and l. Because of the diagonal symmetry constraints, the number of variables to optimize is reduced from 33 to 19. The following are the forms for the numerator and denominator:

Stopband (gain = 0)

θa =1

Passband (gain = 1) θ2

θb =2

π θ1 = θ2

θ1 = –θ2 θb θa –θb –θa –π

0

θa

θb

–θa –θb π

FIGURE 10.6 Specification for a bandpass filter with diagonal symmetry.

π θ1

Fundamentals of Circuits and Filters

10-32

z20

z01

2

a00 6 6 a10 6 6 N(z1 , z2 ) ¼ z22 6 a20 6 z23 6 4 a30 z21

z24

a40

z11 a10

z21 a20

a11

a21

a21

a22

a31

a32

z31 z41 3 a30 a40 7 a31 a41 7 7 7 a32 a42 7 7 a33 a43 7 5

a41

a42

a43

(10:132)

a44

and D(zi) ¼ b0 þ b1zi þ b2z2i þ b3z3i þ z4i , i ¼ 1, 2 Also, because of symmetry, we need only specify the desired response in a reduced region (908 sector) in the frequency plane. In this case, we use the sector from 458 to 1358, and specify the sample points using rectangular grids. We use the ‘‘lsqnonlin’’ routine in MATLAB Optimization Toolbox to minimize the objective function. The MATLAB M-files used to optimize the filter are listed in the Appendix at the end of the chapter. Optimize.m is the main program. Z2diir1.m calculates the objective and gradient functions. Diasym.m, Diasym1.m, and Diasym2.m are supporting M-files that impose the diagonal symmetry constraints on the objective and gradient functions. The coefficients to be optimized are arranged in vector form: xzo ¼ [a00 a10 a20 a30 a40 a11 a21 a31 a41 a22 a32 a42 a33 a43 a44 b0 b1 b2 b3]. The transfer function coefficients of the optimized filter are listed below, together with the contour and 3-D magnitude plots (Figure 10.7). We verified that the filter is stable and meets the specification. a00 ¼ 0.05691572886174

a10 ¼ 0.02461862190217

a20 ¼ 0.00986568924226 a40 ¼ 0.05820287661798

a30 ¼ 0.01034804473379 a11 ¼ 0.02118017629239

a21 ¼ 0.00404160157329

a31 ¼ 0.03303916563349

a41 ¼ 0.01033357674522

a22 ¼ 0.00255022502754

a32 ¼ 0.00398097317269

a42 ¼ 0.00985123577827

a33 ¼ 0.02118784417373

a43 ¼ 0.02462035270181

a44 ¼ 0.05691802180139 b0 ¼ 0.45583704915947

b1 ¼ 0.09763672454601

b2 ¼ 0.87533799314168

b3 ¼ 0.03923759607499

Bandpass (optimized) 3

Bandpass (optimized)

0.2 0.6 0.8 1

0.2

2

0.2

0.4 0

1

1

1.4

0.2

1.2 Magnitude

θ2

1 0 0.6

04

–1 0.2

0.8 1

0.2

–2

–2

FIGURE 10.7

0 θ1

1

2

2 –2

0.2 –3

4

0 –4

0.2

0.2 –1

0.4 0.2

1

–3

0.8 0.6

3

0 θ1

0 –2

2

Contour and 3-D magnitude plots of the optimized bandpass filter.

4 –4

θ2

Application of Symmetry

10-33

Other types of filters can be designed using the same procedure. In the following, we provide examples of low-pass, high-pass, and bandstop filters with diagonal symmetry. We assume the same forms for the numerator and denominator as in Equation 10.132, and the same (4,4) filter order. Only minor modifications to optimize.m are needed to accommodate the different filter specifications. For each example, the initial filter specification, together with the final optimized filter coefficients and magnitude plots are shown (Figures 10.8 through 10.13).

10.11.2 Low-Pass Filter Optimized low-pass filter coefficients: a00 ¼ 0.00529914307068

a10 ¼ 0.01633617926751

a20 ¼ 0.02625235827634

a30 ¼ 0.01872969469730

a40 ¼ 0.01576064607841

a11 ¼ 0.04957401022387

a21 ¼ 0.00624440464280

a31 ¼ 0.02162875055170

a41 ¼ 0.05297244650352 a32 ¼ 0.01453009659277

a22 ¼ 0.03401010515043 a42 ¼ 0.03055298078630

a33 ¼ 0.02221031405884

a43 ¼ 0.02655353678757

a44 ¼ 0.03073948639145 b0 ¼ 0.35738777321908

b1 ¼ 1.34613176291069

b2 ¼ 2.36229363863415

b3 ¼ 2.3649826259138

Stopband (gain = 0) Passband (gain = 1) θ2 π

θa = 1

θ1 = θ2

θ1 = –θ2

θa –θa

–π

0

θa

–θa

π

FIGURE 10.8 Specification for a low-pass filter with diagonal symmetry.

π

θ1

Fundamentals of Circuits and Filters

10-34

Lowpass (optimized)

Lowpass (optimized) 3 2

θ2

1

+ +0.2

0

–1

0.2

+0.6 +0.8 +1

+0.2 0.20.2 0.2 +0.6+0+8 ++1 +0.2 + 0.2+ +

Magnitude

+0.2 +0.2

+0.2 +0.2

0.4

+

–2

1.4 1.2 1 0.8 0.6 0.4 0.2 0 –4

4 2 –2 0 θ1

–3 –3

–2

FIGURE 10.9

–1

0 θ1

1

2

3

2

Contour and 3-D magnitude plots of the optimized low-pass filter.

10.11.3 High-Pass Filter Optimized high-pass filter coefficients: a00 ¼ 0.11673568605736

a10 ¼ 0.23177721297900

a20 ¼ 0.43419109379123

a30 ¼ 0.29863295283199

a40 ¼ 0.00449321269615

a11 ¼ 0.46365543368518

a21 ¼ 1.00357273137798 a41 ¼ 0.00269015989674

a31 ¼ 0.64451471450031 a22 ¼ 1.92318260896734

a32 ¼ 1.18903964365735

a42 ¼ 0.04108825448793

a33 ¼ 0.80208033691209

a43 ¼ 0.06731123126511

a44 ¼ 0.04023458665886 b0 ¼ 0.0138207726422

b1 ¼ 0.29223860287727

b2 ¼ 0.72903725441097

b3 ¼ 1.30068296054482

Stopband (gain = 0) Passband (gain = 1) θ2 π

θa = 1

θ1 = θ2

θ1 = –θ2

θa –π

–θa 0

θa

–θa

π

FIGURE 10.10 Specification for a high-pass filter with diagonal symmetry.

π

θ1

–2 4 –4

0 θ2

Application of Symmetry

10-35

Highpass (optimized)

Highpass (optimized)

3

1 1

2

1

1

1

1 1

1 0

0.4

0.4

–1

0.4

0.8 0.6

0.2

Magnitude

θ2

0.4

1 1

0.8

1 0.6

1

–2

1

1.4 1.2 1 0.8 0.6 0.4 0.2 0 –4

4 2 –2

1

–3 –3

–2

–1

0

1

0

0

1

2

θ1

3

2 4 –4

θ1

FIGURE 10.11 Contour and 3-D magnitude plots of the optimized high-pass filter.

10.11.4 Bandstop Filter Optimized bandstop filter coefficients: a00 ¼ 0.14253026125402

a10 ¼ 0.08096362308810

a20 ¼ 0.46623126507478

a30 ¼ 0.08095523783769

a40 ¼ 0.14066200552071

a11 ¼ 0.06747075188723

a21 ¼ 0.24257225896378

a31 ¼ 0.01771229512751

a41 ¼ 0.07763999364081 a32 ¼ 0.24794622466503

a22 ¼ 1.34634826088519 a42 ¼ 0.46598192581512

a33 ¼ 0.06876898730115

a43 ¼ 0.08375671190833

a44 ¼ 0.14179014155689 b0 ¼ 0.13819412133529

b1 ¼ 0.11427757850242

b2 ¼ 0.79195400054775

b3 ¼ 0.302423248254

Stopband (gain = 0) Passband (gain = 1)

θa =1

θ2

θb =2

π θ1 = θ2

θ1=–θ2 θb θa –θb –θa –π

θa

θb

–θa –θb π

FIGURE 10.12 Specification for a bandstop filter with diagonal symmetry.

–2

π

θ1

θ2

Fundamentals of Circuits and Filters

10-36

Bandstop (optimized)

Bandstop (optimized) 1

3

1 1

2

0.2 0.4 0.2

1

11

1 1

0.8

Magnitude

θ2

0.6 0.4

1

0 0.8 0.6 0.4 0.2 0.4 0.2

–1 1

1 1

–2

1.4 0.2 1 0.8 0. 0.4 0.2 0 –4 –2

1 1

–3 –3

4 2

–2

–1

0 θ1

1

1

1

2

0 θ1

2

3

FIGURE 10.13 Contour and 3-D magnitude plots of the optimized bandstop filter.

Appendix: MATLAB Programs for Filter Design Program 1: optimize.m % Program to optimize for the 2-D bandpass filter in Figure 10.6 clear all; close all; order1 ¼ 4; order2 ¼ 4; pdiv ¼ 15; sdiv ¼ 15; pts ¼ 20; % use a known IIR transfer function for the initial values [numz10,denz10] ¼ cheby1(order1=2,0.5,[1=pi 2=pi]); [numz20,denz20] ¼ cheby1(order2=2,0.5,[1=pi 2=pi]); % convert this transfer function to a form for optimization xz0 ¼ []; for n ¼ 1:order2þ1 xz0 ¼ [xz0,numz10*numz20(n)]; end xz0 ¼ [fliplr(xz0),fliplr(denz10(2:order1þ1)),fliplr(denz20(2:order2 þ1))]; xz0 ¼ diasym2(xz0,order1); %xz0 contains the initial values % specify the desired response in the frequency plane

–2 4 –4

0 θ2

Application of Symmetry

div ¼ pdivþsdiv; t2 ¼ [linspace(0,1,pdivþ1) linspace(1.1,pi,sdiv)]; t2 ¼ t2(2:divþ1); theta1 ¼ []; %theta1 and theta2 are the sample frequency points theta2 ¼ []; for n ¼ 1:length(t2) pt ¼ round(t2(n)*pts); theta1 ¼ [theta1 linspace(-t2(n),t2(n),pt)]; theta2 ¼ [theta2 ones(1,pt)*t2(n)]; end fd ¼ []; %fd contains the desired response for n ¼ 1:length(theta1) if theta1(n)> ¼ 1 & theta2(n)< ¼ 2 fd ¼ [fd 1]; else fd ¼ [fd 0]; end end % optimize for the transfer function optionz ¼ optimset(‘Jacobian’,‘on’,‘Display’,‘iter’); xzo ¼ lsqnonlin(‘z2diir1’,xz0,[],[],optionz,order1,order2,theta1,the ta2,fd); %xzo contains the optimized filter coefficients %plot the optimized magnitude response points ¼ 50; theta1 ¼ linspace(-pi,pi,points); theta2 ¼ linspace(-pi,pi,points); [X,Y] ¼ meshgrid(theta1,theta2); X1 ¼ reshape(X,1,points^2); Y1 ¼ reshape(Y,1,points^2); dummy ¼ zeros(1,points^2); zresp ¼ sqrt(z2diir1(xzo,order1,order2,X1,Y1,dummy)); zresp1 ¼ reshape(zresp,points,points); figure; c ¼ contour(X,Y,zresp1); clabel(c); xlabel(‘\it\theta_1’) ylabel(‘\it\theta_2’) title(‘Band-pass (optimized)’); figure mesh(X,Y,zresp1); view(37.5,37.5); title(‘Band-pass (optimized)’); xlabel(‘\theta_1’) ylabel(‘\theta_2’) zlabel(‘Magnitude’)

10-37

10-38

Fundamentals of Circuits and Filters

Program 2: z2diir1.m function [F,J] ¼ z2diir1(x,order1, order2,theta1,theta2,fd) x ¼ diasym(x,order1); order ¼ (order1þ1)*(order2þ1); xnum ¼ x(1:order); xden1 ¼ [x(orderþ1:orderþorder1) 1]; xden2 ¼ [x(orderþ1þorder1:length(x)) 1]; n ¼ 0:order1; m ¼ 0:order2; Ltheta ¼ ones(1,length(theta1)); theta1a ¼ (ones(order1þ1,1)*exp(-i*theta1)).^( n0 *Ltheta ); theta1b ¼ repmat(theta1a,order2þ1,1); theta2a ¼ (ones(order2þ1,1)*exp(-i*theta2)).^(m0 *Ltheta); theta2b ¼ repmat(theta2a.0,order1þ1,1); theta2b ¼ (reshape(theta2b,length(theta2),order)).0 ; A ¼ xnum.0 *ones(1,length(theta1)); thetablock ¼ theta1b.*theta2b; fnum ¼ sum(A.*thetablock); fden1 ¼ sum( (xden1.0 *Ltheta).*theta1a); fden2 ¼ sum( (xden2.0 *Ltheta).*theta2a); fden ¼ fden1.*fden2; f ¼ fnum.=fden; fstar ¼ conj(f); F ¼ f.*fstar-fd; if nargout> 1 A1 ¼ (ones(order,1)*(fstar.=fden)).*thetablock; B1 ¼ (ones(order1þ1,1)*(-f.*fstar.=fden1)).*theta1a; B2 ¼ B1(1:order1,:); C1 ¼ (ones(order2þ1,1)*(-f.*fstar.=fden2)).*theta2a; C2 ¼ C1(1:order2,:); R ¼ [A1;B2;C2]; result ¼ Rþconj(R); J ¼ diasym1(result,order1).0 ; end Program 3: diasym.m function x1 ¼ diasym(x,order1) A ¼ []; ord ¼ order1þ1; ind ¼ 1; for n ¼ 1:ord k ¼ ord-nþ1; A(:,n) ¼ [zeros(1,ord-k), x(ind:(indþk-1))].0 ; ind ¼ indþk; end A1 ¼ tril(A,-1); A2 ¼ A1.0 ;

Application of Symmetry

10-39

A3 ¼ AþA2; x1 ¼ reshape(A3,1,ord*ord); x2 ¼ x(ind:indþorder1-1); x1 ¼ [x1 x2 x2]; Program 4 – diasym1.m function result ¼ diasym1(A,order1) ord ¼ order1þ1; ord1 ¼ ord^2; a ¼ 1:ord1; b ¼ reshape(a,ord,ord); c1 ¼ triu(b,1)0 ; d1 ¼ c1(:); e2 ¼ find(d1)0 ; e1 ¼ d1(e2)0 ; f1 ¼ [e1 ord1þord:ord1þ2*order1]; f2 ¼ [e2 ord1þ1:ord1þorder1]; A(f2,:) ¼ A(f1,:)þA(f2,:); A(f1,:) ¼ []; result ¼ A; Program 5: diasym2.m function result ¼ diasym2(A,order1) ord ¼ order1þ1; ord1 ¼ ord^2; a ¼ 1:ord1; b ¼ reshape(a,ord,ord); c1 ¼ triu(b,1)0 ; d1 ¼ c1(:); e2 ¼ find(d1)0 ; e1 ¼ d1(e2)0 ; f1 ¼ [e1 ord1þord:ord1þ2*order1]; A(f1) ¼ []; result ¼ A;

References 1. A. Fettweis, Symmetry requirements for multidimensional digital filters, International Journal of Circuit Theory and Application, 5, 343–353, 1977. 2. P. K. Rajan and M. N. S. Swamy, Quadrantal symmetry associated with two-dimensional digital filter transfer functions, IEEE Transactions on Circuits and Systems, CAS-25, 340–343, June 1978. 3. M. Narasimha and A. Peterson, On using symmetry of FIR filters for digital interpolation, IEEE Transactions on Acoustics, Speech, and Signal Processing, ASSP-26, 267–268, 1978. 4. P. K. Rajan and M. N. S. Swamy, Symmetry conditions on two-dimensional half-plane digital filter transfer functions, IEEE Transactions on Acoustics, Speech, and Signal Processing, ASSP-27, 506–511, October 1979. 5. D. M. Goodman, Symmetry Conditions for Two-Dimensional FIR Filters, Lawrence Livermore Lab Rep. UCID-311, 1979.

10-40

Fundamentals of Circuits and Filters

6. S. A. H. Aly, J. Lodge, and M. M. Fahmy, The design of two-dimensional digital filters with symmetrical or anti-symmetrical specifications, Proceedings of the 1980 European Conference on Circuit Theory and Design, Warsaw, Poland, pp. 145–150, 1980. 7. D. M. Goodman, Quadrantal symmetry calculations for nonsymmetric half-plane filters, Proceedings of the 14th Asilomar Conference, Pacific Grove, CA, 14, 1980. 8. S. A. H. Aly and M. M. Fahmy, Symmetry exploitation in the design and implementation of twodimensional rectangularly sampled filters, IEEE Transactions on Acoustics, Speech, and Signal Processing, ASSP-29, 973–982, 1981. 9. P. K. Rajan, H. C. Reddy, and M. N. S. Swamy, Further results on 4-fold rotational symmetry in 2-D functions, Proceedings of the 1982 IEEE International Conference on Acoustics, Speech, and Signal Processing, Paris, France, May 1982. 10. P. K. Rajan, H. C. Reddy, and M. N. S. Swamy, Fourfold rotational symmetry in two-dimensional functions, IEEE Transactions on Acoustics, Speech, and Signal Processing, ASSP-30, 488–499, June 1982. 11. J. H. Lodge and M. M. Fahmy, K-cyclic symmetries in multidimensional sampled signals, IEEE Transactions on Acoustics, Speech, and Signal Processing, ASSP-31, 847–860, 1983. 12. D. E. Dudgeon and R. M. Mersereau, Multidimensional Digital Signal Processing, Prentice-Hall, Englewood Cliffs, NJ, 1984. 13. B. P. George and A. N. Venetsanopoulos, Design of two-dimensional recursive digital filters on the basis of quadrantal and octagonal symmetry, Circuits Systems and Signal Processing, 3, 59–78, 1984. 14. J. Pitas and A. N. Venetsanopoulos, The use of symmetries in the design of multidimensional digital filters, IEEE Transactions on Circuits and Systems, CAS-33, 863–873, 1986. 15. M. N. S. Swamy and P. K. Rajan, Symmetry in 2-D filters and its application, in Multidimensional Systems: Techniques and Applications, Chapter 9, S. G. Tzafestas (Ed.), Marcel Dekker, New York, 1986. 16. A. Fettweis, Multidimensional digital filters with closed loss behavior designed by complex network theory approach, IEEE Transactions on Circuits and Systems, CAS-34, 338–344, 1987. 17. V. Rajaravivarma, P. K. Rajan, and H. C. Reddy, Study of phase symmetry in 3-D filters, Proceedings of Southeast Conference 1989, Columbia, South Carolina, April 1989. 18. V. Rajaravivarma, P. K. Rajan, and H. C. Reddy, Symmetry study on 2-D complex analog and digital filter functions, Multidimensional Systems and Signal Processing, 2, 161–187, 1991. 19. V. Rajaravivarma, P. K. Rajan, and H. C. Reddy, Planar symmetries in 3-D filter responses and their application in 3-D filter design, IEEE Transactions on Circuits and Systems II, 39(6), 356–368, June 1992. 20. V. Rajaravivarma, P. K. Rajan, and H. C. Reddy, Design of multidimensional FIR digital filters using symmetrical decomposition technique, IEEE Transactions on Signal Processing, 42(1), 164–174, January 1994. 21. H. C. Reddy, P. K. Rajan, G. S. Moschytz, and A. R. Stubberud, Study of various symmetries in the frequency response of two-dimensional delta operator formulated discrete-time systems, Proceedings of the 1996 IEEE-ISCAS, 2, 344–347, May 1996. 22. H. C. Reddy, I. H. Khoo, G. S. Moschytz, and A. R. Stubberud, Theory and test procedure for symmetries in the frequency response of complex two-dimensional delta operator formulated discrete-time systems, Proceedings of the 1997 IEEE-ISCAS, 4, 2373–2376, June 1997. 23. H. C. Reddy, I. H. Khoo, and P. K. Rajan, Symmetry in the frequency response of two-dimensional delta operator formulated discrete-time systems, Proceedings of the 1997 ECCTD, 3, 1118–1123, August 1997. 24. H. C. Reddy, I. H. Khoo, P. K. Rajan, and A.R. Stubberud, Symmetry in the frequency response of two-dimensional (g1, g2) complex plane discrete-time systems, Proceedings of the 1998 IEEE-ISCAS, 5, 66–69, May 1998.

Application of Symmetry

10-41

25. I. H. Khoo, H. C. Reddy, and P. K. Rajan, Delta operator based 2-D filter design using symmetry constraints, Proceedings of the 2001 IEEE-ISCAS, 2, 781–784, May 2001. 26. I. H. Khoo, H. C. Reddy, P. K. Rajan, Delta operator based 2-D filters: symmetry, stability, and design, Proceedings of the 2003 IEEE-ISCAS, 3, 25–28, May 2003. 27. H. C. Reddy, I. H. Khoo, and P. K. Rajan, 2-D symmetry: Theory and filter design applications, IEEE Circuits and Systems Magazine, 3(3), 4–33, Third Quarter 2003. 28. I. H. Khoo, H. C. Reddy, and P. K. Rajan, Symmetry study for delta-operator-based 2-D digital filters, IEEE Transactions on Circuits and Systems I: Regular Papers, 53(9), 2036–2047, September 2006. 29. P. K. Rajan, H. C. Reddy, and M. N. S. Swamy, Symmetry relations in two-dimensional Fourier transforms, Proceedings of the 1984 IEEE-ISCAS, 3, 1022–1025, 1984. 30. H. Weyl, Symmetry, Princeton University Press, Princeton, NJ, 1952. 31. A. V. Shubinov and V. M. Koptsik, Symmetry in Science and Art, Plenum Press, New York, 1974. 32. J. Rosen, Symmetry Discovered, Cambridge University Press, Cambridge, England, 1975. 33. P. K. Rajan and H. C. Reddy, Symmetrical decomposition and transformation, Mathematics and Computers in Simulation, 27(5 & 6), 585–598, October 1985. 34. G. A. Bliss, Algebraic Functions, Dover, New York, 1966. 35. P. K. Rajan and H. C. Reddy, A test procedure for 2-D discrete scattering Hurwitz polynomials, IEEE Transactions on Acoustics, Speech, and Signal Processing, ASSP-37, 118–120, January 1989. 36. H. C. Reddy and P. K. Rajan, A comprehensive study of two-variable Hurwitz polynomials, IEEETransactions on Education, 198–209, August 1989. 37. H. C. Reddy, Theory of two-dimensional Hurwitz polynomials, The Circuits and Filters Handbook, W. K. Chen (Ed.), CRC Press, Boca Raton, FL, 2002.

11 Passive Circuit Elements 11.1

Resistor..................................................................................... 11-1 Linear Resistor . Nonlinear . Dependence on Material Properties . Dependence on Geometry of Material . Nonideal Linear Resistor

References .......................................................................................... 11-23 11.2 Capacitor................................................................................ 11-24 Introduction . Linear Capacitor . Nonlinear Capacitor Dependence on Material Properties . Dependence on Geometry of Material . Nonideal Linear Capacitor

.

References .......................................................................................... 11-40 11.3 Inductor ................................................................................. 11-40 Basics . Air Inductor . Cored Inductor . Nonlinearity in Inductors . Magnetic Core Materials . Magnetic Materials Measurements: Basic Methodological Principles . Physical Irregularities . International Standards on Inductors

Stanisław Nowak

University of Mining and Metallurgy

Tomasz W. Postupolski Institute of Electronic Materials Technology

Gordon E. Carlson

University of Missouri–Rolla

Bogdan M. Wilamowski Auburn University

Defining Terms ................................................................................ 11-63 References .......................................................................................... 11-64 Further Information ........................................................................ 11-65 Appendices ........................................................................................ 11-65 11.4 Transformer .......................................................................... 11-66 Introduction

.

Ideal Transformer

.

Nonideal Transformer

Defining Terms ................................................................................ 11-72 References .......................................................................................... 11-72 Further Information ........................................................................ 11-72 11.5 Semiconductor Diode ......................................................... 11-73 Nonlinear Static I–V Characteristics . Diode Capacitances . Depletion Capacitance . Diode as a Switch . Temperature Properties . Piecewise Linear Model

References .......................................................................................... 11-84

11.1 Resistor 11.1.1 Linear Resistor 11.1.1.1 Introduction An ideal resistor is an electronic component, the fundamental feature of which is resistance R according to Ohm’s law expressed by the equation V ¼ RI

(11:1) 11-1

Fundamentals of Circuits and Filters

11-2

where V represents voltage in volts I is the current in amperes R is the resistance in ohms The main parameters of a resistor are nominal resistance value, nominal power dissipation, and limited voltage value. According to their construction and technology, we can divide resistors into five groups: wirewound resistors, foil resistors, thin film resistors, thick film resistors, and bulk resistors. Each group has some advantages and disadvantages; until now it has been impossible to manufacture all of the needed resistors within one technology. It is more interesting to divide resistors with respect to their application into two groups as follows: 1. Fixed resistors, including low-power resistors of 0.05–2 W, high-power resistors of 2–100 W, highvoltage resistors, high-ohmic resistors, chip resistors, resistive networks 2. Variable resistors (potentiometers), including rotary control potentiometers, slide control potentiometers, preset potentiometers, and special potentiometers 11.1.1.2 Fixed Resistor An ideal fixed resistor is an electronic component, the resistance value of which is constant with time and different environmental conditions. In practice, we can observe some changes of resistance in time and under high temperature, high humidity, frequency, and electrical load conditions, and so on. Those changes of a resistance, called the instability of resistor, are the basis for classification of resistors according to the requirements of the International Electrical Commission (IEC) and the International Organization for Standardization (ISO 9000–9004) in order to build in a reliability system. Figure 11.1 presents different kinds of fixed resistors. Each resistor is marked mainly by resistance value R and production tolerance dp(). Nominal resistance is rated according to the E6, E12, E24, E48, and E96 series. It is very important for the user to know not only the production deviation dp but also dynamic tolerance D. Dynamic tolerance D and resistor class: The author’s proposal for calculation of the dynamic tolerance D is given by Equations 11.2 and 11.3: Dþ ¼ dp(þ) þ d þ c * s

(11:2)

D ¼ dp()  d  c * s

(11:3)

where n 1X di n i¼1

(11:4)

Ri (t)  Ri (0)  100% Ri (0)

(11:5)

d¼ di ¼ where n is the quantity of samples in test t is the test time Ri is the resistance of i, the resistor

sffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffi n 1X (di  d)2 s¼ n i¼1

(11:6)

c ¼ 1.28 for probability level 90% cases inside the range d  1:28*s, c ¼ 1:64 for probability level 95% cases inside the range d  1:64*s.

Passive Circuit Elements

11-3

FIGURE 11.1 Typical fixed resistors: (a) film resistor: 1—metal cap, 2—electrode, 3—resistive layer, 4—groove, cut along screw line, 5—termination; (b) bulk composition resistor: 1—resistive composition, 2—termination, 3—pressed encapsulation; (c) high-voltage 100 MV thick film resistor; (d) wirewound power resistor, 1—resistive wire, 2—ceramic tube (substrate), 3—termination, 4—cement overcoating, 5—welded point, 6—cut for mechanical fixing of termination; and (e) thick film resistor with radiator.

Figure 11.2 illustrates dynamic tolerance D as an example of a thick film resistor endurance test prolonged up to 10,000 h. Resistors of 20 kV were manufactured by the Telpod factory (Poland) from Birox 1441 Du Pont paste. In Equations 11.2 and 11.3, for the long-life stability test, the coefficient c is chosen for normal distribution of changes di for tj ¼ 1000 h because many experiments performed by author improved it with a high level of confidence. The hot humidity test causes distribution of changes asymmetrical, however. The results of tests obtained for 400 resistors are shown in Figure 11.3a and b. For asymmetrical distribution, the following values for c parameters are suggested: c1 ¼ 1 for di < d and c2 ¼ þ3 for di > d. Dynamic tolerance D is recommended by IEC Publ. 115, 1982 because quality classes are connected directly, with instability dmax, which is presented in Table 11.1. In practice, dmax ¼ d þ cs according to Equation 11.2. In accordance with IEC Publ. 115-5, 1982, the following classes of resistors are ranked according to precision group (see Table 11.2). Temperature coefficient or resistance: The influence of temperature on resistance might be observed: 1. When the resistor is exposed to high temperature for a long time; this results in irreversible changes in resistance. 2. When the resistor is exposed to thermal condition of short duration (0.5 h); this results in reversible changes in resistance that are measured as temperature coefficient of resistance (TCR).

Fundamentals of Circuits and Filters

11-4

– δ p(+) 20 kΩ, +70 C, P = Pn

0.4

+0.3%

+0.06%

0.2

– – Δ=δ

0.2 t(h)

0

10

0

1

2

10

10

3

4

10

0

δ (%)

δ (%)

Δmax

0.4

10

101

0

10

2

104

103

10

t(h) –0.12%

–0.2

–0.2 –0.4

– δ + 1.64s

– δ

–0.4

– δ – 1.64s

– δ p(–)

(a)

–0.55%

Δmin

(b)

FIGURE 11.2 Resistor tolerance in exploitation. (a) The changes of resistance d in time t td ¼ w1 (t), d þ 1:64*s ¼ w2 (t)d  1:64*s ¼ w3 (t) and (b) dynamic tolerance D as a function of time. Test time 10,000 h, dp ¼ 0.25%.

80

ni

80

1 MΩ, 70°C 350 V

40

ni

2.4 MΩ, 40°C 95% r.h.

40 δ1000 (%) 0.2

0.4

0.6

δ21 (%)

0.8

(a)

0.4

0.8

1.2

1.6

1.8

2.0

2.2

(b)

FIGURE 11.3 Distribution of d (histogram). (a) Results after 1000 h endurance test: x2 ¼ 5:01, x20:05,5 ¼ 11:7, n ¼ 150 pcs, d ¼ 0:45%, s ¼ 0:24%; (b) result after 21-day hot humidity test: x2 ¼ 100, x20:05,8 ¼ 15:5, n ¼ 246 pcs, d ¼ 0:79%, s ¼ 0:47%, x2 —chi square distribution. TABLE 11.1

Instability after Tests for Different Quality Resistor Classes

Classes

dmax after Tests: Endurance Test Hot Humidity Test Climate Cycles Test

15

(15% þ 0.5 V)

20%, 10%, 5%

10 5

(10% þ 0.5 V) (5% þ 0.1 V)

2%, 1%

3

(3% þ 0.1 V)

2

(2% þ 0.1 V)

Recommended dp

1

(1% þ 0.05 V)

0.5%, 0.25%

(0.5% þ 0.05 V)

0.1%

0.25

(0.25% þ 0.05 V)

Classes

Common use resistor

5%, 2%, 1%

0.5

TABLE 11.2

Remarks

Stable resistor

Different Resistor Classes Ranked according to Precision Group dmax after Tests

Recommended dp

0.5

(0.5% þ 0.05 V)

1%, 0.5%, 0.25%

0.25

(0.25% þ 0.05 V)

0.1%, 0.05%

0.1

(0.1% þ 0.01 V)

0.025%

0.05

(0.05% þ 0.01 V)

0.01%

Passive Circuit Elements

11-5

TCR may be calculated from Equation 11.7 and in practice from Equation 11.8 TCR ¼

1 @R R @T

(11:7)

TCR ¼

1 RT  R 0 R0 T  T 0

(11:8)

where R0 is the resistance measured at room temperature T0 RT is the resistance measured at temperature T T0 is the room temperature In Figure 11.4, some curves R(T) are presented versus temperature for four types of resistors. It can be seen that in the tested range of temperature, TCR is positive and constant for curves 1 and 2 but negative and constant for curve 4. A different result is obtained for curve 3; at temperatures lower than Tm, TCR is negative, at higher temperatures than Tm, TCR is positive, and at Tm, TCR ¼ 0. When Tm ¼ 408C, that type of resistor is the most interesting for users, because in the operating temperature range of 208C–608C, TCR is very small, almost zero. As recommended by IEC Publ. 115, 1982, TCR limit values for different quality classes of resistors are shown in Table 11.3. Data in positions 1–5 refer to common use resistors, in positions 6–10, data refer to stable resistors, and in positions 11–15 to precision resistors. Resistive network: In electronic circuits, resistors are often used as elements of dividers. In that case, it is more convenient to apply resistive networks (see Figure 11.5).

R(T )/R20 1.02

20 KΩ

1.01

3 2 1

3 1.00 0.99

–40

0

40

80

120

Tm

0.98

T(°C) 140

4

FIGURE 11.4 Dependence of resistance on ambient temperature. 1—wirewound resistor, 2—thin film resistor, 3—thick film ruthenium based resistor, 4—pyrolitic carbon resistor. TABLE 11.3 Number

TCR Limit Values for Different Quality Classes of Resistors TCR (ppm=K)

Number

TCR (ppm=K)

Number

TCR (ppm=K)

1

2500

6

250

11

25

2

800 to þ2500

7

100

12

20

3

1000

8

50

13

15

4

400 to 1000

9

25

14

10

5

500

10

Fundamentals of Circuits and Filters

11-6 3

6 1 R1

2 5 7

R2

4

(a)

(b)

FIGURE 11.5 Thick film resistive network. (a) Topography of divider: 1—substrate, 2—resistor R1, 3—resistor R2, 4—wire termination, 5—soldering point, 6—conductive path, 7—insulating saddle and (b) electrical circuit.

Because the resistive network is deposited on a substrate in one technological cycle, both the TCR and time instability d are almost the same for different resistors in the network. It appears to be more important for a user of a circuit to know differences D TCR and Dd instead of absolute values of TCR or d. It is estimated that D TCR can exhibit values of 1–3 ppm=K for TCR  50 ppm=K and Dd can get value of 0.02% for d ¼ 0.5%. Chip resistor: The development of electronic circuit mounting technology is going toward reliability and flexibility improvement and this results in a new assembly technique, known as surface mounting technology (SMT). Because SMT components have no terminations, their dimensions can be reduced. The smallest chip resistor is 2.5 mm long. Figure 11.6 depicts a 0.25 W chip resistor. Requirement

Protective coat (overglaze) Resistor layer Inner electrode

0.60 ± 0.1

End termination

Ceramic substrate 0.50 ± 0.25 Protective coat

1.6 ± 0.15

3.2

+0.15 –0.20

FIGURE 11.6 Chip resistor, nominal power 0.25 W (Philips Components Catalogue, 1989). Dimensions are in millimeters.

Passive Circuit Elements

11-7

150

mm

2

3 1 4

FIGURE 11.7 High-frequency resistor, nominal power 60 W. 1—resistive band, 2—band termination, 3—hot pressed overcoat, 4—holes for decreasing contact resistance.

parameters for chip resistors are the same as for fixed resistors with terminations. Thick film technology is often used in manufacturing chip resistors. High-ohmic, high-voltage resistor: Usually resistors have resistances below 10 MV but sometimes resistors up to 10 GV are needed (e.g., in pH measurements, radiation particle detection, and so on). Only thick film technology enables production resistors of such high resistance values. Because the range of sheet resistance of the thick film layer changes from 1 V=square to 100 MV=square, we can easily get a resistance range from 1 to 10 GV. Laser trimming and shaping of the layer allows easily to get from 100 to 1000 squares of resistive layer. A very high value of sheet resistance decreases the thermal and long-life stability of resistors, so it is advisable to design stable resistance with inks of 1 MV=square and to obtain the required resistance value by multiplying the number of squares. High-ohmic resistors can be used as high-voltage resistors if their resistive paths are long enough. The required voltage strength is a maximum of 2 kV=cm of resistive path. These types of resistors are used up to 10 kV in TV focusing systems. High-power resistor: Very often, in electrical systems as well as in some electronic circuits (e.g., power suppliers, power amplifiers, R-TV transmitters, and radar equipment), resistors with dissipation power above 5 W are necessary. For dc and low-frequency applications up to 100 W, power resistors are realized by a cement layer but high parasitic inductance makes them useless for higher frequency performances. Film and band resistors have very good high-frequency characteristics and they are suggested for highfrequency applications. Resistive bands are made of boron–carbon or other compositions. Nominal resistance ranges are as follows: 50, 75, 300, and 600 V. In Figure 11.7, a band resistor of low inductance is shown. 11.1.1.3 Variable Resistor The variable resistor, very often called a potentiometer, is an electronic component in which by movement of mechanical wiper the resistance is regulated. A variable resistor can regulate voltage when it is joined to the circuit as a fourth-pole element (Figure 11.8a). It can regulate current when it is joined to the circuit as a twin-pole element in series with load RL (Figure 11.8b). Requirements for variable resistors are similar as for fixed resistors but several additional problems must be considered: mechanical endurance, rotational noise, contact resistance variation (CRV), the type of regulation curve (taper), and parallel curves in tandem potentiometers (stereo potentiometers). Variable potentiometers can be divided into three groups: rotary control potentiometers, slide control potentiometers, and preset potentiometers. In Figure 11.9, photos of different types of potentiometers are shown. With respect to their application we can divide potentiometers into several categories: standard type (common use) potentiometers with a

Fundamentals of Circuits and Filters

11-8

I V1

R

V1 V2

RL

(a)

V2

RL

(b)

FIGURE 11.8

Potentiometer in an electric circuit: (a) as four-pole, voltage divider and (b) as twin-pole, rheostat.

FIGURE 11.9

Photos of different potentiometers.

carbon polymer resistive layer, high-stability potentiometers with a cermet layer, precision potentiometers formed as wirewound, or thin film ones. To increase sensitivity of regulation, a lead screw actuated potentiometer is used, in which the screw moves the nut connected with the wiper. Slow displacement of the wiper causes fluent resistance regulation. Specially built potentiometers (helipots) are used for precise regulation (see Figure 11.10). In that case, the wiper is moving along a screw line. This means that for 10 rotations of shaft, the total angle is 36008 and the way of wiper is 10 times longer than in a simple rotary potentiometer. In helipots, precision of adjustment depends on the diameter of potentiometer. This type

FIGURE 11.10 Helipot—a principle of work. (From Bourns, Inc., The Potentiometer Handbook, McGraw-Hill, New York, 1975. With permission.)

Passive Circuit Elements

100

11-9

of a potentiometer is manufactured by Beckman, Bourns, and others.

R/RT (%)

Mechanical endurance: During the test of mechanical endurance, the wiper has to perform many total cycles. For present potentiometers, the number of cycles is 100–500; for control potentiometers it is 105–106 cycles.

3

1

50

Regulation curves (taper): The most popular regulation curve is a straight line; but as it is well known, our ear 2 has a logarithmic characteristic. Therefore, for volume X/XT (%) regulation in radios, audio amplifiers, and television 0 sets, potentiometers with an exponential curve must 50 100 be used. Figure 11.11 shows typical curves (tapers) of FIGURE 11.11 The main tapers of potenti- potentiometers. In practice, a nonlinear curve is exactly realized by a ometers. 1—linear, 2—exponential, 3—antiexponential. XT —total wiper direction, RT —total few linear segments of resistive layer. Because each resistance. At the beginning and at the end of segment has another resistivity, it is necessary to use wiper movements there are very low resistive paths. several segments to obtain a better exponential or antiexponential approximation. The minimum number of segments to perform an exponential curve is two, but then some steps in regulation are unavoidable. In production, we can achieve potentiometers performing sinus, cosinus, and other curves. Curve parallelism of stereo tandem potentiometers: A stereo potentiometer with an exponential curve has to fulfill the additional requirement for parallel curves; both potentiometers are controlled by one roller (e.g., at attenuation of 40 dB the difference between both curves must be smaller than 2 dB).

11.1.2 Nonlinear 11.1.2.1 Varistor A voltage-dependent resistor (VDR), called a varistor, is a resistor whose characteristic V versus I is not a straight line and a small change of voltage causes a significant change of current according to the equation V V ¼ CI b

3

(11:9)

2 VV 1 I 1

where b is the nonlinearity coefficient ¼ 0.03–0.4 (it depends on the material and manufacturing technology) C is the varistor-dependent coefficient

2 3

FIGURE 11.12 Voltage versus current characteristics. 1—linear resistor, 2—SiC varistor, 3—ZnO varistor, Vv—varistor voltage.

The main parameters of varistor are nonlinearity coefficient b and varistor voltage Vv measured at constant current, for example, 1 mA. Comparisons of the characteristics of a linear resistor and two types of varistors are shown in Figure 11.12. A varistor can be used for dc voltage stabilization and especially for electronic circuit protection against

Fundamentals of Circuits and Filters

11-10

overvoltage pulses caused by industrial distortions and atmospheric discharges. Coefficient b is calculated from Equation 11.10: b¼

lg(V2 )=(V1 ) lg(I2 )=(I1 )

(11:10)

when I2=I1 ¼ 10, the denominator is equal to 1 and b ¼ lgV2  lgV1

(11:11)

To explain the above relation, Figure 11.13 is helpful, where both V and I are in logarithmic scale. The slope of the straight line segment of this curve equals b. For SiC varistors (curve 2 in Figure 11.12), b ¼ 0.12–0.4; for ZnO varistors however b ¼ 0.03–0.1. Varistor voltage is in the range of 4 V up to 2 kV; it depends on varistor thickness (length). To get a higher operating voltage, disk varistors should be connected in pile. Maximum pulse current is in the range of 0.2 A up to 2 kA; it depends on the diameter of the varistor body. For pulse work, the following additional parameters are important: the capacity of the varistor (it is in the range of 100 pF up to 1 mF) and absorption energy (it is in the range 1–2200 J) [10]. 11.1.2.2 Thermistor A temperature-dependent resistor, called a thermistor, is a resistor with significant TCR, which can be positive (PTC) or negative (NTC). Some groups of thermistors are characterized by a very rapid change of resistance in temperature. Those thermistors are called critical temperature resistors (CTR). They can be positive CTRP or negative CTRN. Figure 11.14 presents typical characteristics R versus T for different types of thermistors. NTC and PTC thermistors are used for stabilization of the working point in temperature for different electric circuits and as well as for temperature measurement. CTR thermistors are applied as protective elements against overheating in electronic circuits. CTRPs are used in degaussing circuits in color TV tubes. In some catalogs, CTRPs are called PTCs [7]. The electrical, climatic, and mechanical requirements of thermistors are almost the same as for fixed resistors but some additional parameters were introduced as well, such as thermal time constant (in seconds), heat capacity (in J=K), dissipation factor (in mW=K), switch temperature or critical temperature (in 8C) (for CTRs only). The first three R (Ω) 105 lgV (V )

104

NT

CTRp C

PTC

103 102 lgV2

CTRN

10

α

lgV1 lg I (A) 10–4 10–3 10–2 10–1

1

10

FIGURE 11.13 log(V)=log(I) characteristic of a varistor for nonlinearity coefficient b description. b ¼ tga ¼ log V2log V1; I2=I ¼ 10.

50

100

T (°C)

150

FIGURE 11.14 Characteristic R ¼ w(T) of different thermistors. NTC—negative temperature coefficient thermistor; PTC—positive temperature coefficient thermistor; CTR—thermistor with critical temperature of resistance; index N curve falling with temperature; index P-curve rising with temperature.

Passive Circuit Elements

11-11

are related to the thermistor dimensions, the remaining to the row material and technological process.

VS/VN

11.1.2.3 Photoresistor

200 150 100 50

V (V) 100

200

300

FIGURE 11.15 Signal-to-noise ratio versus polarization voltage VDC of PbS photoresistor, Mullard type, 615 V. VS—signal voltage, VN–noise voltage, photo source temperature 473 K, f ¼ 800 Hz, Df ¼ 50 Hz. (From Nowak, S., Wenta, A., and Kuzma, E., Handbuch der Elektronik, Vol. 2 and Ambroziak, A. et al., Vol. 7, Franzis-Verlag, Munich, 1979. With permission.)

A photoresistor is a film resistor whose resistance is sensitive to the light, that is, it depends on light intensity and light wavelength l. The latter, in turn, depends on the kind of material used as follows. For Cd S: l ¼ 0.4–0.7 mm, (visible light), for Pb S: l ¼ 0.9–3.5 mm (infrared), for Ge Si-doped Zn: l ¼ 4–15 mm, for Ge doped Sb: l ¼ 30–100 mm. This means that for a different photoresistor there exists an optimal wavelength at which maximum sensitivity (maximum change of resistance between lightness and darkness) occurs. During the design of a circuit with a photoresistor it is necessary to know at which polarization voltage the smallest noise exists. Figure 11.15 presents VS=VN versus polarization voltage for a PbS photoresistor made by Mullard.

11.1.2.4 Magnetoresistor Some thin film multilayer ferromagnetic structures cause changes in magnetic field H. This phenomenon is called the magnetoresistive effect. An electronic component in which the magnetoresistive effect occurs is called a magnetoresistor and is usually used as a sensor. Special preparation of a ferromagnetic multilayer allows achievement of magnetosensitivity up to 100 MHz. The change of resistance is 1%–5% at the change of magnetic field H of about 10 Oe. Very often, two magnetoresistors are joined in a Wheatstone bridge and then sensitivity of the sensor is doubled.

11.1.3 Dependence on Material Properties Materials selected for resistors have played a fundamental role in resistor production. Resistive elements are composed for a metal alloy, carbon, metal oxide, and mixtures of insulating and conducting particles such as polymer and black carbon, glass, and bismuth ruthenate, as well as glass and metal oxide. Semiconductors are also good materials for resistors, especially for nonlinear resistors such as varistors, thermistors, and photoresistors. 11.1.3.1 Influence of Resistive Material on TCR Nonmagnetic metals: According to Grüneisenn’s principle [2,3] temperature influences resistivity as follows: rT ¼ rQ

T  0:15Q 0:85Q

for T  0:15Q

(11:12)

and TCR20 ¼

1 293  0:15Q



hvmax k

(11:13) (11:14)

Fundamentals of Circuits and Filters

11-12 TABLE 11.4

Debye Temperature and Resistivity of Nonmagnetic Metals

Metal

r20 at T ¼ 293 K (108 V m)

Q (K)

0.15Q (K)

r at Q (108 V m)

Ag

1.62

214

32

1.16

Cu

1.68

320

48

1.94

Au

2.22

160

24

1.17

Al Zn

2.73 6.12

374 180

56 27

3.79 3.65

220

33

7.91

Pt

10.6

Pb

20.8

W

5.39

84.5 346

12.7

5.5

52

6.76

Source: Neudeck, G.W., The PN Junction Diode, Vol. II, Modular Series on Solid-State Devices, Reading, MA: Addison-Wesley, 1983. With permission.

where h ¼ 6.625 Js ¼ Planck’s constant k ¼ 1.38 J=K ¼ Boltzmann’s constant Q ¼ the Debye temperature (for several nonmagnetic metals is given in Table 10.4) nmax ¼ the maximal elastic frequency of the atom in a lattice of metal rQ ¼ the resistivity of the metal at the Debye temperature From Table 11.4, 0.15Q is in the range 10–60 K, which means that TCR20 ¼

1 1 to 283 233

(11:15)

that is, TCR ¼ þ3500 up to þ4300 ppm=K. For nonmagnetic metal, TCR20 is constant, and temperate dependency of resistivity can be written as follows: rT ¼ ArQ T

(11:16)

where A ¼ constant. It appears that for nonmagnetic metal in the range of temperature T  0.15Q, resistivity is proportional to the ambient temperature. On this basis, the resistive platinum thermometer is built. Magnetic metal: For magnetic metal, for example, Fe, Ni, Co, the relation rT ¼ f(T) is nonlinear and given by following equation: rT ¼ CT 1:7

for T  Tc

(11:17)

where Tc is the Curie temperature (see Table 11.5). TCRs measured for iron and nickel are about þ4500 ppm=K. Pure metal, both magnetic and nonmagnetic, is not useful for resistor design due to its large TCR and low resistivity. TABLE 11.5 Curie Temperature for Different Metals Metal Fe

Tc [K] 1043

Ni

635

Co

1400

Passive Circuit Elements

11-13

Metal alloy: Increasing of resistivity with temperature can be explained by atomic vibrations in the crystalline lattice. Those atoms cause obstructions to free electrons and the higher temperature causes an increase of resistance (obstruction). Such a resistivity is the first component rs of an alloy resistivity r. According to Mathiessen’s rule [2], we can add the second component of resistivity ri that presents obstruction of free electrons by atoms of impurities in the metal lattice. r ¼ rs þ ri ri ¼ const, ri 6¼ w(T)

(11:18)

where rs is given by Equations 11.16 and 11.17. When ri >> rs, TCR is very small. In this case r >> rs as well. This means that a resistor made of a specially prepared metal alloy can have very small TCR and large resistivity r. That result is very remarkable for a metal alloy resistor design.

Example: Constantin 60% Cu þ 40% Ni: TCR20 ¼ 1–5 ppm=K, r20 ¼ 0.49 3 106 Vm in contrast with pure copper: TCR ¼ 4000 ppm=K and r20 ¼ 0.0168 3 106 Vm; Canthal 70% Fe þ 23% Cr þ 4.5% Al þ 1% Co þ 1.5% other metals: TCR20 ¼ 50 ppm=K, r20 ¼ 1.4 3 106 Vm. This example demonstrates that, for alloys, TCR is from 100 up to 1000 times smaller and r20 is from 20 up to 100 times greater in comparison to pure metals (see also Table 11.4). This information is useful for wirewound and foil resistor design.

11.1.3.2 Thin Film Resistor Over 90% of thin film resistors are made of metal alloy. A thin layer of metal alloy is deposited on ceramic or glass substrate. Sheet resistance R(V=square) of a metal alloy thin film resistor varies from 10 V=square up to 200 V=square; the nominal resistance range is from 10 V to 10 MV; TCR  15–150 ppm=K; classes 5, 3, 2, 1; precision resistors are available. For a high nominal resistance value, the resistance layer is specially shaped by laser trimming to get enough squares. Some features of thin film layer are a bit different from bulk metal alloy. Fuchs’s and Sondheimer’s effect [2]: When the thickness of the resistive layer is smaller than a free path of the free electron, the resistivity increases. Figure 11.16 shows the influence of normalized thickness k on resistivity r:

p=0 4 q/q°

0.2 3



0.5

2

0.8 10

1 0.1

1

x

10

FIGURE 11.16 Influence of layer thickness on resistivity of metal thin film. r0—resistivity of bulk metal; p—quantity of reflected electrons; 1  p— quantity of absorbed electrons. (From Maissel, L.I. and Glang, R. eds., Handbook of Thin Film Technology, McGraw-Hill, New York, 1970. With permission.)

t l

(11:19)

where t is the thickness of a layer l is the free path of the electron, which equals 20–30 nm [2] k is expressed by Equation 11.19 Grain effect: Because free electrons are reflected and absorbed by edges of grains, the resistivity increases when the quantity of grains becomes greater. Annealing processes of the layer limit that effect.

Fundamentals of Circuits and Filters

11-14 20 ρ*106 (Ω cm)

Figure 11.17 illustrates the result of the annealing process [2]. Small grains of the resistive layer are also the reason for the large absorption of humidity and gases that causes instability of the resistor. 11.1.3.3 Pyrolytic Carbon Resistor

10 5

Carbon deposited in vacuum on a ceramic substrate performs very inexpensively and produces a quite good quality resistive layer. Pyrolytic carbon has graphite structure sp3; three electrons create bonds and the fourth is a free electron. Surface resistance is 10 V=square up to 2 kV=square; the nominal resistance value is in range 10 V to 10 MV; encountered classes are 15, 10, 5, 3 (see Table 11.1); TCR  200 to are carbon pyrolytic ones.

1

15

0

2

200

20°C –183°C 20°C –183°C 400

600

800

t (Å)

FIGURE 11.17 Influence of annealing process on resistance of thin film. 1—before annealing; 2—after annealing. (From Maissel, L.I. and Glang, R. eds., Handbook of Thin Film Technology, McGraw-Hill, New York, 1970. With permission.)

1000 ppm=K. About 50% of the produced resistors

11.1.3.4 Thick Film Resistor A thick film resistive layer is prepared from a composition called paste or ink that is a mixture of conducting particles and glass. Conducting particles have a metallic conduction mechanism. By mixing different quantities of both particles, we can obtain a high-range surface resistance of 1 V=square to 10 MV=square. This means that the nominal resistance range might be 0.5 V up to 1 GV; classes are 5, 3, 2, 1, 0.5; TCR ¼ 100 and 50 ppm=K; in a resistive network, DTCR ¼ 3 and 1 ppm=K. The theory of conduction mechanisms is not well known. Several models of conduction mechanisms are used, but one of them proposed by Pike and Seager is used [9]. Each conductive particle is surrounded by glass. There particles form the chains of metal–insulator–metal (MIM). Electrons are passed through the insulator by tunneling. In the layer of very high resistivity, electrons travel according to the hopping effect [6]. Investigations show that for the very low resistivity layer, chains without glass [6] are formed. The formula for the total resistance of such a chain is as follows: R(T) ¼ RMI M þ Rm þ Rc

(11:20)

  1 sin aT DE ¼ Rbo 1 þ exp 2 aT T

(11:21)

where RMI M

Rm ¼ Rmo (1 þ bT)

(11:22)

Rc ¼ k3 pc (Ts  T)3 1

(11:23)

where RMIM is the resistance connected by tunneling [4] Rbo is the resistance in T ¼ 0 a is the distance between particles DE is the activation energy k is the Boltzmann constant Rm is the resistance of the conduction particle (the resistivity value is much higher than in bulk material because its diameter is very small (0.5 mm) and grain effect occurs) Rmo is the resistance in T ¼ 0 K (obtained by line extrapolation)

Passive Circuit Elements

11-15

b is the TCR of the conducting particle Rc is the resistance of contact between two particles without glass) Ts is the temperature of glass melting k3 is the constant coefficient For high resistance, the most important parameter is RMIM. In that range of resistance, TCR is negative and the surrounding glass layers give large voltage coefficients of resistance, which is shown in Figure 11.30. For low resistance, VCR is negligible, and TCR is positive, which means that Rm and Rc are important while an influence of RMIM may be neglected. Equations 11.20 through 11.23 also explain the physical meaning of Tm for curve 3 in Figure 11.4. For the very high resistivity layer, both tunneling and hopping conduction occur. Parameters for this sort of resistor are not particularly good, but only in that technology can we get high-ohmic resistor in the range of 10 MV up to 1 GV. 11.1.3.5 Polymer Resistor About 70% of all potentiometers are manufactured as polymer resistor. The resistive layer consists of conductive particle (black carbon, graphite, as well as metal powder) and thermosetting or thermoplastic resin. Also, a polymer layer is used for printing resistors on PC boards or for manufacturing chips in SMT. The layer is deposited by screen printing, painting, or by some other methods. Classes are 20, 15, 10, 5; TCR ¼ 1000 or 400 ppm=K; surface resistance 50–1 MV=square; the nominal resistance range is from 100 V to 10 MV. Thermoplastic polymer resistors have generated great interest [7] recently. At the softening temperature of a polymer, a strong increase of resistance is observed. TCR 100%=K; and alter cooling resistance retains a previous value. This phenomenon is applied to the multifuse resistor, whose characteristics are shown in Figure 11.18a and b. A multifuse is needed for protection of the electronic power circuit against fire. Polymer layers are also used in keyboards as very hard and uncorrodible contact material. For example, that layer contains carbon and copper powder. 11.1.3.6 Comparison of Parameters of Different Resistors—Suggestion for Application

(a)

140 120 100 80 60 40 20 0

I(A)

RT R20

Figures 11.20 and 11.21 present average instability d of resistors made in four technologies: metal thin film, thick film, pyrolytic carbon, and polymer carbon. An analysis of these figures, as well as Figure 11.19, gives us a clue as to how to choose a resistor for a specific application. For example, we would like to find a resistor of a nominal resistance value of 1 MV to operate under high humidity conditions. A thick film resistor would be the best. Taking into consideration the price, a pyrolytic carbon resistor, class 10 or 5, should be chosen. In an operational amplifier application, a thin film resistor or thick film network would be the best choice, although for a small-signal preamplifer considering the small noise level, a thin film resistor would be preferred. Considering our decision, we should pay attention to the results of the following tests: TCR ¼ w(R), endurance test w(R) for 1000 h, humidity test, 21 days: d ¼ w(R).

0

20

40

60 T (°C)

80

100

120 (b)

0.7 0.6 0.5 0.4 0.3 0.2 0.1 0

IOFF Ta = 20°C

IN

UOFF

0

0.2

0.4

0.6 U (V)

0.8

1

1.2

FIGURE 11.18 Characteristics of multifuse resistor. (a) Normalized resistance vs. ambient temperature and (b) current vs. voltage Voff —voltage above which current decreases.

Fundamentals of Circuits and Filters

11-16

+200

100

1k

10 k 100 k 1 M 10 M

R (Ω)

TCR (ppm/°C)

TCR

0 1

–200 –400 2

–600

1

+200 0

00 1 k 10 k 100 k 1 M 10 M

P (Ω)

–200 –400 –600

–800

–800

–1000

–1000

(a)

2

(b)

FIGURE 11.19 TCR dependence on nominal resistance value. (a) 1—thick film ruthenium-based resistors, 2—pyrolytic carbon resistors and (b) 1—thin film metal alloy resistors, 2—polymer carbon resistors. The envelope of the TCR range is calculated statistically at 1.64 * s. – δ (%)

70°C; P = Pn; (V = Vnom) 1000 h

4 2

1 3

2

0 100

1k

10 k

100 k

1M

10 M 100 M R (Ω)

–2 –4 4 –6

FIGURE 11.20 The average change d after 1000 h endurance test in relation to a nominal resistance value for different types of resistors. 1—pyrolytic carbon, 2—thick film ruthenium-based resistor, 3—thin film metal alloy, 4—polymer carbon. For the range 100 V240 kV P ¼ Pn, above 240 kV P < Pn and V ¼ Vmax.

11.1.3.7 Influence of Ceramic Substrate on Parameters of Resistor All resistive films are deposited on the ceramic substrate. Only polymer film can be put on the fenolic paper or on the epoxy resin substrate. Thick film, pyrolytic carbon, and metal alloy film are also deposited on the ceramic. Some of thin films may be deposited on the glass. It is observed that substrate affects the resistive layer in two ways as follows: 1. When ion current related to alkali metals in substrate flows. Its destructive effect is shown in Figure 11.22, where some pyrolytic carbon layers manufactured by the same technology were deposited on the three types of ceramic substrates. The densities of the ion current were 56, 7, and 0.5 mA=mm2. The results of long-life stability changes for resistors deposited on various substrates differ greatly from each other. This effect is observed only at dc voltages and at high temperatures. The smaller thickness of a layer and the destructive effect of ion current are more remarkable.

Passive Circuit Elements

11-17

– δ (%)

21 days, 40°C, 95% r.h. 4

10 8 6

3

4 2

1

2 100

1k

10 k

100 k

1M

10 M

100 M

R (Ω)

FIGURE 11.21 The average change d after 21-day humidity test dependence on the nominal resistance value of different types resistors. 1—thin film metal alloy, 2—thick film ruthenium-based resistor, 3—pyrolytic carbon, 4—polymer carbon.

10 8

j = 56 μA/mm2 3

6 – δ (%)

During long-life tests at high temperatures, this phenomenon can also be observed for high-ohmic wirewound resistors [1]. 2. When the thermal expansion coefficient of a layer and substrate are much different, thermal dilatability becomes a large problem and results in plus or minus changes of TCR [1]. Encapsulation of resistors with lacquer, cement, and transfer molding cover causes similar problems.

240 kΩ +70ºC, Pnom V = Vmax

4

j = 7.5 μA/mm2

2 2

t (h)

0

500 1

1000 j = 1.05 μA/mm2

FIGURE 11.22 Influence of ion current density j on resistor stability d versus time during endurance test. R ¼ 240 kV (critical nominal resistance value); j is measured according to GOST 10449-63, that is, 4008C and 400 V; distance of cut layer 0.5 mm.

11.1.4 Dependence on Geometry of Material The geometry of a resistive element affects either its high-frequency characteristic or its maximum temperature. 11.1.4.1 Influence of Resistive Element Shape on the Frequency Range

A wirebound resistor has many advantages but its inductance is very high. In Figure 11.23, different ways of resistive wire winding are presented in order to decrease parasitic inductance. A special wirewound resistor can work up to 200 kHz. For higher frequencies, a thin film resistor must be used. Though a film resistor can work at high frequencies up to 1 GHz, some limitations occur in this area (see Figure 11.24). For resistors of a low resistance value, that limitation is inductance of resistive elements and terminations. For resistors of a resistance above 10 kV, distributed capacitance is the main problem. Figure 11.25 presents the part of thin film layer deposited on a cylindrical ceramic substrate. To get many more squares, cutting along the screw line is performed. Each step of the screw wind (Figure 11.27a) gives some elementary resistance Rr and elementary parasitic capacitance Cr. The maximum operating frequently fm is given by Equation 11.24 as

Fundamentals of Circuits and Filters

11-18

(a)

(b)

(c)

FIGURE 11.23 Different means of wire winding in wirewound resistors: (a) flat; (b) cross; and (c) bifilar.

R > 10 kΩ

R≈/R=

R≈/R=

12

1.0 0.5 11 winds 0.2

0.6 7–8 winds 4 winds

0.2 0 0.5

1

10

2

1

0.05

100

300

0.1

R*f (MΩ)*(MHz)

(a)

3

0.1

1.0

10

100

R*f (MΩ)*(MHz)

(b)

FIGURE 11.24 Dependence of R =R ¼ on the product of nominal resistance value and frequency. (a) Pyrolytic carbon resistors, 0.25 W, cut according to screw line; different curves present resistors with different winds of cutting and (b) 1— thin film, 2—pyrolytic carbon, 3—thick film ruthenium-based resistor. (From Nowak, S., Wenta, A., and Kuzma, E., Handbuch der Elektronik, Vol. 2 and Ambroziak, A. et al., Vol. 7, Franzis-Verlag, Munich, 1979. With permission.)

C1

C2

Cr 1 2

R1

R2

Rr

FIGURE 11.25 Part of a film resistor cut according to the screw line; Rr—elementary resistance, Cr— elementary capacitance.

2pfm ¼

FIGURE 11.26 Coaxial resistor: 1—resistive layer, 2—electrode layer.

1 Rr Cr

(11:24)

where Cr is bigger when the thickness of the layer is greater and the groove becomes narrower, but also when er of the insulating cover is high; Rr is smaller when V=square is lower. This information suggests how to choose a resistor for high frequency. It is seen that a thin film with a low V=square is recommended. To reduce the termination capacitance for coaxial lines or cables, coaxial resistors are used (see Figure 11.26), which can work up to 20 GHz. For high-frequency applications,

Passive Circuit Elements

11-19

(a)

100° ± 005° (2.54 + 13)

R4 1

5 100° (2.54)

3 4 5

2 1

R1 Input

R3

4 R2 Output

2 (c)

(b)

3

FIGURE 11.27 High-frequency attenuator: (a) overview; (b) pin localization; and (c) electrical circuit. (From Philips Components Catalogue, Eindhoven, 1989. With permission.)

special shapes of resistors have been designed (Figure 11.7). Strip resistors are connected to a microwave strip line. A special potentiometer of 75 V input and 75 V output works up to 1 GHz. This potentiometer has fluent regulation of the attenuation obtained by specific shapes of three resistive elements inside the potentiometer, which, in turn, are regulated by one appropriately shaped slider (a similar attenuator for 300 MHz is shown in Figure 11.27). 11.1.4.2 Sensitivity Improvement of Precision Potentiometer by Complication of Shape In Figure 11.10, how a helipot works is explained. The wiper makes a rotation of 36008 and the precision is about 0.01%. The range of resistance is 100 V to 100 kV. The producers are Beckman, Bourns, and others. 11.1.4.3 Influence of Resistor Shape on Its Application The typical requirement for resistor construction is to establish a uniform temperature on the entire surface of the resistive element. This problem does not appear in the designing of a fail-safe resistor. The fail-safe resistor is shown in Figure 11.28. At the center of the resistive layer a narrow resistive path is placed [6]. Under normal working conditions, the resistance of the circuit is stable. When a defect occurs in the circuit, the current doubles or triples in path and breaks the fail-safe resistor. This is important in protection against fire and

1 2

3 4

5 1—Electrode layer 2—Resistive layer 3—Narrowing area of the resistive path 4—Alumina substrate 5—Wire termination

FIGURE 11.28 Fail-safe resistor.

Fundamentals of Circuits and Filters

11-20

avalanche devastation of the electronic set. The resistors utilizing the piezoresistive effect for pressure detection are also specially shaped.

11.1.5 Nonideal Linear Resistor The main features of the nonideal resistor is its instability in time, which is described in the introduction to the section on resistors and instability related to temperature, presented in Sections 11.1.1.1 and 11.1.3.1. Catastrophic failure rate is estimated: for thin film resistors on the level of 109=h, for thick film resistors on the level of 1010=h. Noise is also an important feature of the nonideal linear resistor. 11.1.5.1 Noise Total noise is the sum of a number of factors, summarized as follows: Thermal noise called Johnson noise or white noise: The value of thermal noise can be calculated from Equation 11.25: Vtrms ¼

pffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffi 4kRTDf

(11:25)

where Vtrms is the root-mean-square value of the noise voltage (V) R is the resistance value (V) k is the Boltzmann constant (1.38 3 1023 J=K) T is the temperature (K) Df is the frequency bandwidth (Hz) over which the noise energy is measured The spectral density of thermal noise is constant in the total frequency bandwidth (white noise). Total noise is the sum of Vtrms and current noise Virms: Vrms ¼ Vtrms þ Virms

(11:26)

Current noise or structural noise: When dc voltage is applied to the resistor, dc current causes ac voltage fluctuation. That ac voltage fluctuation depends on the structure of the resistive element and an applied voltage. Density of that noise can be described by Equation 11.27: Virms ¼ cf g V¼a Df

(11:27)

where c, g, and a are the constants V ¼ is the applied dc voltage Df is the frequency bandwidth g ¼ 0.98–1.2; very often g ¼ 1 and this kind of noise is called ‘‘1=f noise’’; a ¼ 1–2 and depends on the structure of the resistive element. Virms is measured in m V=V or in dB, where 0 dB ¼ 1 m V=V. For foil and wirewound resistors, Virms ¼ 0 and this means that only thermal noise occurs there. Figure 11.29 illustrates simplified characteristics of current noise for different types of film resistors. 11.1.5.2 Voltage Coefficient of Resistance A linear resistor can exhibit some deviations from Ohm’s law (Equation 11.1). This nonlinearity, called the voltage coefficient of resistance (VCR), is measured in %=V and is calculated from Equation 11.28:

Passive Circuit Elements

11-21 μVrms/V=

10 c

1 b 0.1

a R

0.01 1 kΩ

10 kΩ

100 kΩ

1 MkΩ

10 MkΩ

FIGURE 11.29 Simplified current noise characteristics versus nominal resistance value for different types of resistors: (a) thin film; (b) pyrolytic carbon; and (c) ruthenium-based thick film.

VCR ¼

R 1  R2  100 [%=V] R2 ðV1  V2 Þ

(11:28)

where R1 is the resistance at the rated voltage V1 R2 is the resistance at 10% of the rated voltage V2 Metal alloy film resistors and pyrolytic resistors show a negligible small voltage coefficient but polymer resistors and thick film resistors have a remarkable one. In Figure 11.30, normalized R=R10 versus voltage stress for the ruthenium-based thick film resistors are presented, where R10 is resistance measured at stress 10 V=mm. Results are collected for low stress voltage in Figure 11.30a and for high stress voltage in Figure 11.30b. It can be observed that for a resistor made of a low-resistivity ink up to 100 KV=square, VCR is small, but for inks of high resistance=square (e.g., 500 MV=square), VCR is large [6]. VCR depends on the ink producer, as well (see R310 in Figure 11.30b). 11.1.5.3 Rotational Noise and Contact Resistance Variation When a potentiometer is supplied by dc voltage and its wiper is moving from the beginning to the end of resistive layer, some ac voltage appears on the output. It is noise, which is measured in mV=V ¼ . The IEC standard requires that for a quality potentiometer this noise has to be smaller than 2 mV=V ¼ . The CRV is important when the resistor works in series with the load or in a very sensitive instrument. This parameter is measured in percentage of total resistance (1% is a typical value). CRV and rotational noise decrease if a multipoint wiper is used in potentiometers, for example, a wiper made from 20 wires. That parameter is also important in the construction of a precision wirewound potentiometer where CRV must be smaller than 1%. The proper choice of materials for the slider and resistive wire is the best way to solve this problem. 11.1.5.4 Smoothness of Regulation Curve of Potentiometer Exponential curve: In practice, an exponential resistive element consists of two or three linear segments of resistance. As a result, the line is not smooth but has some steps. Rotary noise also increases in that

Fundamentals of Circuits and Filters

11-22

293 K

1.6

R/R0

1.5

500 MΩ/square

1.4 1.3

100 MΩ/square 1.5 MΩ/square 50 MΩ/square

1.2 1.1

100 MΩ/square + 0.1 MΩ/square

1.0 (a)

0.02

0.05

0.1

0.2

0.5

1

2

5

10

k (v/mm)

1.5 MΩ/square 10

20

30

40

50

60

70

1.0

90

100

k (v/mm) 50 MΩ/square 90 kΩ/square

0.9 R/R10

80

100 MΩ/square

200 kΩ/square

0.8 0.7 0.6 0.4

500 MΩ/square R310 DP

(b)

FIGURE 11.30 The change of resistance versus stress voltage for thick film resistors: (a) for low stress and (b) for high stress. (From Nowak, S., Rozprawy Elektrotechniczne, 35, 1989. With permission.)

area. More experienced producers use several segments and then the junction is not very sharp but is sawtooth shaped (see Figure 11.31). Linear curve in a helipot precision potentiometer: In a precision potentiometer, the resistance of the resistive element should be proportional to the distance traveled by wiper. In Figure 11.32, Dr1 and Dr2 are the maximum deviation from a single line. The nonproportionality NP of a precision potentiometer is described by Equation 11.29:

NP ¼

Dr1 þ Dr2  100% Rc

(11:29)

The value of NP is 0.5%–0.01%. Such a good result is obtained by fluent control of the proportionality over winding of the wire.

Passive Circuit Elements

11-23

R

3 Step

No step 2

1

(a)

Wiper way

III

II S

(b)

FIGURE 11.31 Shaping of exponential curve: (a) resistance versus wiper movement, the slopes 1, 2, 3 are proportional to resistivity of layer and r1 < r2 < r3 and (b) junction of resistive layer area marked by s is the sawtooth-shaped junction of segment II and segment III.

R Rc

Δr2 Δr1

0.5 Rc

Rotation angle 1800°

3600°

FIGURE 11.32 Resistance versus rotation angle (wiper movement) in precision linear potentiometer.

References 1. G. W. A. Dummer, Fixed Resistors, London: Pitman, 1967. 2. L. I. Maissel and R. Glang, Eds., Handbook of Thin Film Technology, New York: McGraw-Hill, 1970. 3. S. Nowak, A. Wenta, and E. Kuzma, Handbuch der Elektronik, vol. 2 and A. Ambroziak et al., vol. 7, Munich: Franzis-Verlag, 1979. 4. C. A. Neugebauer and M. B. Webb, Electrical conduction mechanism, in ultrathin evaporated metal films, J. Appl. Phys., 33, 74, 1962. 5. S. Nowak and D. Lusniak-Wojcicka, Thick film fail-safe resistors, Electrocompon, Sci. Technol., 10, 4, 255, 1983. 6. S. Nowak, Nonlinearity of thick film resistors, Rozprawy Elektrotechniczne, 35, 4, 1989. 7. Philips Components Catalogue, Philips Corporation, Inc., Eindhoven, The Netherlands, 1989. 8. Bourns, Inc., The Potentiometer Handbook, New York: McGraw-Hill, 1975. 9. Pike, G. E. and C. H. Seager, Electrical properties and conduction mechanism of Ru-based thick film (cermet) resistors, J. Appl. Phys, 48, 12, 5152–5168, Dec. 1977. 10. Siemens Matsushita Components Catalogue, Siemens AG, Munich, Germany, 1993. 11. R. W. Vest, Conduction mechanism in thick film microcircuits, Final Technical Report, Purdue Univ. Res. Foundat., Grant DAHC-15-70-67, DAHC-15-73-68, ARPA Order: 1642, December 1975.

Fundamentals of Circuits and Filters

11-24

11.2 Capacitor 11.2.1 Introduction A capacitor is a container of the electric energy W. This is expressed by the following equation: W¼

CV 2 2

(11:30)

where C is the capacitance expressed in F V is the voltage on the capacitor plates expressed in V Capacitance C of the multiplate capacitor can be described by the following equation: C¼

xer (N  1)A 13 10 d

(11:31)

where er is the relative dielectric constant of the insulator d is the distance between the plates N is the number of plates A is the plate area, where x ¼ 0.0885 for A and d expressed in centimeters or x ¼ 0.225 for A and d in inches. The relative dielectric constant value er is equal to the ratio of capacity of a capacitor with plates separated by a dielectric to one separated only by vacuum. Dielectric constant values of various materials are presented in Table 11.6. Fundamental parameters of capacitor are as follows: capacitance C, nominal voltage VN, testing voltage Vt (note that Vt ¼ (2–3)VN), temperature coefficient of capacitance (TCC, for class 1 only), insulation resistance Ri (for dc voltage), and power PF (for ac voltage). TABLE 11.6

Comparison of Capacitor Dielectric Constants

Dielectric

er (Dielectric Constant)

Air or vacuum

1.0

Paper

2.0–6.0

Plastic

2.1–6.0

Mineral oil

2.2–2.3

Silicone oil Quartz

2.7–2.8 3.8–4.4

Glass

4.8–8.0

Porcelain

5.1–5.9

Mica

5.4–8.7

Aluminum oxide

8.4

Tantalum pentoxide

26

Ceramic

12–400,000

Source: Dorf, R. Ed., The Electrical Engineering Handbook, CRC Press, Boca Raton, FL, 1993. With permission.

Passive Circuit Elements

11-25

Power factor (PF) is described by the following equation: PF ¼ rCv ¼ 2pfrC

(11:32)

where r is an equivalent series resistance (ESR). Its inversion is a quality factor Q, given by Equation 11.33. Because the power factor expresses total losses in capacitor, it is a sum of the dissipation factor and losses in electrodes as well as in terminations. Q¼

1 1 ¼ PF 2pfrC

(11:33)

According to IEC Publ 384=1988, capacitors are divided into two salient groups: class 1 and class 2 but also more detailed classifications are commonly used because of the wide range of capacitances and very different applications. The main applications of capacitors include filtering, coupling, tuning, dc blocking, ac passing, bypassing, phase shifting, compensation, through feeding, isolation, energy storage, noise suppressing, motor starting, and so on. Contemporary capacitors cover the 0.1 pF up to 10 F capacity range and the 2.5 V to 100 kV voltage range. Connecting a dc voltage source to the capacitor plates we can observe the given capacitor is gradually charged and current flowing through the capacitor, large at the beginning, decreases in time to negligible small value. On the other hand, ac source causes the current I, given by Equation 11.34, flowing permanently through the capacitor. I¼

V V ¼ ¼ V2pfC Xc (1)=(2pfC)

(11:34)

This current increases when capacitance, frequency, or applied voltage increase. The ac current can heat the capacitor, whose temperature would depend on its power factor, capacitor size, and cooling conditions. This phenomenon has to be taken into consideration in energetic 50 Hz equipment or in high-frequency power applications. Miniaturization and integration of electronic sets influence miniaturization of capacitors as well. The index y0 , called ‘‘own volume’’ (volume per capacitance) and expressed in cm3=mF, might be of use during selection of a capacitor for a given circuit. Nominal voltage VN strongly affects the index y0 value. Table 11.7 presents the index y0 for capacitors with various dielectrics. TABLE 11.7

y0 Index of Various Capacitors

Capacitor Definition

Main Parameters

y0 (cm3=mF)

Variable air

500 pF=250 V

200,000

Mica

10 nF=500 V

250

Ceramic (rutile)

1000 pF=500 V

600

Ferroelectronic Ferroelectric multilayer

40 nF=250 V 0.68 mF=50 V

50 1.5

Polystyrene

2 mF=160 V

Polyester (Mylar)

0.1 mF=160 V

12.4

Polycarbonate—metalized

0.15 mF=160 V 40 mF=350 V

5.6 1.3

Electrolytic Al (HV)a Electrolytic Al (LV)a

300

120 mF=7 V

0.008

‘‘Golden’’ capacitor

1 F=5.5 V

0.00001

Electrolytic Ta (wet) Electrolytic Ta (dry)

10 mF=100 V 5.6 mF=10 V

0.038 0.0026

Source: Badian, L., Handbuch der Electronik., Franzis-Verlag, Munich, 1979. With permission. a HV, High voltage; LV, low voltage.

Fundamentals of Circuits and Filters

11-26

From the user’s point of view, capacitors can be divided as follows: linear and nonlinear, fixed capacitors, adjusting capacitors, power energetic capacitors, start motor capacitors, and interference suppression capacitors.

11.2.2 Linear Capacitor The linearity of a capacitor depends on the polarity of the dielectric used for its manufacture. Several different polarization mechanisms can contribute to the total polarization. The most important are the following: 1. Electron polarity that exists in the insulator with covalent bonds between atoms; electrical stress causes deformation of the orbital shape but electrons cannot go out of orbit (relaxation time t is smaller than 1015 s). 2. Ion polarity that occurs in glass and high-quality ceramic; under electrical stress ion centers are displaced (t < 1013 s). 3. Dipole polarity that occurs in a polar polymer dielectric. Electric field causes rotation of dipoles in the dielectric. Generally dielectric constant er depends on frequency, temperature, and voltage, but in limited ranges of these factor er is stable. 4. Domain polarity that appears in some insulators, for example, ferrodielectrics. They contain domains that rotate with electric field. This effect is called ferroelectricity because of the analogy to ferromagnetism and gives rise to very high dielectric constant er up to 400,000 (see Table 11.6). It strongly depends on voltage, frequency, and temperature. Ceramic capacitors with a domain polarity mechanism and high dielectric constant are very popular in electronic equipment. Only capacitors with electron polarity and ion polarity are classified in linear capacitor group 1. The linear capacitor group consists of fixed capacitors in class 1, adjusting capacitors, energetic capacitors, high-voltage capacitors, and interference suppression capacitors. 11.2.2.1 Fixed Capacitor—Class 1 The main feature of a fixed linear capacitor with class 1 dielectric materials is its stability in time and under temperature. By analogy to resistors we can introduce dynamic tolerance D (see Section 11.1.1.2) for capacitance. Production tolerances dp () for class 1 capacitors are 0.25%, 0.5%, 1%, 2%, 5%, 10%, and 20%. The instability dmax expressed by Equation 11.35 after the endurance test is up to 3% (IEC Publ. 384–8). dmax ¼ d þ 1:64*s

(11:35)

where d and s are in accordance with the formula (Equations 11.4 and 11.6), respectively, and di ¼

Ci (t)  Ci (0)  100% Ci (0)

(11:36)

dmax for capacitor is larger than for a stable resistor. This also means that dynamic tolerance D for capacitors is larger than for resistors, which should be considered during active rc filter design. The TCC, given in Table 11.8, describes temperature stability of capacitors. Negative TCC capacitor may be used to compensate positive temperature coefficient of inductance in resonant LC circuit. The next important parameter of capacitors in class 1 is a power factor that is required to be smaller than 30 3 104. Ceramic capacitors with low er as well as styroflex and mica capacitors meet these conditions, which renders them very suitable for resonant circuits, stable analog filters, integrator circuits, and other circuits, where stable capacitance and small losses are necessary.

Passive Circuit Elements TABLE 11.8

11-27

TCC and Max Capacitance of Monolithic Ceramic Capacitor Maximum Capacitance (pF)

Dimension a 3 b (mm) TCC ppm=K

NP 0

N 75

N 150

N 750

0  30

75  30

150  30

750  30

47

47

47

150

434 838 10 3 10

Ferrodielectric Large 10,000

680

680

680

1,600

470,000

4,700

4,700

4,700

6,800

1,000,000a

Some producers offer maximum capacitance C ¼ 4.7 mF at dimensions of 10 3 10 mm.

a

3 4 I

II

2

(–)

(+)

1 (a)

(b)

(c)

Seating plane

(d)

(e)

(f)

FIGURE 11.33 Typical fixed capacitors. (a) Multilayer ceramic (monolithic): 1,2—termination, 3—dielectric layer, 4—inner termination; I,II—plates (capacitor electrodes). (From Nowak, S., Rozprawy Elektrotechniczne, 4, 1989.) (b) Tubular taped (connected in tapes for automatic assembling). (From Taiyo Yuden Co. Ltd., Tubular Ceramic Capacitors Catalogue, Tokyo, 1988.) (c) Aluminum electrolytic (wet) with axial terminations. (d) Tantalum electrolytic (dry) with radial terminations. (e) Polystyrene foil with axial terminations. (f) Metalized film. (From Nowak, S., Rozprawy Elektrotechniczne, 4, 1989. With permission.)

Ceramic capacitors are produced as tubular, disk, and multilayer (monolithic) capacitors. Disk and tubular ones are inexpensive. Multilayer capacitors are rather expensive but they have small dimensions and low index y0 . Figure 11.33 presents some types of fixed capacitors. 11.2.2.2 Adjustable (Variable) Capacitor An adjustable capacitor is an electronic component whose capacitance can be mechanically regulated by the user. For example, an AM radio set tuner is adjusted using a variable capacitor of 10 up to 500 pF. The dielectric used in this type of capacitor is either air or plastic foil. The majority of variable capacitors are trimmer capacitors for precision adjustment of reactance in electronic circuits. Their insulator layer is made of air, ceramic of class 1, mica, polystyrene, or Teflon. Typical trimmer capacitors are shown on Figure 11.34.

Fundamentals of Circuits and Filters

11-28

3

3

2



1

3

3

1

4

2 1

I 4 5 (a)

2

II 2 (b)

1΄ (c)

FIGURE 11.34 Typical trimmer capacitors: (a) tubular ceramic: 1—ceramic tube, 2—hot electrode, 3—soldering point, 4—earthed electrode, 5—screw for capacitance regulation; (b) flat ceramic: I—grounded electrode (plate), 1—roller for capacitance regulation (electrically connected with termination 10 ); II—hot electrode connected with termination 2, 3—ceramic plate; and (c) Cylindrical air: 1—grounded roller (screw) connected with termination 10 , 2—hot electrode, 3—coaxial cylinders; capacitance regulation is achieved by moving cylinders up and down.

They have the following capacitance ranges: air trimmer, 1–15 pF; tubular ceramic trimmer, 0.1–7 pF; disk trimmer, 10–50 pF; special disk trimmer, 100 pF maximum. The power factor is small (1–20) 3 104. Insulating resistance is above 1010 V. Nominal voltage is 100–500 V. 11.2.2.3 Energetic Power Capacitor To compensate inductance in electrical engines and other equipment, energetic power capacitors are used. Besides capacitance, it is important to know their resistance power expressed in VAr (between vectors of voltage and of current, there is an angle 908). They are rather large in size and weight of 10–50 kg per unit. The smaller ones are capacitors used to discharge lamps. They have a capacitance range 2.5–20 mF and ac working voltage of 150 V and effective voltage up to 550 V. Starting motor capacitors also belong to this group of power capacitor. The majority of power capacitors have paper, polypropylene, or mixed dielectrics, and they are impregnated in vacuum against flashover. An impregnant substance, such as mineral or synthetic oil is used. It is very important to match the dielectric constant of the oil with that of the fixed dielectric because it will guarantee uniform distribution of the electric field inside the unit. In some capacitors of smaller size, polypropylene without an impregnant is used as an insulator. Electrodes are made of aluminum foil or deposited with thin metal film on the dielectric. The power factor of these capacitors is required to be low with respect to heat dissipation. According to IEC Publ. 384-17 the maximum power factor is > f. As an example, for an inductor having tgd ¼ 0.004 (Q-factor ¼ 250) and tgdd ¼ 0.02, ( f=f0)2 ¼ 0.1, the degraded Q-factor attains 170 in case (1) and 150 and in case (2). Additionally, tgdd is very sensible to any climatic factors and different agents; the case is known that a low value tgdd increased by nearly 200 times in a hot humidity environment. Inductor total power loss: Different representations of total power loss in inductor are summarized in Table 11.16.

11.3.4 Nonlinearity in Inductors The cored inductors are nonlinear except when the excitation does not exceed the level where m(B or H) ¼ const. The most current three characteristics of inductor expose the extent of nonlinearity: . . .

Inductance versus ac current or applied voltage Inductance versus dc bias current or voltage with superimposed ac current or voltage Harmonic content versus specified excitation

The nonlinearity of a cored inductor is due to hysteretic properties of the core material, which result in coercive and remanence effects. That peculiarity of magnetic material causes both multivalent and multivalued characters of nonlinearity in cored inductors. In addition, in many circumstances the nonlinearity can also be time dependent, for example, the harmonic content can vary with time. Generally, the nonlinearity occurs when the core permeability becomes excitation dependent. Such excitation-dependent permeability is termed as amplitude permeability ma. Numerous phenomenal expressions are used for dependence of amplitude permeability ma on excitation. The most well known are expressions for the Rayleigh range of excitations given by Rayleigh:

ma ¼ mi þ nH;

(11:79)

Passive Circuit Elements

11-61

Peterson:

ma ¼ a0 þ a1 H þ a2 H 2 þ

(11:80)

where mi is the initial permeability n is the Rayleigh constant a0, a1, a2, and so on are the Peterson coefficients Today, these expressions are of lesser importance because any experimentally obtained dependence of an inductor on excitation can be numerically processed and used for overall nonlinear analysis (e.g., of signal distortion) in a given circuit. All previously given relationships between the permeability and inductance are to be observed in that analysis. A practical manner of lowering the nonlinearity of an inductor (for a given core material) is to increase the air-gap length and=or to decrease the coupling coefficient kw, or to lower the induction B in the core. For the sake of an example, the third harmonic content, THC ¼ emf3f=emff of the ungapped core is related to tangent of material hysteresis loss tgdh in the Rayleigh range by the formula THC ¼ 0:6tgdh

(11:81)

For the gapped core, this formula transforms into THCgapped ¼ THCungapped  (meg =m)

(11:82)

Generally, for a given core material, the most nonlinear inductor is that equipped with a core having an ungapped magnetic circuit (e.g., a toroid); the least nonlinear is the inductor having an open short core (e.g., a rod core of low length to diameter ratio). The nonlinear core related relationships are strongly frequency dependent and, nearly as a rule, decrease as the frequency increases.

11.3.5 Magnetic Core Materials For inductor core applications, three families of soft magnetic materials are concerned: .

.

.

Ferrites, mainly Mn–Zn and Ni–Zn, being solid polycrystalline ceramics in whatever form they may be Iron and=or nickel based alloys (Fe, Fe–Si, Fe–Ni, Fe–Co, Ni–Mn, Ni–Mn–Mo, etc.) in the form of laminations, strips, and ribbons Pure iron and Fe–Ni or Ni–Mn, etc., alloys in the form of fine powders (2–150 mm) with individual particles that are bonded and electrically insulated by polymers

The most distinctive features of these three families are listed in Table 11.17. Recently developed amorphous and nanocrystalline magnetic materials gained as of yet a moderate use in inductor applications. Some ring power chokes used as core-wound amorphous ribbons have advantageous properties at the kHz range. The basic characteristics of magnetic materials for inductor applications are depicted in Figure 11.60.

11.3.6 Magnetic Materials Measurements: Basic Methodological Principles For the measurements of magnetic properties and characteristics of a magnetic material as, for example, its magnetic permeability, quality factor or power loss, the sample (core) being made of that material shall have a ring or toroidal shape in which:

Fundamentals of Circuits and Filters

11-62

Three Main Families of Magnetic Materials Used as Cores in Inductors

TABLE 11.17 Family

Bs (T)

Ferrites

Low: f2

Log of power loss, Pμ

Bias dc field, H0

Pμ = f (B)

μ˝ μ΄μ΄i

=

μi

Log of frequency, f

Ungapped core μ = f (H0)

tg δμ

Bs (T)

Temperature, T Pμ = f(T) f = const. B2 > B1

B1

Pμ = f ( f ) Log of power loss, P

μa max

Tc Curie point

(1) μ΄

(1) (2)

μi

Magnetic induction, B

Permeability, μ

μ˝

(Magnetic) Loss factor

Series complex permeability components μ΄ and μ˝ (log scale)

(1)

μa max

Magnetic field strength, H

Magnetic field strength, H

μ΄

μi

Saturation induction, Bs

–Br

μa = B/μ0H

Power loss, Pμ

μi = tg α

Tc Curie point μ=1

Hc

1

–Hc

μa = B/μ0H

B

Br

Bs

Amplitude permeability, μa

Magnetic induction, B

Bs ¼ saturation induction; mi ¼ initial permeability; r ¼ dc resistivity; fw ¼ typical working frequency range. a For very small material thickness.

Temperature, T

FIGURE 11.60 Basic characteristics of magnetic materials essential for inductor applications.

Passive Circuit Elements

11-63

1. There are no transversal (to the magnetic flux path) air gaps. 2. The ratio of outer to inner diameter shall not be greater than 1.4. 3. The measuring winding(s) shall be distributed uniformly along the circumference of the core, close to its surface, so as to get the inductive coupling coefficient between the core and the winding(s) approaching one. (This stipulation becomes more critical as the magnetic permeability of the core is low.) Besides, the core to be measured shall be magnetically or thermally conditioned (past terms: neutralized, demagnetized) to arrive at a well-defined and reproducible magnetic state of the material. This operation shall take place at a determined period of time before the start of each measurement or before a sequence of measurements, depending on a prescribed measuring procedure.

11.3.7 Physical Irregularities Physical irregularity stands for inconsistency of the state or quality of the core surface, bulk or shape with their intended regularity. The irregularities and their locations give, as a rule, a detrimental or critical effect on functional properties of magnetic cores, components and devices and, in consequence, on their applicative usefulness. A detailed categorization, related nomenclature, and graphical examplification of most physical irregularities occurring in ferrite cores are provided in the International Standard IEC 60401-1: Terms and nomenclature for cores made of magnetically soft ferrit—Part 1: Terms used for physical irregularities.

11.3.8 International Standards on Inductors International standardization activities on inductors, their attributes, applications and testing are managed by the Technical Committee 51 (TC51) ‘‘Magnetic Components and Ferrite Materials’’ of the International Electrotechnical Commission (IEC). The International Standards (Publications) issued by the IEC=TC51 in years 1972-2007, in a number of 62, can be classified into 6 groups as below: 1. 2. 3. 4. 5. 6.

Terminology and specific nomenclature (6 Stds) Shapes and dimensions (19 Stds) Design and calculations: guidelines and formulas (3 Stds) Measuring methods and procedures (13 Stds) Specific features and characteristics (19 Stds) Marketing (2 Stds)

The list of the current IEC=TC51 Standards is available at http:==www.iec.ch. Some of them are given, for example, in Refs. [6–12].

Defining Terms Amplitude permeability: The permeability obtained from the peak value of the induction and the peak value of the applied field strength when the field is varying periodically with time, the average of the field over the time is zero, and the material is initially in a neutral state. Choke: A device, being an inductor, used in a special application to impede the current in a circuit over a specified frequency range while allowing relatively free passage of the current at lower frequencies. Complex permeability: The complex quotient of the induction in the material and the product of magnetic constant and field strength, at the excitation range, where both the induction and field vary sinusoidally with time.

11-64

Fundamentals of Circuits and Filters

Inductor: A device consisting of one or more associate windings, with or without magnetic core, for introducing inductance into an electric circuit. Initial permeability: The limiting value of the amplitude permeability when the field strength is vanishingly small. Loss angle of inductor: The angle by which the fundamental component of passing current lags the fundamental component of the fundamental voltage. Magnetic core: A configuration of magnetic material, which is placed in a specific geometrical position in relation to current-carrying conductors and whose magnetic properties are essential to its use. Magnetic power loss: The power loss in a magnetic material when it is magnetized. Physical irregularity: The inconsistency of the state or quality of the magnetic core surface, bulk, or shape with their intended regularity. Planar (or chip) inductor: An inductor consisting of a small piece of chip-like, nonconductive magnetic or nonmagnetic material—substrate—onto which is deposited, etched, or printed a conductive pattern in the form of a circular or rectangular spiral. Planar inductors may be structured as single or multilayered devices. Power loss: The power expended without useful work and converted into heat and=or nonintended radiation. Quality factor: The magnitude of the ratio of the inductor effective reactance to its effective resistance at a given frequency (reciprocal of the tangent of the loss angle of the inductor). Tangent of the loss angle (of inductor): The magnitude of the ratio of the inductor effective resistance to its effective reactance at a given frequency (reciprocal of the quality factor of the inductor). Tangent of the magnetic loss angle: The ratio of the imaginary component of the complex permeability (of a material) to its real component. Winding: A conductive path, usually of wire, consisting of one (single-loop winding) or more turns, usually forming a spiral.

References 1. ANSI-IEEE Standard 100-1988, IEEE Standard Dictionary of Electrical and Electronic Terms, 4th edn., New York: IEEE, 1988. 2. M. Zahn, Electromagnetic Field Theory: A Problem Solving Approach, New York: John Wiley & Sons, 1979. 3. IEC 60205: Calculation of the Effective Parameters of Magnetic Piece Parts. 4. IEC 60723-1 to 5: Inductor and Transformer Cores for Telecommunications. 5. IEC 61007: Transformers and Inductors for Use in Electronic and Telecommunication Equipment— Measuring Methods and Test Procedures. 6. IEC 61248-1 to 7: Transformers and Inductors for Use in Electronic and Telecommunication Equipment—Parts 1 to 7: Generic and Sectional Specifications. 7. IEC 61332: Soft Ferrite Material Classification. 8. IEC 62044–3: Measuring Methods—Part 3: Magnetic Properties at High Excitation Level. 9. IEC 62024–1: High-Frequency Inductive Components—Electrical Characteristics and Measuring Methods—Part 1: Nanohenry Range Chip Inductor. 10. IEC 62025–1 to 2: High-frequency inductive components—Non-Electrical Characteristics and Measuring Methods—Part 1: Fixed, Surface Mounted Inductors for Use in Electric and Telecommunication Equipment, Part 2: Test methods for non-electrical characteristics. 11. IEC 62211: Inductive Components—Reliability Management. 12. The complete catalogue of IEC Standards (including those from [3] to [11]) issued by the Technical Committee 51 (IEC=TC51): Magnetic Components and Ferrite Materials, Available at: http:==www. iec.ch.

Passive Circuit Elements

11-65

13. E. C. Snelling, Soft Ferrites, Properties and Applications, 2nd edn., London: Butterworths, 1988. 14. H. Hartwig, Induktivitäten, Berlin: Verlag für Radio-Foto-Kinotechnik, GmbH, 1954. 15. F. E. Terman, Radio Engineering Handbook, 3rd edn., New York: McGraw-Hill, 1947.

Further Information Several ‘‘books’’ provide comprehensive and in-depth information on inductors, choice of suitable magnetic material for core, design methods, and various applications: E. C. Snelling, 1988, Soft Ferrites. Properties and Applications, London: Butterworths, 1988; W. Kampczyk and E. Roess, Ferritkerne, Berlin, München: Siemens AG, A. Goldman, Modern Ferrite Technology, New York: Van Nostrand Reinhold, 1990; L. Michalowsky u.a., Magnettechnik, Fachbuchverlag Leipzig GmbH, 1993; R. Boll, Weichmagnetische Werkstoffe, Hanau, Berlin, München: Vacuumschmelze GmbH—Siemens AG, 1990; G. E. Fish, 1989, Soft Magnetic Materials, Proc. IEEE, vol. 78, no. 6, p. 947, 1989, review paper; McCurrie, R. A., Ferromagnetic Materials, Academic Press, 1994; Niknejad, A. M. and Mayer, R. G., Design, Simulation and Application of Inductors and Transformers for Si RF ICs, Dordrecht, The Netherlands: Kluwer Academic Publishers, 2000; R. C. Dorf, Ed., Electrical Engineering Handbook, Boca Raton, FL: CRC Press, 1993; W. T. McLyman, Transformer and Inductor Design Handbook, 2nd ed., New York: Marcel Dekker, 1999; C. J. Kaiser, Inductor Handbook, CJ Publishing, 1996; Y. I. Ismail and E. G. Friedman, On-Chip Inductance in High Speed Integrated Circuits, Dordrecht, The Netherlands: Kluwer Academic, 2001. The following journals and conference proceedings publish articles on inductors and related issues. ‘‘Journals’’ IEEE Transactions on Magnetism; Journal of Magnetism and Magnetic Materials; Coil and Winding International. ‘‘Conferences’’ INTERMAG; (American) Conference on Magnetism and Magnetic Materials (MMM); International Conference on Magnetism (ICM); International Conference on Ferrites (ICF); Soft Magnetic Materials Conference (SMM); European Magnetic Materials and Application Conference (EMMA); Intertech Business Conferences on Magnetic Materials, (American) Annual Applied Power Electronics Conference (APEC). Because of the enormous diversity of inductor applications, properties of various magnetic materials, inductors, and core shapes, it is suggested that one consult the relevant data published by inductor manufacturers in their catalogs and application notes. Chip inductors are described in Chapter 1 of Analog and VLSI circuits. The measuring methods concerning the inductors and magnetic core properties are given in ‘‘Standards’’ prepared by Technical Committee No. 51 of the International Electrotechnical Commission (IEC). Copies of these Standards may be obtained from the IEC Central Office: 1, rue de Varembé, Geneva, Switzerland or from the IEC National Committees.

Appendices APPENDIX 11.A

Coefficient K ¼ f(d=l) Figuring in Equation 11.48a

D=l

K

D=l

K

D=l

K

D=l

K

0.02 0.04

0.1957 0.3882

0.32 0.34

2.769 2.919

0.80 0.85

5.803 6.063

2.20 2.40

10.93 11.41

0.06

0.5776

0.36

3.067

0.90

6.171

2.60

12.01

0.08

0.7643

0.38

3.212

0.95

6.559

2.80

12.30

0.10

0.9465

0.40

3.355

1.00

6.795

3.00

12.71

0.12

1.126

0.42

3.497

1.10

7.244

3.50

13.63

0.14

1.303

0.44

3.635

1.20

7.670

4.00

14.43

0.16

1.477

0.46

3.771

1.30

8.060

4.50

15.14

0.18

1.648

0.48

3.905

1.40

8.453

5.00

15.78 (continued)

Fundamentals of Circuits and Filters

11-66 APPENDIX 11.A (continued) D=l

K

D=l

K

D=l

K

D=l

K

0.20

1.817

0.50

4.039

1.50

8.811

6.00

16.90

0.22

1.982

0.55

4.358

1.60

9.154

7.00

17.85

0.24

2.144

0.60

4.668

1.70

9.480

8.00

18.68

0.26

2.305

0.65

4.969

1.80

9.00

19.41

0.28

2.406

0.70

5.256

1.90

10.09

10.00

20.07

0.30

2.616

0.75

5.535

2.00

10.37

12.00

21.21

9.569

Source: Hartwig, H., Induktivitäten, Verlag für Radio-Foto-Kinotechnik, GmbH, Berlin, 1954. With permission.

APPENDIX 11.B l=h

g

Coefficient g ¼ f(l=h) Figuring in Equation 11.48e l=h

g

l=h

g

l=h

g

1

0.0000

9

0.2730

17

0.3041

25

0.3169

2

0.1202

10

0.2792

18

0.3062

26

0.3180

3

0.1753

11

0.2844

19

0.3082

27

0.3190

4

0.2076

12

0.2888

20

0.3099

28

0.3200

5

0.2292

13

0.2927

21

0.3116

29

0.3209

6

0.2446

14

0.2961

22

0.3131

30

0.3218

7

0.2563

15

0.2991

23

0.3145

8

0.2656

16

0.3017

24

0.3157

Source: Hartwig, H., Induktivitäten, Verlag für Radio-Foto-Kinotechnik, GmbH, Berlin, 1954. With permission.

11.4 Transformer 11.4.1 Introduction The transformer is a two-port passive circuit element that consists of two coils that are coupled magnetically, but have no conductive coupling. It is shown diagrammatically in Figure 11.61, where the dots by one end of each coil indicate that the magnetic fluxes, Fm1 and Fm2 are in the same direction when both currents either enter or leave by the dot marked terminal. Coil 1 is connected to the transformer input terminals and is called the primary winding. Coil 2 is called the secondary winding and is connected to the transformer output terminals. A transformer can be used to connect a source to a load and comes in a wide range of sizes. The sizes include very large power-distribution transformers and very small transformers used in electronic equipment. The coils of some transformers used in electronic equipment are wound on a nonmagnetic core such as plastic. These transformers are called air-core transformers. All transformers used in power-distribution systems and some transformers used in electric equipment use an iron core, which produces a coupling coefficient of nearly unity. The coupling coefficient for an air-core transformer seldom exceeds 0.5. Four major characteristics of transformers are as follows: 1. 2. 3. 4.

Accept energy at one voltage and deliver it at a different voltage. Change the load impedance as seen by the source. Provide conductive isolation between two portions of a circuit. Produce bandpass signal filters when combined with capacitors.

The first characteristic indicated is commonly used in electric power-distribution systems since higher voltages are desired for electric energy transmission than can be safely used by the customer. The higher

Passive Circuit Elements

11-67 φm2 φm1

i1

i2

+

+ N1

v1

φl1

φl2

N2



v2 –

Coil 1

Coil 2 Core material

N1 = number of turns in coil 1

N2 = number of turns in coil 2

φl1 = leakage flux due to current i1

φl2 = leakage flux due to current i2

φm1 = magnetizing flux due to current i1

φm2 = magnetizing flux due to current i2

φ1 = φl1 + φm1 = total flux due to current i1

φ2 = φl1 + φm2 = total flux due to current i2

φm1 φ1 = coupling factor for coil 1

k2 =

φm2 φ2 = coupling factor for coil 2

k2 =

k1 = k1k2 = transformer coupling coefficient < 1

FIGURE 11.61 Transformer diagram and definitions.

transmission voltage produces lower transmission current, which requires smaller transmission line conductors. The output stage of an audio amplifier may include a transformer to provide the second and third characteristics listed. In this way, the low impedance of the speaker is matched to the higher output impedance of the power amplifier to yield maximum power transfer for the signal. The isolation property permits the isolation of dc biasing voltages in the amplifier from the speaker coil to avoid magnetic flux saturation in the coil. Finally, a transformer may be used with capacitors for interstage coupling in a radio frequency amplifier. The inductance of the transformer coils and the capacitance of the capacitors can be adjusted to produce bandpass filtering for signals. This section presents linear mathematical models for transformers. The models are in terms of phasors and impedances; that is, they are frequency-domain models. The section begins with a first-order model known as the ideal transformer. A nonideal linear transformer model that includes transformer inductive and resistive effects is then shown.

11.4.2 Ideal Transformer The ideal transformer models the first three transformer characteristics listed above with an ideal, lossless, circuit element. It is a reasonably good model for a transformer with a nearly unity coefficient

Fundamentals of Circuits and Filters

11-68 I1

I2

n1 : n2

+

+

V1

V2





FIGURE 11.62 Circuit symbol for the ideal transformer.

of coupling and primary and secondary coil inductive impedances that are very large with respect to source and load impedances. Well-designed, iron-core transformers have approximately these characteristics over a reasonable range of frequencies and terminating impedances. A circuit symbol that is often used for an ideal transformer is shown in Figure 11.62, where a ¼ n1=n2 ffi N1=N2 is the effective turns ratio. In place of the lines between the coils, which are intended to indicate the similarity to an ironcore transformer, the word ideal or the equation k ¼ 1 may be written below the coils to identify an ideal transformer. The equations that represent the ideal transformer model are V2 ¼ V1=a and I2 ¼ aI1. Thus, the voltages are in the same ratio as the effective turns ratio. Also, the ideal transformer is lossless since the complex power supplied by the source V1I1* equals the complex power absorbed by the load V2I2*. The hybrid-h-parameter two-port equation that represents the ideal transformer model is 

V1 I2





0 ¼ a

a 0



I1 V2

 (11:83)

If the load impedance ZL is connected to the output terminals of an ideal transformer, then V2 ¼ I2ZL. In this case, the impedance seen by a source connected to the input terminals is Zeq ¼

V1 ðaV2 Þ ¼  1 ¼ a2 ZL I1  a I2

(11:84)

Thus, the impedance seen by the source is the square of the effective turns ratio times the load impedance.

11.4.3 Nonideal Transformer The ideal transformer is not an adequate transformer model when the coefficient of coupling is not near unity and=or the load and source impedances are not negligible with respect to the transformer-coil inductive impedances. Also, it cannot be used to investigate the signal filtering that can be performed with a transformer and capacitors. In these cases, a more detailed model is required. 11.4.3.1 Linear Transformer The linear transformer model is shown in Figure 11.63. R1 and R2 are the resistances and L1 and L2 are the self-inductances of the two transformer coils. M is the mutual inductance corresponding to the magnetic coupling of the coils. The linear transformer is a reasonable model for an air-core transformer since the magnetic flux is proportional to the current. The self-inductances are

Passive Circuit Elements

11-69

I1

jωM

R1

I2

R2

+

+ V1

jωL2

jωL1

V2





FIGURE 11.63 Linear transformer model.

R1 + V1

jω(L1 – M)

R2

jω(L2 – M)

+

I1

I2

jωM



V2 –

FIGURE 11.64 Equivalent T-network representation of a linear transformer.

L1 ¼ N1

f1 f ; L2 ¼ N2 2 i1 i2

(11:85)

and the mutual inductance is pffiffiffiffiffiffiffiffiffi M ¼ k L1 L2

(11:86)

From Figure 11.63, the impedance-parameter two-port equations for the linear-transformer model are 

V1 V2





R1 þ jvL1 ¼ jvM

jvM R þ jvL2



I1 I2

 (11:87)

Except for its isolation characteristics, the linear transformer in Figure 11.63 can be represented by the equivalent T-network shown in Figure 11.64, since this network produces Equation 11.87. The T-network is completely equivalent to the nonisolating linear transformer that is produced when the lower ends of the two coils are conductively connected. 11.4.3.2 Leakage and Magnetizing Inductances The nonideal linear-transformer circuit model can be changed, so it is expressed in terms of leakage inductance and magnetizing inductance instead of self-inductance and mutual inductance. This is convenient because the effects produced by the coil resistance and leakage inductance are nearly linear. Thus, the approximation required to produce a linear model is primarily contained in the magnetizing inductance. From Figure 11.61 and Equation 11.85, the magnetizing and leakage inductances for coil 1 are, respectively, Lm1 ¼

N1 fm1 ¼ k1 L1 i1

(11:88)

Fundamentals of Circuits and Filters

11-70

and L‘1 ¼

N1 f‘1 ¼ (1  k1 )L1 i1

(11:89)

Therefore, L1 ¼ L‘1 þ Lm1

(11:90)

Similarly, for coil 2, N2 fm2 ¼ k 2 L2 i2

(11:91)

N2 f‘2 ¼ (1  k2 )L2 i2

(11:92)

Lm2 ¼ L‘2 ¼ and

L2 ¼ L‘2 þ Lm2 are the magnetizing, leakage, and self-inductances. Using Figure 11.61 and Equation 11.86, we can write the mutual inductance as pffiffiffiffiffiffiffiffiffipffiffiffiffiffiffiffiffiffi pffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffi M ¼ k1 k2 L1 L2 ¼ k1 L1 k2 L2 pffiffiffiffiffiffiffiffiffiffiffiffiffiffiffi ¼ Lm1 Lm2   1 ¼ aLm2 ¼ Lm1 a

(11:93)

(11:94)

where rffiffiffiffiffiffiffiffi Lm1 n1 N1  ¼affi Lm2 n2 N2

(11:95)

is the effective turns ratio. How closely the effective turns ratio n1=n2 approximates the actual turns ratio N1=N2 depends on how completely all magnetic flux links all coil turns. This is a function of the coil and core geometry. 11.4.3.3 Circuit Model Substitution of the leakage inductances, magnetizing inductances, and effective turns ratio into Equation 11.87 produces an alternate form for the impedance-parameter two-port equations for the linear transformer model. These equations are   1 I2 V1 ¼ [R1 þ jv(L‘1 þ Lm1 )]I1 þ jvLm1 a ¼ (R1 þ jvL‘1 )I1 þ jvLm1 (I1  Ia )

(11:96)

and   1 V2 ¼ jvLm1 I1 þ [R2 þ jv(L‘2 þ Lm2 )]I2 a   1 ¼ Va þ (R2 þ jvL‘2 )I2 a

(11:97)

Passive Circuit Elements

11-71

I1 +

Ia R1

jωLl1

jωLl 2

+ jωLm1

V1



I2

n1 : n2 R2

+

Va

V2





FIGURE 11.65 Linear circuit model for a nonideal transformer.

where   1 Ia ¼  I2 a

(11:98)

and Va ¼ jvLm1 I1 þ jvaLm2 I2 ¼ jvLm1 ðI1  Ia Þ

(11:99)

The transformer circuit model that produces Equations 11.96 through 11.99 is depicted in Figure 11.65. The only energy losses in the transformer that are accounted for by the linear model depicted in Figure 11.65 are the heating losses in the coils (called copper losses). An iron-core transformer also has heating losses in the core material (called core losses). The core losses have two components. The first component is hysteresis losses. Hysteresis is the nonlinear phenomenon that causes the magnetic flux response to increasing current to be different from the response to decreasing current. The plot of flux as a function of current traces out a closed curve called a hysteresis loop. The area inside this loop is proportional to the energy that produces core heat. The second component of transformer core losses is eddy-current losses. This is a heating loss caused by currents (called eddy currents) that flow in the transformer core due to the voltage induced in the core material by the changing flux. The eddy-current losses can be decreased by laminating the core material to reduce the voltage induced in an eddy-current path and thus the eddy-current value. Core losses are caused by nonlinear effects in the magnetic circuit formed by the transformer core. However, they can be approximately included in a linear transformer circuit model by introducing a resistance in parallel with the magnetizing inductance. This improves the linear model accuracy in modeling terminal-characteristics of the transformer. The resulting circuit model is shown in Figure 11.66, where Iel is

I1 +

R1

I2

n1 : n2 jωLl1

jωLl2

Ie1

R2

Im1

V1 Rc1

+

V2

jωLm1



FIGURE 11.66 Linear circuit model for a nonideal transformer—including core losses.



11-72

Fundamentals of Circuits and Filters

called the excitation current, Iml is called the magnetization current, and Rcl is the resistance used to model the core losses.

Defining Terms Transformer: A two-port passive circuit element consisting of two magnetically coupled coils that are not conductively connected. Air-core transformer: A transformer with a nonmagnetic core. Source: Signal generator that supplies energy to a network. Load: Device that converts electrical energy supplied to a useful output. Modeled as an impedance. Magnetic flux: A magnetic field descriptor. It is thought of as lines indicating the direction of force that the magnetic field produces on a moving charge. The density of the lines indicates the force. Primary winding: Transformer input coil. Secondary winding: Transformer output coil. Leakage inductance: Magnetic flux that links only one coil. Magnetizing inductance: Magnetic flux that links both coils.

References 1. D. R. Cunningham and J. A. Stuller, Basic Circuit Analysis, Boston: Houghton Mifflin, 1991. 2. A. E. Fitzgerald, C. Kingsley, and S. D. Umans, Electric Machinery, 4th edn., New York: McGraw-Hill, 1983. 3. W. H. Hayt, Jr., and J. E. Kemmerly, Engineering Circuit Analysis, 4th edn., New York: McGraw-Hill, 1986. 4. P. Horowitz and W. Hill, The Art of Electronics, 2nd edn., Cambridge: Cambridge University Press, 1989. 5. J. G. Kassakian, M. F. Schlecht, and G. C. Verghese, Principles of Power Electronics, Reading, MA: Addison-Wesley, 1991. 6. G. McPherson and R. D. Laramore, An Introduction to Electrical Machines and Transformers, 2nd edn., New York: John Wiley & Sons, 1990. 7. J. W. Nillson, Electric Circuits, 3rd edn., Reading, MA: Addison-Wesley, 1990. 8. D. L. Schilling and C. Belove, Electronic Circuits, 3rd edn., New York: McGraw-Hill, 1989.

Further Information More detailed developments of linear transformer circuit models can be found in most circuit analysis texts. Two example texts are Refs. [1,3]. Power transformers and their models are presented in considerable detail in electrical machinery texts such as Ref. [6]. These presentations also extend to multiple-coil, three-phase transformers, and tapped transformers. Included in most electrical machinery texts are in-depth discussions of hysteresis and eddy currents, and of methods for reducing losses due to these effects. Also included are procedures for measuring the parameters for transformer circuit models. The use of transformers in electronic circuits is considered in electronic circuit design texts and reference books such as Refs. [4,8]. Amplifier circuit models that include transformer circuit models are developed in some texts for tuned transformer coupled amplifier stages and transformer coupled load impedances. It is demonstrated how these models can be used to determine amplifier frequency response and power transfer to the load. Other uses indicated for transformers in electronic circuits occur in power supplies and isolation amplifiers.

Passive Circuit Elements

11-73

11.5 Semiconductor Diode Semiconductor diodes are made out of p–n semiconductor junctions. Nonlinear current–voltage characteristics of such junctions are used to rectify and shape electrical signals. Exponential current–voltage characteristics are sometimes used to build logarithmic amplifiers. The variations of junction capacitances with applied voltages are used to tune high-frequency electronic circuits. The semiconductor p–n junction illuminated by light will generate a voltage on its terminals. Such a diode is known as a solar battery. Also, the reverse diode current is proportional to the light intensity at the junction. This phenomenon is used in photodiodes. If a diode is biased in the forward direction, it can generate a light. In order to obtain high emission efficiency the light emitting diode (LED) should be made out of a semiconductor material with a direct energy band structure. This way electrons and holes can recombine directly between valence and conduction bands. Typically, LEDs are fabricated using various compositions of GayAll–yAsxPl–x. The wavelength of generated light is inversely proportional to the potential gap of a junction material. When a light intensity is enhanced by additional micromirrors, then laser action occurs. The silicon diodes are not emitting light because the silicon has an indirect band structure and the probability of direct band-to-band recombination is very small. When both sides of the junction are very heavily doped, then for small forward-biasing voltages (0.1–0.3 V), a large tunneling current may occur. For larger forward voltages (0.4–0.5 V), this tunneling current vanishes. This way the current–voltage characteristic has a negative resistance region somewhere between 0.2 and 0.4 V (Figure 11.68d). Germanium and other silicon semiconductors are used to fabricate tunnel diodes. The backward diode has slightly lower impurity concentrations than the tunnel diode and the tunneling current in the forward direction does not occur (Figure 11.68e). The backward diode is characterized by very sharp knee near zero voltage, and it is used for detection (rectifications) of signals with very small magnitude. Diodes with high breakdown voltage have a p–i–n structure with an impurity profile shown in Figure 11.67d. Similar p–i–n structure is also used in microwave circuits as a switch or as an attenuating resistor. For reverse biasing, such a microwave p–i–n diode represents an open circuit with a small parasitic junction capacitance. In the forward direction this diode operates as a resistor whose conductance is proportional to the biasing current. At very high frequencies, electrons and holes will oscillate rather than flow. Therefore, the microwave p–i–n diode exhibits linear characteristics even for large modulating voltages. Another interesting ‘‘diode’’ structure has the impurity profile shown in Figure 11.67f. When reverse biasing exceeds the breakdown voltage, this element generates a microwave signal with a frequency related to the electron transient time through structure. Such a diode is known as an IMPATT (IMPact Avalanche Transit Time) diode. The switching time of a p–n junction from the forward to the reverse direction is limited by the storage time of minority carriers injected into the vicinity of the junction. Much faster operation is possible in the Schottky diode, where minority carrier injection does not exist. Another advantage of the Schottky diode is that the forward voltage drop is smaller than in the silicon p–n junction. This diode uses the metal– semiconductor contact for its operation. Schottky diodes are characterized by relatively small reverse breakdown voltage, rarely exceeding 30 V.

11.5.1 Nonlinear Static I–V Characteristics Semiconductor diodes are characterized by nonlinear current–voltage characteristics. Typical I–V diode characteristics are shown in Figure 11.68. In the case of a common silicon diode, the forward-direction current increases exponentially at first, and then is limited by an ohmic resistance of the structure. A very small reverse current at first increases slightly with applied voltage and then starts to multiply near the breakdown voltage (Figure 11.69). The current at the breakdown is limited by ohmic resistances of the structure.

Fundamentals of Circuits and Filters

11-74

log(N)

log(N)

log(N)

p-type

p-type

p-type

x

x

x

n-type

n-type

(a)

n-type

(b)

(c)

log(N)

log(N)

p-type

log(N)

p-type x

p-type x

x

n-type

n-type (d)

n-type

(e)

(f)

FIGURE 11.67 Impurity profiles for various diodes: (a) step junction; (b) linear junction; (c) diffusion junction; (d) p–i–n junction; (e) p–nþ–n junction; (f) p–i–p–n junction.

11.5.1.1 p–n Junction Equation The n-type semiconductor material has a positive impurity charge attached to the crystal lattice structure. This fixed positive charge is compensated by free moving electrons with negative charges. Similarly, the p-type semiconductor material has a lattice with a negative charge that is compensated by free moving holes, as Figure 11.70 shows. The number of majority carriers (electrons in p-type and holes in n-type materials) is approximately equal to the donor or acceptor impurity concentrations, that is, nn ¼ ND and pp ¼ NA. The number of minority carriers (electrons in p-type and holes in n-type) can be found using the equations np ¼

n2i n2  i pp NA

pn ¼

n2i n2  i nn N D

(11:100)

The intrinsic carrier concentration ni is given by   Vg ; n2i ¼ jT 3 exp  VT

VT ¼

where VT ¼ kT=q is the thermal potential (VT ¼ 25.9 mV at 300 K) T is the absolute temperature in K q ¼ 1.6 3 1016 C is the electron charge

kT q

(11:101)

Passive Circuit Elements

11-75

i

i

i

v

v

1V

1V

(a)

1V

(b) i

(c) i

i

v

v

v

1V

1V

1V

(d)

v

(e)

(f)

FIGURE 11.68 Forward current–voltage characteristics of various types of diodes: (a) germanium diode; (b) silicon diode; (c) Schottky diode; (d) tunnel diode; (e) backward diode; and (f) LED diode.

–10

–100

v

1 nA

1 μA

(a)

v

(b)

FIGURE 11.69 Reverse current–voltage characteristics: (a) germanium diode and (b) silicon diode.

k ¼ 8.62 3 105 eV=K is the Boltzmann constant Vg is potential gap (Vg ¼ 1.124 V for silicon) j is a material constant For silicon, intrinsic concentration ni is given by   6522 ni ¼ 7:98  10 T exp  T 15

For silicon at 300 K, ni ¼ 1.5 3 1010 cm2.

3 2

(11:102)

Fundamentals of Circuits and Filters

11-76 Depletion region p –

n +

+

+

+





+



+

+ –





+

+





+ + – + + – – + + – + – – – + – + + – + + – – –

+



+

+



+ –

+

– –





+



+

+

+



FIGURE 11.70 Illustration of the p–n junction.

When a p–n junction is formed, the fixed electrostatic lattice charges form an electrical field at the junction. Electrons are pushed by electrostatic forces deeper into the n-type region and holes into the p-type region, as illustrated in Figure 11.70. Between n-type and p-type regions, a depletion layer exists with a built-in potential, which is a function of impurity doping level and intrinsic concentration ni:

Vpn

        n n pp pp NA ND nn ¼ VT ln ¼ VT ln ¼ VT ln ¼ VT ln 2 2 ni ni np pn

(11:103)

The junction current as a function of biasing voltage is described by the diode equation:     v 1 i ¼ Is exp VT

(11:104)

where

Is ¼

Aqn2i VT

Ð Lp 0

mp nn dx

mn

þ Ð Ln 0

!

pp dx

(11:105)

where nn  ND pP  NA mn and mp are the mobility of electrons and holes Ln and Lp are the diffusion length for electrons and holes, and A is the device area In the case of diodes made of silicon or other semiconductor materials with a high energy gap, the reverse-biasing current cannot be calculated from the diode Equation 11.104. This is due to the carrier generation-recombination phenomenon. Lattice imperfection and most impurities are acting as generation-recombination centers. Therefore, the more imperfections there are in the structure, the larger the deviation from ideal characteristics.

Passive Circuit Elements

11-77

11.5.1.2 Forward I–V Diode Characteristics The diode Equation 11.104 was derived with an assumption that injected carriers are recombining on the other side of the junction. The recombination within the depletion layer was neglected. In real forwardbiased diodes, electrons and holes are injected through the depletion region and they may recombine there. The recombination component of the forward-biased diode is given by irec

    ni v v ¼ qwA exp ¼ Ir0 exp 2t0 2VT 2VT

(11:106)

where w is the depletion layer thickness t0 is the carrier lifetime in the depletion region The total diode current iT ¼ i þ irec where i and irec are defined by Equations 11.104 and 11.106. The recombination component dominates at low current levels, as Figure 11.71 illustrates. Also in very high current levels, the diode Equation 11.104 is not valid. Two phenomena cause this deviation. First, an ohmic resistance always plays an important role for large current values. The second deviation is due to high concentration of injected minority carriers. For very high current levels, the injected minority carrier concentrations may approach, or even become larger than the impurity concentration. An assumption of the quasi-charge neutrality leads to an increase of the majority carrier concentration. Therefore, the effective diode current is lower, as can be seen in Equation 11.105. The high current level in the diode follows the relation 

v ih ¼ Ih0 exp 2VT

 (11:107)

Figure 11.71 shows the diode I–V characteristics, which include generation-recombination, diffusion, and high current phenomena. For modeling purposes, the forward diode current can be approximated by   v iD ¼ I0 exp hVT

(11:108)

log(i) (c)

(a)

(b)

v

FIGURE 11.71 Current–voltage characteristics of the p–n junction in the forward direction: (a) diffusion current; (b) recombination current; and (c) high-level injection current.

Fundamentals of Circuits and Filters

11-78

where h has a value between 1.0 and 2.0. Note, that the h coefficient is a function of current, as can be seen in Figure 11.71. It has a larger value for small and large current regions and it is close to unity in the medium current region. 11.5.1.3 Reverse I–V Characteristics The reverse leakage current in silicon diodes is mainly caused by the electron–hole generation in the depletion layer. This current is proportional to the number of generation-recombination centers. These centers are formed either by a crystal imperfection or deep impurities, which create energy states near the center of the energy gap. Once the reverse voltage is applied, the size of the depletion region and the number of generation-recombination centers increase. Thus, the leakage current is proportional to the thickness of the depletion layer w(v). For a step-abrupt junction sffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffi  2ee0 Vpn  v w¼ qNeff

(11:109)

For other impurity profiles, w can be approximated by  1 w ¼ K Vpn  v m

(11:110)

The reverse-diode current for small and medium voltages can therefore be approximated by irev ¼ Aw(v)

qni 2t0

(11:111)

where ni is given by Equation 11.101 and w by Equations 11.109 or 11.110. The reverse current increases rapidly near the breakdown voltage. This is due to the avalanche multiplication phenomenon. The multiplication factor is often approximated by m¼

1

1  v m

(11:112)

BV

where BV stands for the breakdown voltage and m is an exponent chosen experimentally. Note that, for the reverse biasing, both v and BV have negative values and the multiplication factor M reaches an infinite value for v ¼ BV.

11.5.2 Diode Capacitances Two types of capacitances are associated with a diode junction. One capacitance, known as diffusion capacitance, is proportional to the diode current. This capacitance exists only for the forward-biased condition and has the dominant effect there. The second capacitance, known as the depletion capacitance, is a weak function of the applied voltage. 11.5.2.1 Diffusion Capacitance In a forward-biased diode, minority carriers are injected into opposite sides of the junction. Those minority carriers diffuse from the junction and recombine with the majority carriers. Figure 11.72 shows the distribution of minority carriers in the vicinity of the junction of uniformly doped n-type and p-type regions. The electron charge stored in the p-region corresponds to the area under the curve, and it is equal to Qn ¼ qn0Ln. Similarly, the charge of stored holes Qp ¼ qp0 Lp. The storage charge can also be expressed as Qn ¼ In tn and Qp ¼ Ip tp, where In and Ip are electron and hole currents at the junction and

Passive Circuit Elements

11-79

n0 = np exp p0 = pn exp

v VT

v VT

( Lx )

n(x) = n0 exp −

x p(x) = p0 exp − L p

( )

n

x Ln

Lp

FIGURE 11.72 Minority carrier distribution in the vicinity of the p–n junction biased in the forward direction.

tn and tp are the lifetimes for minority carriers. Assuming t ¼ tn ¼ tp and knowing that I ¼ Ip þ In the total storage charge at the junction is Q ¼ It. The diffusion capacitance can be then computed as Cdif

   dQ d v tIB ¼ ¼ tI0 exp ¼ hVT dv dv hVT

(11:113)

As one can see, the diffusion capacitance Cdif is proportional to the storage time t and to the diode biasing current IB. Note that the diffusion capacitance does not depend on the junction area, but only on the diode current. The diffusion capacitances may have very large values. For example, for 100 mA current and t ¼ 1 ms, the junction diffusion capacitance is about 4 mF. Fortunately, this diffusion capacitance is connected in parallel to the small-signal junction resistance r ¼ hVT=IB, and the time constant r. Cdif is equal to the storage time t.

11.5.3 Depletion Capacitance The reversed-biased diode looks like a capacitor with two ‘‘plates’’ formed of p-type and n-type regions and a dielectric layer (depletion region) between them. The capacitance of a reversed-biased junction can then be written as Cdep ¼ A

e w

(11:114)

where A is a junction area e is the dielectric permittivity of semiconductor material w is the thickness of the depletion layer The depletion layer thickness w is a weak function of the applied reverse-biasing voltage. In the simplest case, with a step-abrupt junction, the depletion capacitance is sffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffi qN ee  eff 0 ; Cj ¼ 2 Vpn  v

1 1 1 ¼ þ Neff ND NA

(11:115)

Fundamentals of Circuits and Filters

11-80

Cj

Cjo

v Vjo

FIGURE 11.73 Capacitance–voltage characteristics for reverse-biased junction.

The steepest capacitance–voltage characteristics are in pþ  i  p  nþ diodes with the impurity profiles shown in Figure 11.67f. In general, for various impurity profiles at the junction, the depletion capacitance Cj can be approximated by  Cj ¼ Cj0

v 1 Vpn

m1 (11:116)

or using linear approximation as shown in Figure 11.73:   v Cj ¼ Cj0 1  Vj0

(11:117)

11.5.4 Diode as a Switch The switching time of the p–n junction is limited mainly by the storage charge of injected minority carriers into the vicinity of the junction (electrons injected in p-type region and holes injected in n-type region). When a diode is switched from the forward to the reverse direction, these carriers may move freely through the junction. Some of the minority carriers recombine with time. Others are moved away to the other side of the junction. The diode cannot recover its blocking capability as long as a large number of the minority carriers exist and can flow through the junction. An example of the current–time characteristics of a diode switching from the forward to the reverse direction is shown in Figure 11.74. A few characteristics that are shown in the figure are for the same forward current and different reverse currents. Just after switching, these reverse currents are limited only by external circuitry. In this example, shown in Figure 11.74, most of the minority carriers are moved to the other side of the junction by the reverse current, and the recombination mechanism is negligible. Note that the larger the reverse current flowing after switching, the shorter the time required to recover the blocking capability. This type of behavior is typical for commonly used high-voltage diodes.

Passive Circuit Elements

11-81

i

t

FIGURE 11.74 Currents in diode with large minority carrier lifetimes after switching from the forward to the reverse direction.

i

t

FIGURE 11.75 Currents in diode with small minority carrier lifetimes after switching from the forward to the reverse direction.

In order to shorten the switching time, diodes sometimes are doped with gold or other deeplevel impurities to create more generation centers and to increase the carrier recombination. This way, the minority carrier lifetimes of such switching diodes are significantly reduced. The switching time is significantly shorter, but it is almost independent of the reverse-diode current after switching, as shown in Figure 11.75. This method of artificially increasing recombination rates has some severe disadvantages. Such switching diodes are characterized by very large reverse leakage currents and small breakdown voltages. The best switching diodes utilize metal–semiconductor contacts. They are known as Schottky diodes. In such diodes there is no minority carrier injection phenomenon; therefore, these diodes recover the blocking capability instantaneously. Schottky diodes are also characterized by a relatively small (0.2–0.3 V) voltage drop in the forward direction. However, their reverse leakage current is larger, and the breakdown voltage rarely exceeds 20–30 V. Lowering the impurity concentration in the

Fundamentals of Circuits and Filters

11-82

semiconductor material leads to slightly larger breakdown voltages, but at the same time, the series diode resistances increase significantly.

11.5.5 Temperature Properties Both forward and reverse diode characteristics are temperature dependent. These temperature properties are very important for correct circuit design. The temperature properties of the diode can be used to compensate for the thermal effects of electronic circuits. Diodes can be used also as accurate temperature sensors. The major temperature effect in a diode is caused by the strong temperature dependence of the intrinsic concentration ni (Equations 11.101 and 11.102) and by the exponential temperature relationship of the diode Equation 11.104. By combining Equations 11.101 and 11.104 and assuming the temperature dependence of carrier mobilities, the voltage drop on the forward-biased diode can be written as     i þ Vg v ¼ h VT ln jT a

(11:118)

   a (v=h)  Vg T0 T exp i ¼ I0 VT0 T T0

(11:119)

or diode current

where Vg is the potential gap in semiconductor material, Vg ¼ 1.124 V for silicon and Vg ¼ 1.424 V for GaAs a is a material coefficient ranging between 2.5 and 4.0 The temperature dependence of the diode voltage drop dv=dT can be obtained by calculating the derivative of Equation 11.118  dv v  h Vg þ aVT ¼ T dT

(11:120)

For example, in the case of the silicon diode with a 0.6 voltage drop and assuming h ¼ 1.1, a ¼ 3.0, and T ¼ 300 K, the dV=dT ¼ 1.87 mV=8C. The reverse-diode current is a very strong function of the temperature. For diodes made of semiconductor material with a small potential gap, such as germanium, the diffusion component dominates. In this case, the reverse current is proportional to irev

  qVg / T exp  kT a

(11:121)

For diodes made of silicon and semiconductors with a higher energy gap, the recombination is the dominant mechanism. In this case, reverse leakage current is proportional to   qVg irev / T a exp  2kT

(11:122)

Using Equation 11.122, one may calculate that for silicon diodes at room temperatures, the reverse leakage current doubles for about every 108C.

Passive Circuit Elements

11-83

The breakdown voltage is also temperature dependent. The tunneling effect dominates in diodes with small breakdown voltages. This effect is often known in literature as the Zener breakdown. In such diodes the breakdown voltage decreases with the temperature. The avalanche breakdown dominates in diodes with large breakdown voltages. When the avalanche mechanism prevails, then the breakdown voltage increases 0.06%=8C–0.1%=8C. For medium-range breakdown voltages, one phenomenon compensates the other, and temperature-independent breakdown voltage can be observed. This zero temperature coefficient exists for diodes with breakdown voltages equal to about 5Vg. In the case of the silicon diode, this breakdown voltage, with a zero temperature coefficient, is equal to about 5.6 V.

11.5.6 Piecewise Linear Model Nonlinear diode characteristics are often approximated by a piecewise linear model. A few possible approaches can be used to linearize the diode characteristics (see Figure 11.76). The parameters of the most accurate linearized diode model are shown in Figure 11.77a, and the linearized diode equivalent circuit is shown in Figure 11.77b. The modified diode Equation 11.108 also can be written as   i v ¼ hVT ln I0

i

(11:123)

i

v (a)

i

v

i

v

(b)

(c)

v (d)

FIGURE 11.76 Various ways to linearize diode characteristics.

i

rD = ηVT / IB + –

IB1

Vth0 = VB–ηVT

v Vth0 VB (a)

VT

(b)

FIGURE 11.77 Linearization of the diode: (a) diode characteristics and (b) equivalent diagram.

Fundamentals of Circuits and Filters

11-84

Anode

Cathode

Cathode

Photo diode

Anode

LED

Cathode

Cathode Tunnel diode

Anode

Cathode Schottky diode

Cathode

Cathode

Cathode

Zener diode

Anode

Anode

Anode

Anode

Anode Diode

Varicap

SCR

FIGURE 11.78 Symbols used for various types of diodes.

For the biasing points VB and IB, the small-signal diode resistance dv=di can be computed from Equation 11.123 as r¼

dv hVT ; Vth0 ¼ VB  hVT ¼ IB di

(11:124)

and it is only the function of the thermal potential VT and the biasing current IB. Note that the smallsignal diode resistance is almost independent of the diode construction or semiconductor material used. If one requires that this linearized diode have IB current for VB voltage, then the piecewise diode characteristics should be as in Figure 11.77a. The equivalent Thevenin and Norton circuits are shown in Figure 11.77b. In the case of large-signal operation, the diode can be approximated by shifting the characteristics to the left by DV. In this case, the threshold voltage becomes Vth0 ¼ VB  2VT instead of Vth0 ¼ VB  hVT.

References 1. A. S. Grove, Physics and Technology of Semiconductor Devices, New York: John Wiley & Sons, 1967. 2. S. M. Sze, Physics of Semiconductor Devices, 2nd edn., New York: John Wiley & Sons, 1981. 3. G. W. Neudeck, The PN Junction Diode, Vol. II: Modular Series on Solid-State Devices, Reading, MA: Addison-Wesley, 1983. 4. R. S. Muller and T. I. Kamins, Device Electronics for Integrated Circuits, 2nd edn., New York: John Wiley & Sons, 1986. 5. E. S. Yang, Microelectronic Devices, New York: McGraw-Hill, 1988. 6. B. G. Streetman, Solid State Electronic Devices, 3rd edn., Englewood Cliffs, NJ: Prentice Hall, 1990. 7. D. A. Neamen, Semiconductor Physics and Devices, Homewood, IL: Irwin, 1992.

12 RF Passive IC Components Tomas H. Lee Stanford University

Maria del Mar Hershenson Stanford University

Sunderarajan S. Mohan Stanford University

Hirad Samavati Stanford University

C. Patrick Yue Stanford University

12.1 12.2

Introduction ............................................................................ 12-1 Fractal Capacitors .................................................................. 12-1 Lateral Flux Capacitors Structures

12.3

.

Fractals

.

Fractal Capacitor

Spiral Inductors...................................................................... 12-8 Understanding Substrate Effects . Simple, Accurate Expressions for Planar Spiral Inductances

12.4

On-Chip Transformers....................................................... 12-14 Monolithic Transformer Realizations Transformer Models

.

Analytical

References .......................................................................................... 12-19

12.1 Introduction Passive energy storage elements are widely used in radio-frequency (RF) circuits. Although their impedance behavior often can be mimicked by compact active circuitry, it remains true that passive elements offer the largest dynamic range and the lowest power consumption. Hence, the highest performance will always be obtained with passive inductors and capacitors. Unfortunately, standard integrated circuit technology has not evolved with a focus on providing good passive elements. This chapter describes the limited palette of options available, as well as means to make the most use out of what is available.

12.2 Fractal Capacitors Of capacitors, the most commonly used are parallel-plate and MOS structures. Because of the thin gate oxides now in use, capacitors made out of MOSFETs have the highest capacitance density of any standard IC option, with a typical value of approximately 7 fF=mm2 for a gate oxide thickness of 5 nm. A drawback, however, is that the capacitance is voltage dependent. The applied potential must be well in excess of a threshold voltage in order to remain substantially constant. The relatively low breakdown voltage (on the order of 0.5 V=nm of oxide) also imposes an unwelcome constraint on allowable signal amplitudes. An additional drawback is the effective series resistance of such structures, due to the MOS channel resistance. This resistance is particularly objectionable at radio frequencies, since the impedance of the combination may be dominated by this resistive portion.

12-1

Fundamentals of Circuits and Filters

12-2

Capacitors that are free of bias restrictions (and that have much lower series resistance) may be formed out of two (or more) layers of standard interconnect metal. Such parallel-plate capacitors are quite linear and possess high breakdown voltage, but generally offer two orders of magnitude lower capacitance density than the MOSFET structure. This inferior density is the consequence of a conscious and continuing effort by technologists to keep low the capacitance between interconnect layers. Indeed, the vertical spacing between such layers generally does not scale from generation to generation. As a result, the disparity between MOSFET capacitance density and that of the parallel-plate structure continues to grow as technology scales. A secondary consequence of the low density is an objectionably high capacitance between the bottom plate of the capacitor and the substrate. This bottom-plate capacitance is often a large fraction of the main capacitance. Needless to say, this level of parasitic capacitance is highly undesirable. In many circuits, capacitors can occupy considerable area, and an area-efficient capacitor is therefore highly desirable. Recently, a high-density capacitor structure using lateral fringing and fractal geometries has been introduced [1]. It requires no additional processing steps, and so it can be built in standard digital processes. The linearity of this structure is similar to that of the conventional parallel-plate capacitor. Furthermore, the bottom-plate parasitic capacitance of the structure is small, which makes it appealing for many circuit applications. In addition, unlike conventional metal-to-metal capacitors, the density of a fractal capacitor increases with scaling.

12.2.1 Lateral Flux Capacitors Figure 12.1a shows a lateral flux capacitor. In this capacitor, the two terminals of the device are built using a single layer of metal, unlike a vertical flux capacitor, where two different metal layers must be used. As process technologies continue to scale, lateral fringing becomes more important. The lateral spacing of the metal layers, s, shrinks with scaling, yet the thickness of the metal layers, t, and the vertical spacing of the metal layers, tox, stay relatively constant. This means that structures utilizing lateral flux enjoy a significant improvement with process scaling, unlike conventional structures that depend on vertical flux. Figure 12.1b shows a scaled lateral flux capacitor. It is obvious that the capacitance of the structure of Figure 12.1b is larger than that of Figure 12.1a. Lateral flux can be used to increase the total capacitance obtained in a given area. Figure 12.2a is a standard parallel-plate capacitor. In Figure 12.2b, the plates are broken into cross-connected sections [2]. As can be seen, a higher capacitance density can be achieved by using lateral flux as well as vertical flux. To emphasize that the metal layers are cross connected, the two terminals of the capacitors in Figure 12.2b are identified with two different shadings. The idea can be extended to multiple metal layers as well. Figure 12.3 shows the ratio of metal thickness to minimum lateral spacing, t=s, versus channel length for various technologies [3–5]. The trend suggests that lateral flux will have a crucial role in the design of capacitors in future technologies.

s

t

(a)

FIGURE 12.1

(b)

Effect of scaling on lateral flux capacitors: (a) before scaling and (b) after scaling.

RF Passive IC Components

12-3

(a)

(b)

FIGURE 12.2

Vertical flux vs. lateral flux: (a) a standard parallel-plate structure and (b) cross-connected metal layers.

2.0

t/s

1.5

1.0 Trend 0.5

0.0 0.1

Data points

0.2

0.4 0.6 0.8 1.0 Technology (µm)

2.0

FIGURE 12.3 Ratio of metal thickness to horizontal metal spacing vs. technology (channel length).

The increase in capacitance due to fringing is proportional to the periphery of the structure; therefore, structures with large periphery per unit area are desirable. Methods for increasing this periphery are the subject of the following sections.

12.2.2 Fractals A fractal is a mathematical abstract [6]. Some fractals are visualizations of mathematical formulas, while others are the result of the repeated application of an algorithm, or a rule, to a seed. Many natural phenomena can be described by fractals. Examples include the shapes of mountain ranges, clouds, coastlines, etc. Some ideal fractals have finite area but infinite perimeter. The concept can be better understood with the help of an example. Koch islands are a family of fractals first introduced as a crude model for the shape of a

Fundamentals of Circuits and Filters

12-4

(a)

(b)

(c)

FIGURE 12.4

Construction of a Koch curve: (a) an initiator, (b) a generator, and (c) first step of the process.

FIGURE 12.5

A Koch island with M ¼ 4, N ¼ 32, and r ¼ 1=8.

coastline. The construction of a Koch curve begins with an initiator, as shown in the example of Figure 12.4a. A square is a simple initiator with M ¼ 4 sides. The construction continues by replacing each segment of the initiator with a curve called a generator, an example of which is shown in Figure 12.4b that has N ¼ 8 segments. The size of each segment of the generator is r ¼ 1=4 of the initiator. By recursively replacing each segment of the resulting curve with the generator, a fractal border is formed. The first step of this process is depicted in Figure 12.4c. The total area occupied remains constant throughout the succession of stages because of the particular shape of the generator. A more complicated Koch island can be seen in Figure 12.5. The associated initiator of this fractal has four sides and its generator has 32 segments. It can be noted that the curve is self-similar, that is, each section of it looks like the entire fractal. As we zoom in on Figure 12.5, more detail becomes visible, and this is the essence of a fractal. Fractal dimension, D, is a mathematical concept that is a measure of the complexity of a fractal. The dimension of a flat curve is a number between 1 and 2, which is given by D¼

log (N)  log 1r

(12:1)

RF Passive IC Components

12-5

where N is the number of segments of the generator r is the ratio of the generator segment size to the initiator segment size The dimension of a fractal curve is not restricted to integer values, hence, the term ‘‘fractal.’’ In particular, it exceeds 1, which is the intuitive dimension of curves. A curve that has a high degree of complexity, or D, fills out a two-dimensional flat surface more efficiently. The fractal in Figure 12.4c has a dimension of 1.5, whereas for the border line of Figure 12.5, D ¼ 1.667. For the general case where the initiator has M sides, the periphery of the initiator is proportional to the square root of the area: P0 ¼ k 

pffiffiffiffi A

(12:2)

where k is a proportionality constant that depends on the geometry pffiffiffiffiffi of the initiator. For example, for a square initiator, k ¼ 4; and for an equilateral triangle, k ¼ 2  4 27. After n successive applications of the generation rule, the total periphery is pffiffiffiffi P ¼ k A  (Nr)n

(12:3)

and the minimum feature size (the resolution) is pffiffiffiffi k A n r l¼ M

(12:4)

Eliminating n from Equations 12.3 and 12.4 and combining the result with Equation 12.1, we have pffiffiffiffi kD ( A)D P ¼ D1  D1 M l

(12:5)

Equation 12.5 demonstrates the dependence of the periphery on parameters such as the area and the resolution of the fractal border. It can be seen from Equation 12.5 that as l tend toward zero, the periphery goes to infinity; therefore, it is possible to generate fractal structures with very large perimeters in any given area. However, the total periphery of a fractal curve is limited by the attainable resolution in practical realizations.

12.2.3 Fractal Capacitor Structures The final shape of a fractal can be tailored to almost any form. The flexibility arises from the fact that a wide variety of geometries can be used as the initiator and generator. It is also possible to use different generators during each step. This is an advantage for integrated circuits where flexibility in the shape of the layout is desired. Figure 12.6 is a three-dimensional representation of a fractal capacitor. This capacitor uses only one metal layer with a fractal border. For a better visualization of the overall picture, the terminals of this square-shaped capacitor have been identified using two different shadings. As was discussed before, multiple cross-connected metal layers may be used to improve capacitance density further. One advantage of using lateral flux capacitors in general, and fractal capacitors in particular, is the reduction of the bottom-plate capacitance. This reduction is due to two reasons. First, the higher density of the fractal capacitor (compared to a standard parallel-plate structure) results in a smaller area. Second, some of the field lines originating from one of the bottom plates terminate on the adjacent plate, instead of the substrate, which further reduces the bottom-plate capacitance as shown in Figure 12.7. Because of

Fundamentals of Circuits and Filters

12-6

FIGURE 12.6

Three-dimensional representation of a fractal capacitor using a single metal layer.

First terminal

Second terminal

Second terminal

First terminal

Substrate

FIGURE 12.7

(a)

FIGURE 12.8

Reduction of the bottom-plate parasitic capacitance.

(b)

Fractal dimension of (a) is smaller than (b).

this property, some portion of the parasitic bottom-plate capacitor is converted into the more useful plate-to-plate capacitance. The capacitance per unit area of a fractal structure depends on the dimension of the fractal. To improve the density of the layout, fractals with large dimensions should be used. The concept of fractal dimension is demonstrated in Figure 12.8. The structure in Figure 12.8a has a lower dimension compared to the one in Figure 12.8b, so the density (capacitance per unit area) of the latter is higher.

RF Passive IC Components

12-7

20.0 D = 1.80 D = 1.63

Ctotal/Cparallel

15.0

Area = 24,000 µm2 10.0 Metal thickness = 0.8 µm 5.0

Fabricated fractal

2.3 0.0 0.1

0.6 1 Minimum horizontal spacing (µm)

10

FIGURE 12.9 Boost factor vs. horizontal spacing.

To demonstrate the dependence of capacitance density on dimension and lateral spacing of the metal layers, a first-order electromagnetic simulation was performed on two families of fractal structures. In Figure 12.9, the boost factor vs. horizontal spacing of the metal layers is plotted. The boost factor is defined as the ratio of the total capacitance of the fractal structure to the capacitance of a standard parallel-plate structure with the same area. The solid line corresponds to a family of fractals with a moderate fractal dimension of 1.63, while the dashed line represents another family of fractals with D ¼ 1.80, which is a relatively large value for the dimension. In this first-order simulation, it is assumed that the vertical spacing and the thickness of the metal layers are kept constant at a 0.8 mm level. As can be seen in Figure 12.9, the amount of boost is a strong function of the fractal dimension as well as scaling. In addition to the capacitance density, the quality factor, Q, is important in RF applications. Here, the degradation in quality factor is minimal because the fractal structure automatically limits the length of the thin metal sections to a few microns, keeping the series resistance reasonably small. For applications that require low series resistance, lower dimension fractals may be used. Fractals thus add one more degree of freedom to the design of capacitors, allowing the capacitance density to be traded for a lower series resistance. In current IC technologies, there is usually tighter control over the lateral spacing of metal layers compared with the vertical thickness of the oxide layers, from wafer to wafer and across the same wafer. Lateral flux capacitors shift the burden of matching away from oxide thickness to lithography. Therefore, by using lateral flux, matching characteristics can improve. Furthermore, the pseudorandom nature of the structure can also compensate, to some extent, the effects of nonuniformity of the etching process. To achieve accurate ratio matching, multiple copies of a unit cell should be used, as is standard practice in high-precision analog circuit design. Another simple way of increasing capacitance density is to use an interdigitated capacitor depicted in Figure 12.10 [2,7]. One disadvantage of such a structure compared to fractals is its inherent parasitic inductance. Most of the fractal geometries randomize the direction of the current flow and thus reduce the effective series inductance; whereas for interdigitated capacitors, the current flow is in the same direction for all the parallel stubs. In addition, fractals usually have lots of rough edges that accumulate electrostatic energy more efficiently compared to interdigitated capacitors, causing a boost in capacitance (generally of the order of 15%). Furthermore, interdigitated structures are more vulnerable to nonuniformity of the etching process. However, the relative simplicity of the interdigitated capacitor does make it useful in some applications.

Fundamentals of Circuits and Filters

12-8

FIGURE 12.10 An interdigitated capacitor.

Terminal 1 Metal

Terminal 2 Via

FIGURE 12.11 A woven structure.

The woven structure shown in Figure 12.11 may also be used to achieve high capacitance density. The vertical lines are in metal-2 and horizontal lines are in metal-1. The two terminals of the capacitor are identified using different shades. Compared to an interdigitated capacitor, a woven structure has much less inherent series inductance. The current flowing in different directions results in a higher selfresonant frequency. In addition, the series resistance contributed by vias is smaller than that of an interdigitated capacitor, because cross-connecting the metal layers can be done with greater ease. However, the capacitance density of a woven structure is smaller compared to an interdigitated capacitor with the same metal pitch, because the capacitance contributed by the vertical fields is smaller.

12.3 Spiral Inductors More than is so with capacitors, on-chip inductor options are particularly limited and unsatisfactory. Nevertheless, it is possible to build practical spiral inductors with values up to perhaps 20 nH and with Q values of approximately 10. For silicon-based RF ICs, Q degrades at high frequencies due to energy dissipation in the semiconducting substrate [8]. Additionally, noise coupling via the substrate at GHz frequencies has been reported [9]. As inductors occupy substantial chip area, they can potentially

RF Passive IC Components

12-9

be the source and receptor of detrimental noise coupling. Furthermore, the physical phenomena underlying the substrate effects are complicated to characterize. Therefore, decoupling the inductor from the substrate can enhance the overall performance by increasing Q, improving isolation, and simplifying modeling. Some approaches have been proposed to address the substrate issues; however, they are accompanied by drawbacks. Some [10] have suggested the use of high-resistivity (150–200 V-cm) silicon substrates to mimic the low-loss, semi-insulating GaAs substrate, but this is rarely a practical option. Another approach selectively removes the substrate by etching a pit under the inductor [11]. However, the etch adds extra processing cost and is not readily available. Moreover, it raises reliability concerns such as packaging yield and long-term mechanical stability. For low-cost integration of inductors, the solution to substrate problems should avoid increasing process complexity. In this section, we present the patterned ground shield (PGS) [12], which is compatible with standard silicon technologies, and which reduces the unwanted substrate effects. The great improvement provided by the PGS reduces the disparity in quality between spiral inductors made in silicon and GaAs IC technologies.

12.3.1 Understanding Substrate Effects To understand why the PGS should be effective, consider first the physical model of an ordinary inductor on silicon, with one port and the substrate grounded, as shown in Figure 12.12 [8]. An on-chip inductor is physically a three-port element including the substrate. The one-port connection shown in Figure 12.12 avoids unnecessary complexity in the following discussion and at the same time preserves the inductor characteristics. In the model, the series branch consists of Ls, Rs, and Cs. Ls represents the spiral inductance, which can be computed using the Greenhouse method [13] or well approximated by simple analytical formulas to be presented later. Rs is the metal series resistance whose behavior at RF is governed by the eddy current effect. This resistance accounts for the energy loss due to the skin effect in the spiral interconnect structure as well as the induced eddy current in any conductive media close to the inductor. The series feedforward capacitance, Cs, accounts for the capacitance due to the overlaps between the spiral and the center-tap underpass [14]. The effect of the interturn fringing capacitance is usually small because the adjacent turns are almost at equal potentials, and therefore it is neglected in this model. The overlap capacitance is more significant because of the relatively large potential difference between the spiral and the center-tap underpass. The parasitics in the shunt branch are modeled by Cox, CSi, and RSi. Cox represents the oxide capacitance between the spiral and the substrate. The silicon substrate capacitance and resistance are modeled by CSi and RSi, respectively [15,16]. The element RSi accounts for the energy dissipation in the silicon substrate.

Cox

Rs

Cs Ls RSi

CSi

FIGURE 12.12 Lumped physical model of a spiral inductor on silicon.

Fundamentals of Circuits and Filters

12-10

Expressions for the model element values are as follows: Rs ¼

rl  t dw 1  ed

Cs ¼ nw2  Cox ¼

eox toxM1M2

eox lw 2tox

1 CSi ¼  l  w  Csub 2 RSi ¼

2 l  w  Gsub

(12:6)

(12:7) (12:8)

(12:9) (12:10)

where r is the DC resistivity of the spiral t is the overall length of the spiral windings w is the line width d is the skin depth n is the number of crossovers between the spiral and center-tap (and thus n ¼ N  1, where N is the number of turns) toxM1–M2 is the oxide thickness between the spiral and substrate Csub is the substrate capacitance per unit area Gsub is the substrate conductance per unit area In general, one treats Csub and Gsub as fitting parameters. Exploration with the model reveals that the substrate loss stems primarily from the penetration of the electric field into the lossy silicon substrate. As the potential drop in the semiconductor (i.e., across RSi in Figure 12.12) increases with frequency, the energy dissipation in the substrate becomes more severe. It can be seen that increasing Rp to infinity reduces the substrate loss. It can be demonstrated that Rp approaches infinity as RSi goes either to zero or infinity. This observation implies that Q can be improved by making the silicon substrate either a perfect insulator or a perfect conductor. Using high-resistivity silicon (or etching it away) is equivalent to making the substrate an open circuit. In the absence of the freedom to do so, the next best option is to convert the substrate into a better conductor. The approach is to insert a ground plane to block the inductor electric field from entering the silicon. In effect, this ground plane becomes a pseudosubstrate with the desired characteristics. The ground shield cannot be a solid conductor, however, because image currents would be induced in it. These image currents tend to cancel the magnetic field of the inductor proper, decreasing the inductance. To solve this problem, the ground shield is patterned with slots orthogonal to the spiral as illustrated in Figure 12.13. The slots act as an open circuit to cut off the path of the induced loop current. The slots should be sufficiently narrow such that the vertical electric field cannot leak through the patterned ground shield into the underlying silicon substrate. With the slots etched away, the ground strips serve as the termination for the electric field. The ground strips are merged together around the four outer edges of the spiral. The separation between the merged area and the edges is not critical. However, it is crucial that the merged area does not form a closed ring around the spiral since it can potentially support the unwanted loop current. The shield should be strapped with the top layer metal to provide a low-impedance path to ground. The general rule is to prevent negative mutual coupling while minimizing the impedance to ground.

RF Passive IC Components

12-11

The shield resistance is another critical design parameter. The purpose of the patterned ground shield is to provide a good short to ground for the electric field. Because the finite shield resistance contributes to energy loss of the inductor, it must be kept small. Specifically, by keeping the shield resistance small compared to the reactance of the oxide capacitance, the voltage drop that can develop across the shield resistance is very small. As a result, the energy loss due to the shield resistance is insignificant compared to other losses. A typical on-chip spiral inductor has parasitic oxide capacitance between 0.25 and 1 pF, depending on the size and the oxide thickness. The corresponding reactance due to the oxide capacitance at 1–2 GHz is of the order of 100 V and hence a shield resistance of a few ohms is sufficiently small not to cause any noticeGround strips Slots between strips able loss. With the PGS, one can expect typical improveFIGURE 12.13 A close-up photo of the patterned ments in Q ranging from 10% to 33%, in the freground shield. quency range of 1–2 GHz. Note that the inclusion of the ground shields increases Cp, which causes a fast roll-off in Q above the peak-Q frequency and a reduction in the self-resonant frequency. This modest improvement in inductor Q is certainly welcome, but is hardly spectacular by itself. However, a more dramatic improvement is evident when evaluating inductor–capacitor resonant circuits. Such LC tank circuits can absorb the parasitic capacitance of the ground shield. The energy stored in such parasitic elements is now part of the circuit; therefore, the overall circuit Q is greatly increased. Improvements of factors of approximately two are not unusual, so that tank circuits realized with PGS inductors possess roughly the same Q as those built in GaAs technologies. As stated earlier, substrate noise coupling can be an issue of great concern owing to the relatively large size of typical inductors. Shielding by the PGS improves isolation by 25 dB or more at GHz frequencies. It should be noted that, as with any other isolation structure (such as a guard ring), the efficacy of the PGS is highly dependent on the integrity of the ground connection. One must often make a trade-off between the desired isolation level and the chip area that is required to provide a low-impedance ground connection.

12.3.2 Simple, Accurate Expressions for Planar Spiral Inductances In Section 12.3.1, a physically based model for planar spiral inductors was offered, and reference was made to the Greenhouse method as a means for computing the inductance value. This method uses as computational atoms the self- and mutual inductances of parallel current strips. It is relatively straightforward to apply, and yields accurate results. Nevertheless, simpler analytic formulas are generally preferred for design since important insights are usually more readily obtained. As a specific example, square spirals are popular mainly because of their ease of layout. Other polygonal spirals have also been used to improve performance by more closely approximating a circular spiral. However, a quantitative evaluation of possible improvements is cumbersome without analytical formulas for inductance. Among alternative shapes, hexagonal and octagonal inductors are used widely. Figures 12.14 through 12.16 show the layout for square, hexagonal, and octagonal inductors, respectively. For a given shape, an inductor is completely specified by the number of turns n, the turn width w, the turn spacing s,

Fundamentals of Circuits and Filters

12-12

and any one of the following: the outer diameter dout, the inner diameter din, the average diameter davg ¼ 0.5(dout þ din), or the fill ratio, defined as r ¼ (dout  din)=(dout þ din). The thickness of the inductor has only a very small effect on inductance and will therefore be ignored here. din We now present three approximate expressions dout for the inductance of square, hexagonal, and octagonal planar inductors. The first approximation is based on a modification of an expression developed by Wheeler [17]; the second is derived from electromagnetic principles by approximats ing the sides of the spirals as current sheets; and the third is a monomial expression derived from fitting to a large database of inductors (whose FIGURE 12.14 Square inductor. exact inductance values are obtained from a three-dimensional electromagnetic field solver). All three expressions are accurate, with typical errors of 2% to 3%, and very simple, and are therefore excellent candidates for use in design and optimization. w

12.3.2.1 Modified Wheeler Formula Wheeler [17] presented several formulas for planar spiral inductors, which were intended for discrete inductors. A simple modification of the original Wheeler formula allows us to obtain an expression that is valid for planar spiral integrated inductors: Lmw ¼ K1 m0

n2 davg 1 þ K2 r

(12:11)

where r is the fill ratio defined previously K1 and K2 are coefficients and are layout dependent, shown in Table 12.1

w s

din

dout

FIGURE 12.15 Hexagonal inductor.

RF Passive IC Components

12-13

w s

din

dout

FIGURE 12.16 Octagonal inductor.

TABLE 12.1 Coefficients for Modified Wheeler Formula Layout

K1

K2

Square

2.34

2.75

Hexagonal

2.33

3.82

Octagonal

2.25

3.55

The fill factor r represents how hollow the inductor is: for small r we have a hollow inductor (dout ffi din), and for a large r we have a filled inductor (dout >> din). Two inductors with the same average diameter but different fill ratios will, of course, have different inductance values; the filled one has a smaller inductance because its inner turns are closer to the center of the spiral, and so contribute less positive mutual inductance and more negative mutual inductance. Some degree of hollowness is generally desired because the innermost turns contribute little overall inductance, but significant resistance. 12.3.2.2 Expression Based on Current Sheet Approximation Another simple and accurate expression for the inductance of a planar spiral can be obtained by approximating the sides of the spirals by symmetrical current sheets of equivalent current densities [18]. For example, in the case of the square, we obtain four identical current sheets: the current sheets on opposite sides are parallel to one another, whereas the adjacent ones are orthogonal. Using symmetry and the fact that sheets with orthogonal current sheets have zero mutual inductance, the computation of the inductance is now reduced to evaluating the self-inductance of one sheet and the mutual inductance between opposite current sheets. These self- and mutual inductances are evaluated using the concepts of geometric mean distance (GMD) and arithmetic mean distance (AMD) [18,19]. The resulting expression is LGMD ¼

mn2 davg ðc1 ðlog c2 =rÞ þ c3 rÞ p

where the coefficients ci are layout dependent and are listed in Table 12.2.

(12:12)

Fundamentals of Circuits and Filters

12-14

TABLE 12.2 Coefficients for Current-Sheet Inductance Formula

TABLE 12.3

Layout

c1

c2

c3

Square

2.00

2.00

0.54

Hexagonal

1.83

1.71

0.45

Octagonal

1.87

1.68

0.60

Coefficients for Monomial Inductance Formula a1

a2

a3

a4

a5

3

1.33

0.13

2.50

1.83

0.022

Hexagonal

3

1.33 3 10

1.46

0.16

2.67

1.80

0.030

Octagonal

1.34 3 103

1.35

0.15

2.56

1.77

0.032

Layout Square

b 1.66 3 10

A detailed derivation of these formulas can be found in Ref. [20]. Because this formula is based on a current sheet approximation, its accuracy worsens as the ratio s=w becomes large. In practice, this is not a problem because practical integrated spiral inductors are built with s < w. The reason is that a smaller spacing improves the interwinding magnetic coupling and reduces the area consumed by the spiral. A large spacing is only desired to reduce the interwinding capacitance. This is rarely a concern as this capacitance is always dwarfed by the underpass capacitance [8]. 12.3.2.3 Data-Fitted Monomial Expression Our final expression is based on a data-fitting technique, in which a population of thousands of inductors are simulated with an electromagnetic field solver. The inductors span the entire range of values of relevance to RF circuits. A monomial expression is then fitted to the data, which ultimately yields a1 a2 a3 a4 a5 w davg n s Lmon ¼ bdavg

(12:13)

where the coefficients b and ai are layout dependent, and given in Table 12.3. Of course, it is also possible to use other data-fitting techniques; for example, one which minimizes the maximum error of the fit, or one in which the coefficients must satisfy given inequalities or bounds. The monomial expression is useful because, similar to the other expressions, it is very accurate and very simple. Its real value, however, is that it can be used for the optimal design of inductors and circuits containing inductors, using geometric programming, which is a type of optimization method that requires monomial models [21,22]. Figure 12.17 shows the absolute error distributions of these expressions. The plots show that typical errors are in the 1%–2% range, and most of the errors are below 3%. These expressions for inductance, while quite simple, are thus sufficiently accurate that field solvers are rarely necessary. These expressions can be included in a physical, scalable lumped-circuit model for spiral inductors where, in addition to providing design insight, they allow efficient optimization schemes to be employed.

12.4 On-Chip Transformers Transformers are important elements in RF circuits for impedance conversion, impedance matching, and bandwidth enhancement. Here, we present an analytical model for monolithic transformers that is suitable for circuit simulation and design optimization. We also provide simple expressions for calculating the mutual coupling coefficient (k).

RF Passive IC Components

12-15

% Inductors beyond specified error

100

Current sheet Modified Wheeler Monomial fit

80

60

40

20

0

0

2

4 6 % Absolute error

8

10

FIGURE 12.17 Error distribution for three formulas, compared with field solver simulations.

We first discuss different on-chip transformers and their advantages and disadvantages. We then present an analytical model along with expressions for the elements in it and the mutual coupling coefficient.

12.4.1 Monolithic Transformer Realizations Figures 12.18 through 12.23 illustrate common configurations of monolithic transformers. The different realizations offer varying trade-offs among the self-inductance and series resistance of each port, the

Inner spiral

Outer spiral

FIGURE 12.18 Tapped transformer.

Primary

FIGURE 12.19 Interleaved transformer.

Secondary

Fundamentals of Circuits and Filters

12-16

xs

FIGURE 12.20 Stacked transformer with top spiral overlapping the bottom one.

Bottom metal

Top metal

FIGURE 12.21 Stacked transformer with top and bottom spirals laterally shifted.

Port1

ds Rso

Coxo

Covo Lo

Li

xs

ys

FIGURE 12.22 Stacked transformer with top and bottom spirals diagonally shifted.

M

Port2

Coxi

Rsi

FIGURE 12.23 Tapped transformer model.

mutual coupling coefficient, the port-to-port and port-to-substrate capacitances, resonant frequencies, symmetry, and area. The models and coupling expressions allow these trade-offs to be systematically explored, thereby permitting transformers to be customized for a variety of circuit design requirements. The characteristics desired of a transformer are application dependent. Transformers can be configured as three- or four-terminal devices. They may be used for narrowband or broadband applications. For example, in single-sided to differential conversion, the transformer might be used as a fourterminal narrowband device. In this case, a high mutual coupling coefficient and high self-inductance are desired, along with low series resistance. On the other hand, for bandwidth extension applications,

RF Passive IC Components

12-17

the transformer is used as a broadband three-terminal device. In this case, a small mutual coupling coefficient and high series resistance are acceptable, while all capacitances need to be minimized [23]. The tapped transformer (Figure 12.18) is best suited for three-port applications. It permits a variety of tapping ratios to be realized. This transformer relies only on lateral magnetic coupling. All windings can be implemented with the top metal layer, thereby minimizing port-to-substrate capacitances. Because the two inductors occupy separate regions, the self-inductance is maximized while the portto-port capacitance is minimized. Unfortunately, this spatial separation also leads to low mutual coupling (k ¼ 0.3–0.5). The interleaved transformer (Figure 12.19) is best suited for four-port applications that demand symmetry. Once again, capacitances can be minimized by implementing the spirals with top level metal so that high resonant frequencies may be realized. The interleaving of the two inductances permits moderate coupling (k ¼ 0.7) to be achieved at the cost of reduced self-inductance. This coupling may be increased at the cost of higher series resistance by reducing the turn width (w) and spacing (s). The stacked transformer (Figure 12.20) uses multiple metal layers and exploits both vertical and lateral magnetic coupling to provide the best area efficiency, the highest self-inductance, and highest coupling (k ¼ 0.9). This configuration is suitable for both three- and four-terminal configurations. The main drawback is the high port-to-port capacitance, or equivalently a low self-resonant frequency. In some cases, such as narrowband impedance transformers, this capacitance may be incorporated as part of the resonant circuit. Also, in multilevel processes, the capacitance can be reduced by increasing the oxide thickness between spirals. For example, in a five-metal process, 50%–70% reductions in portto-port capacitance can be achieved by implementing the spirals on layers five and three instead of five and four. The increased vertical separation will reduce k by less than 5%. One can also trade off reduced coupling for reduced capacitance by displacing the centers of the stacked inductors (Figures 12.21 and 12.22).

Port1 Rst Coxt Lt M

12.4.2 Analytical Transformer Models Figures 12.23 and 12.24 present the circuit models for tapped and stacked transformers, respectively. The corresponding element values for the tapped transformer model are given by the following equations (subscript o refers to the outer spiral, i to the inner spiral, and T to the whole spiral):

Cov Lb

LT ¼

9:375m0 n2T ADT 2 11ODT  7ADT

(12:14)

Lo ¼

9:375m0 n2o ADo 2 11ODo  7ADo

(12:15)

Li ¼

9:375m0 n2i ADi 2 11ODi  7ADi

(12:16)



LT  Lo  Li pffiffiffiffiffiffiffiffiffi 2 Lo Li

(12:17)

Rso ¼

rl  o t  dw 1  e d

(12:18)

Coxm

Rsb Port2

Coxb

FIGURE 12.24 Stacked transformer model.

Fundamentals of Circuits and Filters

12-18

Rsi ¼ Covo ¼

rli  t dw 1  ed eox tox,tb

(12:19)

 (no  1)w2

(12:20)

Coxo ¼

eox  lo w 2tox

(12:21)

Coxi ¼

eox  (lo þ li )w 2tox

(12:22)

where r is the DC metal resistivity d is the skin depth tox,t–b is the oxide thickness from top level metal to bottom metal n is the number of turns OD, AD, and ID are the outer, average, and inner diameters, respectively l is the length of the spiral w is the turn width t is the metal thickness A is the area Expressions for the stacked transformer model are as follows (subscript ‘‘t’’ refers to the top spiral and b to the bottom spiral):

Lt ¼

9:375m0 n2 AD2 11ODT  7ADT

Lb ¼ Lt

(12:23) (12:24)

ds AD pffiffiffiffiffiffiffiffiffi M ¼ k Lt Lb k ¼ 0:9 

(12:25) (12:26)

Rst ¼

rl  t  tt d t w 1  e d t

(12:27)

Rsb ¼

r l  b t  b d b w 1  e db

(12:28)

Cov ¼

eox 2tox,tb

lw

Aov A

(12:29)

Coxt ¼

eox A  Aov lw 2toxt A

(12:30)

Coxb ¼

eox lw 2tox

(12:31)

Coxm ¼ Coxt þ Coxb

(12:32)

RF Passive IC Components

12-19

where toxt is the oxide thickness from top metal to the substrate toxb is the oxide thickness from bottom metal to substrate k is the coupling coefficient Aov is the overlap area of the two spirals ds is the center-to-center spiral distance The expressions for the series resistances (Rso, Rsi, Rst, and Rsb), the port-substrate capacitances (Coxo, Coxi, Coxt, Coxb, and Coxm), and the crossover capacitances (Covo, Covi, and Cov) are taken from Ref. [8]. Note that the model accounts for the increase in series resistance with frequency due to skin effect. Patterned ground shields (PGS) are placed beneath the transformers to isolate them from resistive and capacitive coupling to the substrate [12]. As a result, the substrate parasitics can be neglected. The inductance expressions in the foregoing are based on the modified Wheeler formula discussed earlier [24]. This formula does not take into account the variation in inductance due to conductor thickness and frequency. However, in practical inductor and transformer realizations, the thickness is small compared to the lateral dimensions of the coil and has only a small impact on the inductance. For typical conductor thickness variations (0.5–2.0 mm), the change in inductance is within a few percent for practical inductor geometries. The inductance also changes with frequency due to changes in current distribution within the conductor. However, over the useful frequency range of a spiral, this variation is negligible [12]. When compared with field solver simulations, the inductance expression exhibits a maximum error of 8% over a broad design space (outer diameter OD varying from 100 to 480 mm, L varying from 0.5 to 100 nH, w varying from 2 mm to 0.3 OD, s varying from 2 mm to w, and inner diameter ID varying from 0.2 to 0.8OD). For the tapped transformer, the mutual inductance is determined by first calculating the inductance of the whole spiral (LT), the inductance of the outer spiral (Lo), the inductance of the inner spiral (Li), and then using the expression M ¼ (LT  Lo  Li)=2. For the stacked transformer, the spirals have identical lateral geometries and therefore identical inductances. In this case, the mutual inductance is determined by first calculating the inductance of one spiral (LT), the coupling coefficient (k) and then using the expression M ¼ kLT. In this last case, the coupling coefficient is given by k ¼ 0.9  ds=(AD) for ds < 0.7AD, where ds is the center-to-center spiral distance and AD is the average diameter of the spirals. As ds increases beyond 0.7AD, the mutual coupling coefficient becomes harder to model. Eventually, k crosses zero and reaches a minimum value of approximately 0.1 at ds ¼ AD. As ds increases further, k asymptotically approaches zero. At ds ¼ 2AD, k ¼ 0.02, indicating that the magnetic coupling between closely spaced spirals is negligible. The self-inductances, series resistances, and mutual inductances are independent of whether a transformer is used as a three- or four-terminal device. The only elements that require recomputation are the port-to-port and port-to-substrate capacitances. This situation is analogous to that of a spiral inductor being used as a single- or dual-terminal device. As with the inductance formulas, the transformer models obviate the need for full field solutions in all but very rare instances, allowing rapid design and optimization.

References 1. Samavati, H. et al., Fractal capacitors, 1998 IEEE ISSCC Digest of Technical Papers, Feb. 1998. 2. Akcasu, O. E., High capacitance structures in a semiconductor device, U.S. Patent 5208725, May 1993. 3. Bohr, M., Interconnect scaling—The real limiter to high performance VLSI, International Electron Devices Meeting Technical Digest, 241–244, 1995. 4. Bohr, M. et al., A high performance 0.25 mm logic technology optimized for 1.8V operation, International Electron Devices Meeting Technical Digest, 847–850, 1996.

12-20

Fundamentals of Circuits and Filters

5. Venkatesan, S. et al., A high performance 1.8 V, 0.20 mm CMOS technology with copper metallization, International Electron Devices Meeting Technical Digest, 769–772, 1997. 6. Mandelbrot, B. B., The Fractal Geometry of Nature, W.H. Freeman, New York, 1983. 7. Pettenpaul, E. et al., Models of lumped elements on GaAs up to 18 GHz, IEEE Transactions on Microwave Theory and Techniques, 36(2), 294–304, Feb. 1988. 8. Yue, C. P., Ryu, C., Lau, J., Lee, T. H., and Wong, S. S., A physical model for planar spiral inductors on silicon, International Electron Devices Meeting Technical Digest, 155–158, Dec. 1996. 9. Pfost, M., Rein, H.-M., and Holzwarth, T., Modeling substrate effects in the design of high speed Si-bipolar IC’s, IEEE Journal of Solid-State Circuits, 31(10), 1493–1501, Oct. 1996. 10. Ashby, K. B., Koullias, I. A., Finley, W. C., Bastek, J. J., and Moinian, S., High Q inductors for wireless applications in a complementary silicon bipolar process, IEEE Journal of Solid-State Circuits, 31(1), 4–9, Jan. 1996. 11. Chang, J. Y.-C., Abidi, A. A., and Gaitan, M., Large suspended inductors on silicon and their use in a 2 mm CMOS RF amplifier, IEEE Electron Device Letters, 14(5), 246–248, May 1993. 12. Yue, C. P. et al., On-chip spiral inductors with patterned ground shields for Si-based RF ICs, IEEE Journal of Solid-State Circuits, 33, 743–752, May 1998. 13. Greenhouse, H. M., Design of planar rectangular microelectronic inductors, IEEE Transactions on Parts, Hybrids, and Packing, PHP-10(2), 101–109, June 1974. 14. Wiemer, L. and Jansen, R. H., Determination of coupling capacitance of underpasses, air bridges and crossings in MICs and MMICs, Electronics Letters, 23(7), 344–346, Mar. 1987. 15. Ho, I. T. and Mullick, S. K., Analysis of transmission lines on integrated-circuit chips, IEEE Journal of Solid-State Circuits, SC-2(4), 201–208, Dec. 1967. 16. Hasegawa, H., Furukawa, M., and Yanai, H., Properties of microstrip line on Si-SiO2 system, IEEE Transactions on Microwave Theory and Techniques, MTT-19(11), 869–881, Nov. 1971. 17. Wheeler, H. A., Simple inductance formulas for radio coils, Proceedings of the IRE, 16(10), 1398–1400, October 1928. 18. Rosa, E. B., Calculation of the self-inductances of single-layer coils, Bulletin of the Bureau of Standards, 2(2), 161–187, 1906. 19. Maxwell, J. C., A Treatise on Electricity and Magnetism, 3rd edn., Mineola, New York, 1967. 20. Mohan, S. S., Formulas for planar spiral inductances, Technical Report, IC Laboratory, Stanford University, Palo Alto, California, Aug. 1998, http:==www-smirc.stanford.edu. 21. Boyd, S. and Vandenberghe, L., Introduction to convex optimization with engineering applications, Course Notes, 1997, http:==www-leland.stanford.edu=class=ee364=. 22. Hershenson, M., Boyd, S. P., and Lee, T. H., GPCAD: A tool for CMOS op-amp synthesis, in Digest of Technical Papers, IEEE International Conference on Computer-Aided Design, San Jose, California, Nov. 1998. 23. Lee, T. H., The Design of CMOS Radio-Frequency Integrated Circuits, Cambridge University Press, Cambridge, 1998. 24. Wheeler, H. A., Simple inductance formulas for radio coils, Proceedings of the IRE, 16(10), 1398–1400, Oct. 1928.

13 Circuit Elements, Modeling, and Equation Formulation 13.1 13.2 13.3

Lumped Circuit Approximation ........................................ 13-1 Circuit Elements and Connecting Multiport .................. 13-1 Characterizations of Circuit Elements .............................. 13-3 Formal Methods of Characterization . Resistive Elements . Reactive Elements . Memristive Elements . Dynamic Models

Josef A. Nossek

Technical University of Munich

13.4 Connecting Multiport......................................................... 13-10 13.5 Tableau Formulation........................................................... 13-11 References .......................................................................................... 13-11

13.1 Lumped Circuit Approximation Most texts on circuits, whether they deal with linear or nonlinear circuits, consider only lumped circuits. If this is not the case, such is normally stated explicitly (see, e.g., Section III, in Feedback, Nonlinear, and Distributed Circuits). A physical circuit is considered to be a lumped circuit, if its size is small enough that for the situation under discussion, electromagnetic waves propagate across the circuit virtually instantaneously. If this is satisfied, voltages across ports and currents through terminals are well defined and, therefore, well suited to describe and analyze the behavior of a circuit. To check whether an actual circuit is lumped or not, the largest extension d of the circuit in any spatial dimension is compared to the shortest wavelength l of interest or with the shortest time interval t of interest. If d  l ¼ c=f ,

d  tc

(13:1)

is fulfilled, the circuit is lumped. In Equation 13.1, c is the propagation velocity of electromagnetic waves in the medium under consideration, and f is the frequency corresponding to the wavelength l as well as to the period t.

13.2 Circuit Elements and Connecting Multiport It is obvious that a nonlinear circuit is described by a set of nonlinear equations, which, generally speaking, can be solved only approximately. Moreover, we may not find a unique solution, but a set of different solutions. Because of this complicated situation (compared to the simple solution of a linear

13-1

Fundamentals of Circuits and Filters

13-2

circuit), it is even more important here to exploit the structure of the equations that reflect the structural properties of the circuit. The most important step in this direction is to partition the circuit into two parts: one containing all circuits elements separately and one containing the interconnections thereof only. The latter is called the connecting multiport (CMP). This partitioning is demonstrated with a real circuit in Figure 13.1; it is obvious that this partitioning is completely independent of the nature of the utilized circuit elements: linear or nonlinear, two-terminal or multiterminal, time-variant, time-invariant, passive or active, and so forth. The equations that describe the CMP are merely Kirchhoff’s current and voltage laws (KCL, KVL) (Section 13.4), which are, of course, linear, while nonlinearities show up in the description of the circuit elements. First, the circuit element is described, and then some details of the CMP are discussed.

1

2

3

4

5

i1 + –

v1

vs

i2 R1

v2 i3

D1

v3 i4

D2

v4 i5

R2

v5 i6 – +

v6 i7

R2 D1 3 R1

1 + –

vs

v7

D2 4

2

– +

RL OA

i8 vL

v8

RL

vL

5 (a)

FIGURE 13.1

(b)

CMP

(a) A nonlinear circuit conventionally drawn. (b) Partitioning into CMP and circuit elements.

Circuit Elements, Modeling, and Equation Formulation

13-3

13.3 Characterizations of Circuit Elements This section characterizes a circuit element, two-terminal or multiterminal, with algebraic equations. This necessitates a proper choice of variables. Because of the algebraic nature of this discussion, differential or integral operators cannot be used. Let us begin with a formal discussion, using variables x and y without elaborating on their physical meaning. Later, these methods are applied to specific circuit elements of practical relevance, and voltages, currents, charges, and fluxes are utilized instead of x and y.

13.3.1 Formal Methods of Characterization A relation between variables x and y can be given in an implicit form ^  R  R, x 2 R, y 2 R

^ ¼ {(x, y)jf (x, y) ¼ 0}

(13:2)

Here, ^ is the characteristic of a two-terminal device which is described by a single implicit equation, f(x, y) ¼ 0. Note that this equation is not unique. Various equivalent forms of f(x, y) ¼ 0, exist which look quite different, but define the same one-port: g(x, y) ¼ ef (x,y)  1 ¼ 0 , f (x, y) ¼ 0

(13:3)

The two functions f and g are quite different, but the tuples (x, y) defined by them constitute the same set ^. A simple example for such an implicit description is f (x, y) ¼ (y=y0 )  arctan(x=x0 ) ¼ 0

(13:4)

the plot of which in the (x, y)-plane is shown in Figure 13.2. An alternative to the aforementioned implicit form is a parametric description, in which we use an additional parameter l 2 R to express the port variables as functions of this parameter: x ¼ fx (l),

y ¼ fy (l)

(13:5a)

with every tuple   (x, y) ¼ fx (l), fy (l) 2 ^

(13:5b)

y f

y0

x0

FIGURE 13.2 Plot of the one-port characteristic (Figure 13.1).

x

Fundamentals of Circuits and Filters

13-4

being an admissible element of ^. Using Equation 13.4 with l ¼ y=y0 we have x=x0 ¼ tan l and, therefore, x ¼ fx (l) ¼ x0 tan l,

y ¼ fy (l) ¼ y0 l, l 2 (p=2,p=2)

(13:6)

Parameterized descriptions are also not unique, but if the parameter is properly chosen (so that fx and fy are continuous and differentiable), they are quite advantageous to work with. The most favorable description in practical applications is explicit, but it exists only if the relation (Equation 13.2) is unique in at least one of the variables x or y. If y is a function of x (or vice versa), we write y ¼ f (x),

x ¼ g(y)

(13:7)

For this example, both explicit versions do exist: y ¼ y0 arctanðx=x0 Þ,

x ¼ x0 tanð y=y0 Þ, y 2 ðy0 p=2, y0 p=2Þ

(13:8)

All these descriptive methods can be extended in a straightforward manner to the multiterminal case by simply replacing the scalars x and y by vectors x and y and the functions f and g by vectors of functions f and g. The formal approach is applied to actual circuit elements in the following section.

13.3.2 Resistive Elements A resistive element is, by definition, uniquely characterized by one of the aforementioned algebraic descriptions, where x and y are replaced by voltage, v, and current, i. This relation may depend on time, t (time-variant circuit element), but not on the history of the variables v and i. Many important circuit elements can be modeled resistively, as far as their main property is concerned. This is true for most semiconductor devices such as diodes, bipolar transistors, field effect transistors, operational amplifiers (op-amps), and so forth. This section concentrates on the main effect, leaving a more detailed description, including parasitics, to later sections. An example of a time-variant (nonautonomous) resistive one-port is given in Figure 13.3 ^(t) ¼ f(v(t), i(t))ji(t) ¼ Is ðexpðv(t)=VT Þ  1Þ  iL (t)g

(13:9)

Using a reverse saturation current Is ¼ 10 mA and a thermal voltage VT ¼ 25 mV the individual characteristics in Figure 13.3b are obtained, illustrating the nonautonomous nature of the device with i iL(t0) = 0 iL(t1) = 15 μ A i

(a)

FIGURE 13.3

10 μ A

iL(t2) = 30 μ A

v

v (b)

(a) Device symbol of a photodiode. (b) Device i–v characteristic.

Circuit Elements, Modeling, and Equation Formulation

13-5

the photocurrent iL as the controlling parameter, i which itself depends on the light intensity, which is assumed to be time dependent. An ordinary pn-junction diode is nothing more i than a special case of Equation 13.9, i.e., iL ¼ 0. v Given the device characteristic in graphical form v such as Figure 13.3b, which may be the summary of a set of measurements, it is easy to check as to whether explicit descriptions i ¼ g(u) or u ¼ f(i) (a) (b) exist or not. A simple example of a device for which i ¼ g(u) does exist, but g does not have an FIGURE 13.4 (a) Symbol and (b) v–i characteristic of inverse, is the tunnel diode (Figure 13.4). a tunnel diode. Many more nonlinear resistive one-ports or models thereof can be found, but the basic concept is always the same. Therefore, we proceed with an important example of a multiterminal device, the transistor. Again, we have a multitude of various transistors [bipolar npn and pnp, unipolar field-effect insulated gate transistors (MOSFET) and junction type (JFET), n- and p-channel, enhancement and depletion, etc.]. Here, we demonstrate only the basic idea of a resistive two-port (or three-terminal emitter, collector, base) model of a bipolar npn transistor. Many more details are given in Section II of Feedback, Nonlinear, and Distributed Circuits. The so-called Ebers–Moll equations describe a bipolar npn transistor: ie ¼ Ies ðexpðveb =VT Þ  1Þ þ aR Ics ðexpðvcb =VT Þ  1Þ ¼ i1 þ aR i2 ic ¼ aF Ies ðexpðveb =VT Þ  1Þ  Ics ðexpðvcb =VT Þ  1Þ ¼ aF i1  i2

(13:10)

which is an explicit two-port description i ¼ f(v)

(13:11)

with i i¼ e ic



v v ¼ eb vcb



using the base b as the common terminal. aF and aR are the forward and reverse current gain of the transistor in common base configuration as shown in Figure 13.5. As with any device having more than two terminals, the device characteristics cannot be represented simply as a curve in a plane. In general it is a hypersurface in a multidimensional space. Especially in the

e

ic

ie

c

e

(a)

b

ic

veb

vcb (b)

c

i2

i1

ib veb

ie

αRi2

αF i1

vcb

b

FIGURE 13.5 (a) Symbol and (b) equivalent circuit with two linear CCCSs and two diodes exactly representing Ebers–Moll equation (Equation 13.10).

Fundamentals of Circuits and Filters

13-6

case of the three-terminal transistor, it is a two-dimensional surface in four-dimensional space. Because this is not easy to visualize, it is normally split into two three-dimensional representations, which commonly are given as follows: ib ¼ f1 ðvbe , vce Þ  f1 ðvbe Þ ic ¼ f2 ðvbe , vce Þ  f20 ðvce , ib Þ

(13:12)

To obtain Equation 13.12 from Equation 13.10, we must use ib ¼ ie  ic , vce ¼ vcb  veb , vbe ¼ veb and ib is almost independent of vce. The first of the two equations (Equation 13.12) is already well suited for having a v–i characteristic plotted in a veb–ib-plane. The second equation is normally plotted in the vce–ic-plane, with ib as a parameter. The device characteristics of this bipolar npn transistor, as well as those of many other multiterminal semiconductor devices, are today quite standard; they are given in data sheets and used by designers (discussed further in Section II of Feedback, Nonlinear, and Distributed Circuits). These nonlinear models are the basis for deriving linearized small signal models, where they are needed. Finally, we look at a higher level model of a multiterminal device, and model a complete op-amp (containing a multitude of transistors) using a very simple, but nevertheless very powerful resistive model. An op-amp, at a rather high level of abstraction, is a four-terminal device, as depicted in Figure 13.6. In this figure, everything dealing with power supply, biasing, and offset compensation has been hidden. In this very simple model, we assume the input currents to be zero and the output voltage to depend only on the difference of the two input voltages (common mode gain is zero): vd ¼ vþ  v

(13:13)

in the following way: 8 < Vsat vd v0 ¼ A0 vd jvd j : Vsat vd

  

Vsat =A0 Vsat =A0 Vsat =A0

(13:14)

Therefore, we have a piecewise linear transfer characteristic, consisting of three pieces (Figure 13.7). According to the three pieces (I, II, and III) we have three equivalent circuits (Figure 13.8). Even if we increase our idealization to A0 ! 1, the equivalent circuit in Figure 13.8b reduces from the voltagecontrolled voltage source (VCVS) to a nullor. (It is worth emphasizing that this surprisingly simple model of such a complex nonlinear functional unit as an op-amp is capable of capturing all of the main effects of such a multitransistor circuit. For many i– = 0 practically important applications, it provides an i0 = arbitrary – A 0 accurate prediction of the behavior of real circuits.) i+ = 0 If we are not satisfied with resistive models because + of the bandwidth of signals to be processed, the model v– v0 v+ must be refined by including elements with memory. First, we need to set up a way to describe memorypossessing elements (Section 13.3.3) and then combine this with the resistive model into a dynamic model FIGURE 13.6 Symbolic representation of an (Section 13.3.5). op-amp.

Circuit Elements, Modeling, and Equation Formulation

13-7

v0 +Vsat III –Vsat/A0

II

vd

Vsat/A0 I

–Vsat

FIGURE 13.7 PWL transfer characteristic of an op-amp.

– vd

– – +

– + –

vd

Vsat

+

A0

vd

vd

+

Vsat

+

(b)

(a)

+ –

(c)

FIGURE 13.8 Equivalent circuit for an op-amp (a) in the negative saturation region I (vd  Vsat=A0), (b) in the linear region II (vd  Vsat=A0), and (c) in the positive saturation region III (vd  Vsat=A0).

13.3.3 Reactive Elements To use algebraic description and to plot a characteristic such as a curve in an x–y-plane, we have to extend the set of variables from v and i (resistive case) to charge q and flux f ðt

ðt

q(t) ¼ qðt0 Þ þ i(t)dt

f(t) ¼ fðt0 Þ þ v(t)dt

t0

(13:15)

t0

If the integrals exist for t0 ! 1 and if q(1) ¼ 0 and f(1) ¼ 0, we can write ðt q(t) ¼

ðt i(t)dt

1

f(t) ¼

v(t)dt 1

which simply means to ignore the initial conditions of charge q and flux f, which are unimportant for the electrical behavior of the component and the circuit. With this in mind, we define a capacitive (inductive) one-port in the following way: ^C ¼ f(v, q)jfC (v, q) ¼ 0g,

^L ¼ f(i, f)jfL (i, f) ¼ 0g

(13:16)

Other than this implicit algebraic description, parameterized or even explicit descriptions may exist, similar to the resistive case dealt with previously.

Fundamentals of Circuits and Filters

13-8 q

φ

i

i

C

L

v

(a)

i

v

v

(b)

(c)

(d)

FIGURE 13.9 (a) Symbol and (b) characteristic of capacitor with dielectric material and (c) symbol and (d) characteristic of an inductor with ferromagnetic material.

Figure 13.9 gives examples of some nonlinear characteristics of a capacitive and an inductive reactance. It is obvious that this concept can be extended to the multiterminal case by replacing the scalars v and q or i and f by vectors v and q or i and f, respectively. This is useful when creating a first-order model of a multiport transformer.

13.3.4 Memristive Elements After having dealt with resistive and reactive elements and considering all the variables and their interrelations that we used (Figure 13.10), an interesting question remains: What about an element with an algebraic characterization in the q–f-plane? Because this missing element is characterized by an algebraic relation between the integral of current and the integral of voltage, it is a resistive element with memory, and is therefore called a memristor: ^M ¼ f(q, f)jfM (q, f) ¼ 0g

(13:17)

A real-world example of a memristor is the so-called Coulomb cell, which consists of a gold anode immersed in an electrolyte in a silver can cathode. Memristive descriptions, although not widely utilized, are very useful for modeling the behavior of electrochemical elements.

fR(v, i) = 0

v

i

.

q i=

q

FIGURE 13.10 Interrelation between variables.

fL(i, φ) = 0

fC (v, q) = 0

v= . φ

?? φ

Circuit Elements, Modeling, and Equation Formulation

13-9

13.3.5 Dynamic Models

i

Only a few examples are illustrated in this section, comi΄ i˝ prising resistive and reactive elements, in order to achieve a realistic description of electronic devices, including dynamical effects. A pn-junction was described resistively earlier in the chapter, with an exponential v–i characteristic, neglect- v ing dynamical effects. To remove this shortcoming, we use the more elaborate dynamic model depicted in Figure 13.11, which consists of a resistive pn-junction in parallel with a nonlinear capacitor. This extension can, of course, be carried on for the (multiterminal) transistor case, where we use dynamic diode models (Figure 13.12). The combination of the resistive and reactive models FIGURE 13.11 Dynamic pn-junction model. in one circuit leads to a description that makes use of differential and integral operators. It is almost always possible to reduce this to a set of nonlinear ordinary differential and algebraic equations. We return to this point later, but first we conclude this section with a dynamic model of an op-amp (Figure 13.13), making use of nonlinear controlled sources (VCVS) and voltage-controlled circuit sources (VCCS). This simple model accounts for the following important practical properties of a real-world op-amp: . . .

First-order, low-pass behavior in the linear region of the controlled sources. Slew rate limitation is incorporated by the nonlinearity of gm(vd) of the VCCS (Figure 13.14). Output voltage saturation is modeled with the aid of the nonlinearity of m(vc) (Figure 13.15).

ic

ie i1

e

i2

Cbe

c

Cbc

αRi2

α F i1 ib b

FIGURE 13.12 Dynamic transistor model.

i = gm (vd) – vd C R +

FIGURE 13.13 Dynamic op-amp model.

+ – vc

v0 = μ(vc)

Fundamentals of Circuits and Filters

13-10 i = gm(vd ) i0

gm0 i0 /gm0

–i0 /gm0

vd

–i0

FIGURE 13.14 Nonlinear VCCS in the input stage of the op-amp. v0 = μ(vc) Vsat

μ0 Vsat /μ0

–Vsat /μ0

vc

–Vsat

FIGURE 13.15 Nonlinear VCVS in the output stage of the op-amp.

The 3 dB bandwidth of this op-amp is given by v3 dB ¼ 1=(RC) with a 20 dB=decade roll-off. The slew rate is given by SR ¼ i0=C, while the DC open-loop gain A0 ¼ gm0 Rm0. Using this simple model, not all, but some, of the most important nonlinear dynamical effects are properly described.

13.4 Connecting Multiport After having dealt with the description of the circuit elements, we return to the interconnection structure, which is summarized in the CMP (Figure 13.1b). This multiport is linear, lossless, and reciprocal and its description stated in implicit form is simply KCL and KVL equations        B 0 v B 0 0 ¼ vþ i¼ ) Bv ¼ 0 and Ai ¼ 0 (13:18) 0 A i 0 A 0 From Equation 13.18, the linearity is obvious, while the losslessness and reciprocity can be proven easily by making use of BAT ¼ 0 (b is the number of ports of the CMP).

ABT ¼ 0

rank A þ rank B ¼ b

(13:19)

Circuit Elements, Modeling, and Equation Formulation

13-11

In addition to the perfect wires, which are the ingredients of CMP, ideal transformers (which are also linear, lossless, and reciprocal) can be accommodated in the CMP without changing the structure of Equations 13.18 and 13.19. A is an (n  1) 3 b incidence matrix containing the coefficients of any (n  1) linearly independent nodal equations (or supernodal or cutset equations), while B is a (b  (n  1)) 3 b incidence matrix, the entries of which are the coefficients of any (b  (n  1)) linearly independent loop equations (or fundamental-loop equations).

13.5 Tableau Formulation Combining the description of the CMP and the description of all circuit elements into one tableau, all information about the circuit under consideration is at our fingertips: 

B

  0 v

0

 ,

¼ b linear algebraic equations A i 0 _ v, i,_ i, t) ¼ 0, b nonlinear differential equations f(v, 0

(13:20)

This set of equations is not unique, although their solution is unique for properly modeled circuits. Instead of using derivatives v_ ¼ dv=dt, i ¼ di=dt, we could have used integrals, or we could have _ f_ as variables. formulated the equations with q, f,q, It is important to note that at least half of the equations are linear. To solve the nonlinear equations numerical techniques are commonly used, however, this is beyond the scope of this chapter.

References 1. L. O. Chua, C. A. Desoer, and E. S. Kuh, Linear and Nonlinear Circuits, New York: McGraw-Hill, 1987. 2. J. E. Solomon, The monolithic opamp: A tutorial study, IEEE Journal of Solid-State Circuits, SC-9, 314–332, December 1974. 3. W. Mathis, Theorie Nichtlinearer Netzwerke, Berlin: Springer-Verlag, 1987. 4. R. K. Brayton, L. O. Chua, J. D. Rhodes, and R. Spence, Modern Network Theory—An Introduction, Saphorin, Switzerland: Georgi Publishing, 1978.

14 Controlled Circuit Elements 14.1

Controlled Sources ................................................................ 14-1 Introduction . Voltage-Controlled Current Source . Voltage-Controlled Voltage Source . Current-Controlled Voltage Source . Current-Controlled Current Source

Edwin W. Greeneich

References ............................................................................................ 14-7 14.2 Signal Converters................................................................... 14-7 Gyrator . Voltage Negative Impedance Converter Negative Impedance Converter . Circulator

Arizona State University

James F. Delansky

Pennsylvania State University

.

Current

Defining Terms ................................................................................ 14-15 References .......................................................................................... 14-15

14.1 Controlled Sources 14.1.1 Introduction Controlled sources generate a voltage or current whose value depends on, or is controlled by, a voltage or current that exists at some other point in the circuit. Four such sources exist: (1) voltage-controlled current source (VCCS), (2) voltage-controlled voltage source (VCVS), (3) current-controlled current source (CCCS), and (4) current-controlled voltage source (CCVS). In an ideal controlled source, the generated voltage or current does not vary with the load to which it is connected; this implies a zero output impedance for a voltage source and an infinite output impedance for a current source. In practice, actual controlled sources have finite output impedance, which causes the generated source to vary somewhat with the load. Circuit representations of the four ideal controlled sources are given in Figure 14.1. The input terminals on the left represent the controlling voltage or current, and the output terminals on the right represent the controlled voltage or current; the value of the controlled source is proportional to the controlling input through the constants g, m, b, and r.

14.1.2 Voltage-Controlled Current Source A VCCS produces an output current that is proportional to an input control voltage. The idealized small-signal low-frequency behavior of a field-effect transistor (FET) can be characterized by a VCCS, as illustrated in the equivalent circuit for an n-channel metal-oxide-semiconductor field-effect transistor (MOSFET) in Figure 14.2. In the circuit model, the small-signal drain current id of the transistor is proportional to the small-signal gate-to-source voltage vgs through the transconductance parameter gm.

14-1

Fundamentals of Circuits and Filters

14-2 +

+

vx

vx

i = gvx



v = μvx



(a)

VCCS

ix

(c)

FIGURE 14.1

+ –

(b)

VCVS

+ –

ix

i = βix

CCCS

(d)

v = rix

CCVS

Circuit representations of ideal controlled sources.

Drain

id g

d + vgs

Gate

gmvgs



s

s

Source

FIGURE 14.2

Small-signal equivalent circuit of a MOSFET.

14.1.2.1 SPICE Format The format for a VCCS in the circuit simulation program SPICE [1] is illustrated in Figure 14.3. In the data statement, GXXX represents the source name containing up to eight alphanumeric characters (the first character G signifying a VCCS), Nþ and N– are the positive and negative nodes of the source, NCþ and NC– are the positive and negative nodes between which the controlling voltage is measured, and VALUE is the multiplicative constant giving the value of the current source. The convention used is that positive current flows from the Nþ node through the source to the N– node. Figure 14.4 is an example of a circuit using a VCCS. The SPICE data specification for the source is: GS1 8 6 3 6 3.4. 14.1.2.2 Circuit Implementation A circuit implementing a VCCS using an operational amplifier (op-amp) is depicted in Figure 14.5. Assuming the op-amp to be ideal, it is easy to show that Io ¼ gVx, where g ¼ 1=R1, as indicated in the figure.

GXXX N+ N– NC+ NC– Value

N+ +

NC+

Vx –

FIGURE 14.3

SPICE format for a VCCS.

Value*Vx NC–

N–

Controlled Circuit Elements

3

14-3 5

4 + Vx 6



2

7

3.4Vx

GS1

8

FIGURE 14.4 Example showing a portion of a circuit using a VCCS.

R1 R1 – op-amp

An alternate form of the VCCS circuit that uses fewer components is illustrated in Figure 14.6. In the circuit, Q1 and Q2 form a current mirror which, due to their equal base–emitter voltages, have the same collector currents, which are reflected in the collector currents of Q3 and Q4, causing them to have the same base–emitter voltages; the voltage at the emitter of Q4 is thus equal to the input control voltage Vx. The emitter current of Q4 is thus equal to Vx=R1, which is then (neglecting base currents) equal to the collector current of Q2. This current is mirrored by Q5 giving the voltage-controlled output current Io. This circuit derives from a general form of this configuration called a current conveyor [2]. With this circuit (and as with all practical current sources), the output current is not totally independent of the output voltage across the source, but instead, Io shows a slight increase with increasing voltage. This is due to the finite output resistance of the source; in the circuit of Figure 14.6, this resistance is equal to the collector-to-emitter resistance of transistor Q5. For an integrated circuit transistor, this resistance may be of the order of 50 kV or so.

+ +

R1

R1

14.1.3 Voltage-Controlled Voltage Source

Vx –

FIGURE 14.5

RL

A VCVS produces an output voltage that is proportional to an input control voltage. A voltage amplifier can be thought of as a VCVS; the output voltage is equal to the input voltage multiplied by the voltage gain, m, of the amplifier.

Io = Vx = gVx R1

Op-amp implementation of a VCCS.

VCC Q1

Q5

Q2

Io = Q3 + Vx –

Q4 Vx R1

FIGURE 14.6 Circuit that implements a VCCS.

Vx R1

1 Vx = gVx R1

Fundamentals of Circuits and Filters

14-4

EXXX N+ N– NC+ NC– Value +

N+ NC+ + –

Vx –

FIGURE 14.7

NC–

Value*Vx N–

SPICE format for a VCVS.

14.1.3.1 SPICE Format

R2

The SPICE format for a VCVS is given in Figure 14.7. The first character E in the source name signifies a VCVS. The output voltage of the source is given by the product of the VALUE constant and the control voltage Vx.

R1 – Vo = (1+

+

R2 ) R1

+ Vx

14.1.3.2 Circuit Implementation



Figure 14.8 is an implementation of the VCVS using an op-amp. Here Vo ¼ mVx where m ¼ 1 FIGURE 14.8 Circuit implementation of a VCVS using an þ R2=R1. The VCCS circuit of Figure 14.6 can be modified to produce a VCVS. In Figure op-amp. 14.9, current sensing resistor R2 develops a voltage drop equal to VxR2=R1, which is buffered by a unity-gain stage to reduce loading effects on R2. The output voltage Vo is thus proportional to the input control voltage Vx. The buffer should have a low output impedance to minimize variations in the output voltage, Vo, with load current drawn by the source.

14.1.4 Current-Controlled Voltage Source A CCVS produces an output voltage that is proportional to an input control current. In this context, a CCVS may be thought of as a current-to-voltage transducer; the output voltage is equal to the input voltage multiplied by the transresistance, r, of the transducer.

VCC Q1

Q3 + Vx –

FIGURE 14.9

Q5

Q2

Q4 Vx R1

Vx R1

Circuit that implements a VCVS.

R2 V R1 x

Vx R1 X1 R2

Vo =

Unity-gain buffer

R2 V = μV x R1 x

Controlled Circuit Elements

14-5

14.1.4.1 SPICE Format The SPICE format for a CCVS is given in Figure 14.10. The first character H in the source name signifies a CCVS. There are no ammeters in SPICE, so currents are measured through voltage sources. VNAME is the voltage source through which the control current Ix is measured. The output voltage of the source is given by the product of VALUE and Ix. If the point in the circuit at which the control current is to be measured does not contain a voltage source, a test voltage source of zero value can be inserted in the circuit. Figure 14.11 is an example of a circuit using a CCVS. The data statement for the source is HVS2 3 2 VTEST 0.2. VTEST is a zero-valued voltage source inserted into the circuit to measure the control current Ix. Its data statement is VTEST 6 7 0. 14.1.4.2 Circuit Implementation An op-amp implementation of a CCVS is given in Figure 14.12. Here, Vo ¼ rIx where r ¼ R1 þ R2. A simple circuit implementing a CCVS is shown in Figure 14.13. In the current mirror comprising

HXXX N+ N– Vname Value

N+

+

Ix

+ –

Vx –

Value*Ix N–

FIGURE 14.10 SPICE format for a CCVS.

Ix 3

VTEST – +

4

7

6 + –

HVS2

0.2Ix

5

2

FIGURE 14.11 Example showing a portion of a circuit using a CCVS.

R2 R1 – op-amp +

IX

R1

FIGURE 14.12 op-amp implementation of a CCVS.

Vo = (R1 + R2) IX = rIX

Fundamentals of Circuits and Filters

14-6 VCC Q1

Q2 Ix

Ix

X1

Vo = R1Ix = rIx

R1 Unity-gain buffer

FIGURE 14.13 Circuit that implements a CCVS.

transistors Q1 and Q2, the collector current of Q2 is equal (neglecting base currents) to the control current Ix. The voltage across R1 is then equal to R1Ix, which after the buffer, is the current-controlled output voltage.

14.1.5 Current-Controlled Current Source A CCCS produces an output current that is proportional to the input control current. The idealized largesignal (and small-signal as well) low-frequency behavior of a bipolar transistor can be characterized by a CCCS, as illustrated in the equivalent circuit for an NPN transistor in Figure 14.14. In the circuit model, the collector current IC is proportional to the base current IB through the current gain parameter bF. 14.1.5.1 SPICE Format The SPICE format for a CCCS is given in Figure 14.15. The first character F in the source name signifies a CCCS. The output current is given by the product of the VALUE constant and the control current Ix. As with the CCVS, the controlling current is measured through an independent voltage source.

IC = βF IB

IB

Collector B

C β F IB

Base

Emitter E

FIGURE 14.14 Large-signal equivalent circuit of a bipolar transistor.

FXXX N+ N– Vname value Ix

+ Vx

N+ Value*Ix

– N–

FIGURE 14.15 SPICE format for a CCCS.

Controlled Circuit Elements

14-7 R2 R1 – op-amp + I o = (1 +

R1

IX

R1

R2 R1

) IX = βIX

FIGURE 14.16 op-amp implementation of a CCCS.

VCC Q1

Q5

Q2

Ix

Q3 X1

Ix

R1

Q7

Q6

R1Ix

Buffer

Io =

Q4 R2

R1 I = βI x R2 x

R1 I R2 x

FIGURE 14.17 Circuit that implements a CCCS.

14.1.5.2 Circuit Implementation Figure 14.16 is an op-amp implementation of a CCCS. Here, Io ¼ bIx where b ¼ 1 þ R2=R1. The CCVS circuit in Figure 14.13 can be combined with the VCCS circuit in Figure 14.6 to produce a CCCS, as illustrated in Figure 14.17. The output voltage of the CCVS stage is equal to R1Ix, which, applied at the emitter of Q3, is the input voltage of the VCCS stage; the output current is equal to (R1=R2)Ix, and thus proportional to the input control current.

References 1. Nagel, L.W., 1975. SPICE2: A computer program to simulate semiconductor circuits, Electronics Research Laboratory Report No. ERL-M520, University of California, Berkeley. 2. Ioumazou, C., Lidgey, F.J., and Haigh, D.G., eds., 1990. Analogue IC Design: The Current-Mode Approach, London: Peter Peregrinus Ltd.

14.2 Signal Converters An accessible terminal pair of a network, regarded as a single entity to which an independent 2-terminal signal generator (source or input) is to be connected, is called a port of the network. An equivalent view of a port of a network is an accessible terminal pair such that the current entering one of the terminals of the pair is the same current leaving the other terminal of the pair. Thus, an accessible terminal pair of a network is a port when this terminal pair is terminated by (connected to) a 1-port network. For a given port of a network, the port voltage (the voltage drop between the two terminals) and the port current

Fundamentals of Circuits and Filters

14-8

– v2 + 2–

1

i1

1

+

+

v1

v1



– 1–

(a)

i1

i2 2

1 +

v2 – 1–

i2

i1

+ v1 – 1–

2–

(b)

2

(c)

in n

+ vn –

n–

FIGURE 14.18 (a) A 1-port. (b) A 2-port. (c) An n-port.

(the current entering one of the terminals) are to be associated as follows: the positive sign for the voltage (drop) is always assumed at the terminal at which the current (positive charges) enters the network. A 1-port, 2-port, and general n-port are illustrated in Figure 14.18. In linear network theory, a class of n-ports (particularly n ¼ 2), known as signal converters, has become salient as crucial building blocks. These 2-port signal converters include the various versions of the ‘‘transformer,’’ ‘‘controlled source,’’ and ‘‘operational amplifier’’ found elsewhere in Section II. This section will introduce the 2-port signal converters known as the ‘‘gyrator’’ and ‘‘negative impedance converter (NIC).’’ As extensions of these, the (n  2)-port ‘‘circulator’’ is developed and, as special cases, the 1-ports known as ‘‘nullator’’ and ‘‘norator’’ (properly viewed as degenerate cases) and the 2-port known as ‘‘nullor’’ are given. One of the most general external descriptions of a linear n-port network is the ‘‘scattering’’ matrix. In many cases, a linear n-port network may be externally described by one or more of the ‘‘open-circuit impedance,’’ ‘‘short-circuit admittance,’’ ‘‘hybrid,’’ ‘‘inverse hybrid,’’ ‘‘chain (transmission),’’ or ‘‘inverse chain (inverse transmission)’’ matrix. For details of these n-port descriptions, see [1]. As necessary or convenient, any of the above n-port descriptions will be utilized in this section.

14.2.1 Gyrator The concept of reciprocity satisfied by a linear n-port network (n ¼ 2, in particular) will be useful in the present context.

Definition: A linear n-port network is said to be a reciprocal linear n-port network if the port voltages and currents satisfy n h X

i (2) (1) ¼0 vk(1) i(2)  v i k k k

(14:1)

k¼1 (2) (2) where vk(1) , i(1) k and vk , ik are any two distinct sets of port voltages and currents that satisfy Kirchhoff’s laws for the linear n-port. If Equation 14.1 is not satisfied, the linear n-port is said to be nonreciprocal. [Note: for many useful linear n-port networks, Equation 14.1 can be derived from Tellegen’s theorem in Chapter 7]. The idea of isolating the nonreciprocity of a linear passive n-port in a single network building block was first advanced by Tellegen [2]. The linear passive 2-port developed there, christened the gyrator, was

Controlled Circuit Elements

1

14-9 i1

i2

r

2

+

+

v1

v2



– 1–

2–

FIGURE 14.19 The ideal 2-port gyrator.

shown to be necessary and sufficient for this purpose. This (ideal) 2-port gyrator is described by the skew-symmetric open-circuit impedance matrix 

z Z ¼ 11 z21

z12 z22





0 ¼ r

r 0

 (14:2)

where r (the gyrator transfer impedance parameter) is a real positive number, and is depicted in Figure 14.19. It can be observed that v1 ¼ ri2 and v2 ¼ ri1 (so the signal conversion is clear), and by multiplying these together to obtain rv1i1 ¼ rv2i2 or v1i1 þ v2i2 ¼ 0, then no energy is generated, dissipated, or stored. Thus, the ideal gyrator is a lossless passive nonreciprocal 2-port. Also easily shown is that, if port 2 is terminated with the 1-port (driving-point) impedance Z, then the driving-point impedance seen at port 1 is Z11 ¼ z12z21=Z ¼ r2=Z so that the ideal 2-port gyrator is also an ideal impedance invertor. This leads to another attribute of the ideal 2-port gyrator as a fundamental building block for the synthesis of linear networks. If Z in the above is the impedance of an ideal capacitor C, i.e., Z ¼ 1=sC, then Z110 ¼

r2 ¼ r 2 sC ¼ L110 s Z

(14:3)

which means that the driving-point impedance Z110 is exactly equivalent to the impedance of an ideal inductor L110 ¼ r2C. This opens a viable avenue to the inductorless synthesis of linear passive RLC networks. The ideal 2-port gyrator is a 4-terminal passive network (device or element). For network (and physical realization) purposes, however, it is usually implemented with active elements (and thus considered as an ‘‘active’’ building block) and results in a 3-terminal or ‘‘common ground’’ 2-port. For this reason, the simulation of a nongrounded (‘‘floating’’) inductor in a network is not straightforward. The active realization of the ideal gyrator also results in a nonideal gyrator (i.e., in general z11 6¼ 0, z22 6¼ 0, z12 6¼ –z21). These concerns are treated elsewhere [3]. A circuit using two operational amplifiers and R’s and a single capacitor for inductor simulation (and thus a gyrator simulation) was proposed by Antoniou [4]. This circuit has subsequently become very widely used. Assuming ideal operational amplifiers and general RC 1-ports, this circuit is illustrated in Figure 14.20. Analysis yields Z11

V1 ¼ ¼ I1



 Z1 Z3 Z5 Z2 Z4

(14:4)

For Equation 14.4 to appear as Equation 14.3, two choices exist because either Z2 or Z4 must be the impedance of the capacitor. The simplest choices then are 1: Z1 ¼ R1 , Z2 ¼

1 , Z3 ¼ R3 , Z4 ¼ R4 , C2 s

Z5 ¼ R5

results in

Fundamentals of Circuits and Filters

14-10

– I1

Z1

Z2

Z3

+ Z4

+ V1

+

Z5





FIGURE 14.20 Antoniou’s circuit.

 Z11 ¼ 2:

 R 1 R3 R5 C2 s ¼ L11 s R4

Z1 ¼ R1 , Z2 ¼ R2 , Z3 ¼ R3 ,  Z11 ¼

Z4 ¼

1 , Z5 ¼ R5 C4 s

results in

 R 1 R3 R5 C4 s ¼ L11 s R2

These are known as type-A and type-B simulations, respectively. Assume the capacitor in A and B above is removed (pliers-type entry) from Figure 14.20, thus forming a 2-port. With the proper choice of numbering port 2 terminals, the resulting 2-port is a 4-terminal ideal gyrator. On the other hand, forming the second port by removing Z5 from Figure 14.20, and the obvious choice of numbering port 2 terminals, results in a grounded 2-port impedance converter with Z11

  Z1 Z3 ¼ Z5 ¼ K(s)Z5 Z2 Z4

(14:5)

This is Antoniou’s generalized impedance convertor (GIC). The rational function K(s) in Equation 14.5 can, in general, be any function subject to Z1, Z2, Z3, and Z4 being the driving-point impedances of RC 1-port networks. So if the GIC of Equation 14.5 has Z1 ¼ 1=C1s, Z2 ¼ R2, Z3 ¼ R3, but Z4 ¼ R4 and Z5 ¼ 1=C5s, then Z11 (s) ¼

R3 1 ¼ C1 C5 R2 R4 s2 Ds2

(14:6)

  1 ¼ R11 v2 2 Dv

(14:7)

so that for sinusoidal excitations (s ¼ jv) Z11 (jv) ¼

Thus, Z11 of Equation 14.7 is a frequency-dependent negative resistance (FDNR). Alternate realizations of FDNR are possible. The FDNR element plays an important role in the design of active RC networks.

Controlled Circuit Elements

14-11

14.2.2 Voltage Negative Impedance Converter Suppose a 2-port signal converter such that, with one of its ports terminated with an impedance, the driving-point impedance at the other port is proportional to the negative of the terminating impedance. Such a 2-port is known as an NIC [5]. For a general 2-port terminated at port 2 with the impedance Z ¼ 1=Y, the driving-point impedance seen at port 1 is, in terms of the hybrid parameters of the 2-port, Z11 ¼

h11  h12 h21 h22 þ Y

(14:8)

Hence, necessary and sufficient conditions for a 2-port to be an NIC is that h11 ¼ 0, h22 ¼ 0, and h12h21 > 0, so Equation 14.8 becomes Z11 ¼ h12 h21 Z ¼ kZ

(14:9)

where k > 0 is called the negative impedance parameter. Now h12h21 > 0 holds in two cases for h12 and h21 real: 1:

h12 < 0

and

h21 < 0

(14:10)

2:

h12 > 0

and

h21 > 0

(14:11)

Consider an NIC satisfying Equation 14.10. From the hybrid description, this 2-port signal converter has v1 ¼ h12v2 and since h12 < 0 this implies a voltage reversal between the ports, while i2 ¼ h21i1 and because h21 < 0 this implies the current direction remains the same. For this reason, Equation 14.10 defines a voltage inversion negative impedance converter (VNIC).

14.2.3 Current Negative Impedance Converter Consider an NIC satisfying Equation 14.11. From the hybrid description, this 2-port signal converter has v1 ¼ h12v2 and since h12 > 0, this implies no voltage reversal between the ports, while i2 ¼ h21i1 and because h21 > 0 this implies the current directions have reversed. For this reason, Equation 14.11 defines the current inversion negative impedance converter (INIC). As with the gyrator, for network (and physical realization) purposes, the NIC is implemented with active devices but for a more fundamental reason. Consider k in Equation 14.9 to be 1 with h12 ¼ h21 to be either 1 or 1. Then for VNIC or INIC this implies v1i1 – v2i2 ¼ 0 so the NIC is inherently active. Any physical realization of the NIC will result in a nonideal NIC (i.e., in general h11 6¼ 0, h22 6¼ 0, h12h21 6¼ 1). These concerns are treated elsewhere, e.g., Ref. [3]. An obvious use of an NIC in active network synthesis is to obtain negative elements, i.e., negative resistor or inductor or capacitor from positive resistor or inductor or capacitor, respectively. Another way an NIC is used in active network synthesis is the partitioning of a network using an NIC. Consider two cascaded 2-ports as shown in Figure 14.21 to form an overall 2-port. 1

2 Na

1–

FIGURE 14.21 Cascade of 2-ports designated Na and Nb.

– Nb

2–

Fundamentals of Circuits and Filters

14-12 1

2 NIC k=1

Na

Nb

1–

2–

FIGURE 14.22 Equivalent to Figure 14.21.

Suppose in Figure 14.21, Na is a 2-port with all positive elements and Nb is a 2-port with all negative elements. Then it can be shown that the overall 2-port shown in Figure 14.22 with an NIC of k ¼ 1, and with Nb being the same as Nb in Figure 14.21, except it is now composed of all positive elements, is equivalent to the overall 2-port shown in Figure 14.21. These and other methods of using NIC’s in active RC synthesis may be found elsewhere, e.g., Ref. [3].

14.2.4 Circulator As discussed in Section 14.2.1, the gyrator is the basic representation of nonreciprocity in linear networks. It is quite natural to believe this property can also be exploited to derive a means of controlling the power flow in n-port (n  2) linear networks from the input port to the remaining ports in a prescribed manner. This is indeed the situation and the most important of such n-ports are known as circulators. Circulators are best described in terms of the scattering matrix, since this makes their function very clear. A brief discussion of the scattering description for a 2-port network (extended in a natural way for n-port (n > 2) networks) follows, see Ref. [6]. Consider the terminated 2-port in Figure 14.23. Assume r01 > 0 and r02 > 0. Define incident and reflected power waves a ¼ [a1 a2]0 and b ¼ [b1 b2]0 , respectively, where the prime denotes matrix transpose, as 1=2

ðV þ R0 I Þ

(14:12)

1=2

ðV  R0 I Þ

(14:13)

a ¼ (1=2)R0 b ¼ (1=2)R0 where R0 ¼ diag(r01, r02) V ¼ [V1 V2]0 I ¼ [I1 I2]0

Assume that V ¼ ZI for the 2-port and because Equations 14.12 and 14.13 are also linear relations between V and I, then a and b are also linearly related as b ¼ Sa r01

(14:14)

I1

I2

r02

+

+

a1

a2

+

+

Vg1

V1

b1

b2

V2

Vg2





FIGURE 14.23 General terminated 2-port network.





Controlled Circuit Elements

14-13

where S is the scattering matrix with respect to R0. For the 2-port network in Figure 14.23, Equation 14.14 is explicitly b1 ¼ S11 a1 þ S12 a2

(14:15)

b2 ¼ S21 a1 þ S22 a2 Hence, the scattering parameters are determined as Sjj ¼

bj , for ak ¼ 0 and k 6¼ j aj

(14:16)

Skj ¼

bk , aj

(14:17)

and for ak ¼ 0 and k 6¼ j

The parameter Sjj is called the reflection coefficient at the jth port and Skj is called the transmission coefficient from port j to port k. The conditions in Equations 14.16 and 14.17, together with Equations 14.12 and 14.13, imply.   Zjj  r0j  Sjj ¼  Zjj þ r0j

(14:18)

pffiffiffiffiffiffiffiffiffiffi Ik Skj ¼ 2 r0j r0k Vgj

(14:19)

and

The network is said to be matched at port j if Sjj ¼ 0, or from Equation 14.18, r0j ¼ Zjj. Also from Equation 14.19 and jSkjj2 ¼ jbkj2=jajj2, it is clear that jSkjj2 is the power gain of the terminated n-port from the source at port j to the load resistor r0k at port k. For example, consider the ideal 2-port gyrator with gyration transfer parameter r > 0 and r01 ¼ r02 ¼ r. Here, 

S S ¼ 11 S21

S12 S22





0 1 ¼ 0 1

The simplest type of circulator is the 2-port circulator. Consider the 2-port in Figure 14.24, which has (for r01 ¼ r02 ¼ 1) 

S S ¼ 11 S21

S12 S22





0 ¼ 1

0 0

 (14:20)



 (14:21)

This 2-port circulator has unity power transmission from port 1 to port 2, but zero power transmission from port 2 to port 1, and is also called an isolator or one-way line. Now a 3-port circulator may be defined by the scattering matrix

1

1



FIGURE 14.24 2-Port circulator.

2



Fundamentals of Circuits and Filters

14-14

2

S11 S ¼ 4 S21 S31

2

r

1

3

S12 S22 S32

3 2 S13 0 S23 5 ¼ 4 S21 0 S33

0 0 S32

3 S13 0 5 0

(14:22)

with each nonzero Skj ¼ 1, so it has unity power transfer from port 1 to 2, 2 to 3, and 3 to 1. This 3-port circulator is usually depicted as shown in Figure 14.25, where the þ and  terminals of each port depend on the selection of each nonzero Skj in Equation 14.22. Likewise, a 4-port circulator may be defined by the scattering matrix

FIGURE 14.25 Matched 3-port circulator.

2

S11 6 S21 S¼6 4 S31 S41

S12 S22 S32 S42

S13 S23 S33 S43

3 2 S14 0 6 S21 S24 7 7¼6 S34 5 4 0 S44 0

0 0 S32 0

0 0 0 S43

3 S14 0 7 7 0 5 0

(14:23)

with each nonzero Skj ¼ 1, and depicted as suggested in Figure 14.25. Similarly, the n-port (n > 4) circulator may be defined and depicted as suggested in Figure 14.25. See Ref. [6] for details. 14.2.4.1 Nullator It is a fact that every linear passive n-port network has a scattering description. However, to complete the description of general linear n-port networks (which may not have a scattering description), a few ‘‘pathological’’ or ‘‘degenerate’’ 1- and 2-ports must be included [7]. The first of these is the 1-port linear network denoted as the ‘‘nullator.’’ For the exact configuration obtained by terminating Figure 14.25 (with normalization parameters equal to unity) at port 2 with 1 V and at port 3 with þ1 V, the driving-point I1 + relations seen at port 1 are V1 ¼ I1 ¼ 0

(14:24)

so the resulting 1-port is at once a short and open circuit! This linear 1-port has been designated as the nullator. The circuit symbol for the nullator is depicted in Figure 14.26.

V1



FIGURE 14.26 Nullator (V1 ¼ I1 ¼ 0).

14.2.4.2 Norator Another degenerate 1-port can be obtained from the exact configuration obtained by terminating Figure 14.25 (with unity normalization parameters) at port 2 with þ1 V and at port 3 with 1 V. The resulting driving-point relations seen at port 1 are that

I1 +

V1

V1 and I1 are completely independent

(14:25)

This (nonreciprocal) linear 1-port has been designated as the norator. The circuit symbol for the norator is shown in Figure 14.27.



FIGURE 14.27 arbitrary).

The norator (V1 and I1 are

Controlled Circuit Elements

14-15 I1

I2

+

+

V1

V2





FIGURE 14.28 The nullor (V1 ¼ I1 ¼ 0, V2, and I2 arbitrary).

14.2.4.3 Nullor The final building block for the most general n-port linear network is the 2-port designated as the nullor. It is defined as a 2-port which, at port 1, demands V1 ¼ I1 ¼ 0 while simultaneously at port 2, V2 and I2 are arbitrary! These relations can be obtained from a 4-port circulator with two of its ports appropriately terminated with negative and positive resistors. The symbol for the nullor is given in Figure 14.28. Both 4 and 3 terminal equivalent circuits exist for the nullor. These singular network elements, the nullator, the norator, and the nullor, have been used to generate realizable circuits for the various signal converters discussed in this section. They have also been used to obtain realizable circuits for other widely used circuit elements such as the family of controlled sources, op-amps, transistors, etc. See Refs. [3,7] for the applications mentioned above. Of course, many references are possible for the use of the network elements discussed in this section. Among others, Refs. [8,9] contain extensive bibliographies.

Defining Terms Reciprocal linear n-port: The port voltages and currents satisfy Equation 14.1 and its restrictions. Ideal gyrator: The basic nonreciprocal 2-port satisfying Equation 14.2. GIC: A 2-port that satisfies Equation 14.5 and its conditions. FDNR: A 1-port that has the driving-point impedance of Equation 14.7. VNIC: A 2-port satisfying Equation 14.10 and foregoing conditions. INIC: A 2-port satisfying Equation 14.11 and foregoing conditions. The n-port (n  3) circulator: Described by its n-port scattering matrix with main-diagonal elements zero and off-diagonal elements restricted to only one per row or column of unity magnitude. Nullator: A 1-port such that V1 ¼ I1 ¼ 0. Norator: A 1-port such that V1 and I1 and arbitrary. Nullor: A 2-port such that V1 ¼ I1 ¼ 0, while V2 and I2 are arbitrary.

References 1. 2. 3. 4. 5. 6. 7. 8. 9.

R. W. Newcomb, Linear Multiport Synthesis, New York: McGraw-Hill, 1966. B. D. H. Tellegen, The gyrator, a new electric network element, Phillips Res. Rep., 3, 81–101, 1948. S. K. Mitra, Analysis and Synthesis of Linear Active Networks, New York: John Wiley & Sons, 1969. A. Antoniou, Realization of gyrators using operational amplifiers and their use in RC-active network synthesis, Proc. IEE, 116, 1838–1850, 1969. J. L. Merill Jr., Theory of the negative impedance converter, Bell System Tech. J., 30, 88–109, 1951. H. J. Carlin and A. B. Giordano, Network Theory, Englewood Cliffs, NJ: Prentice Hall, 1964. H. J. Carlin, Singluar network elements, IEEE Trans. Circuit Theory, CT-11, 67–72, 1964. S. K. Mitra, Active Inductorless Filters, New York: IEEE, 1971. M. Herpy and J.-C. Berka, Active RC Filter Design, New York: Elsevier Science, 1986.

15 Bipolar Junction Transistor Amplifiers 15.1

Introduction ............................................................................ 15-1 Need for Amplification Amplifying Devices

15.2

Brief History of

.

Amplifier Types and Applications..................................... 15-2 Input and Output Variables . Frequency Range . Output Power . Distortion in Power Stages . Operational Amplifiers

15.3

Differences between Discrete and IC Amplifier Design.................................................................... 15-6 Component Differences

15.4

.

Parasitic Differences

Building Blocks for IC Amplifiers ..................................... 15-8 Small-Signal Models . Active Loads . Common Emitter Stage . Common-Base Stage . Emitter Follower

15.5

Compound Stage Building Blocks for IC Amplifiers ........................................................................ 15-15 Cascode Amplifier Stage Differential Pair

15.6

.

Differential Stage

.

BJT

Operational Amplifiers....................................................... 15-21 Classical IC Op-Amp Architecture . High-Gain Differential Stage . Second Amplifier Stage . Op-Amp Specifications

15.7

David J. Comer

Brigham Young University

Donald T. Comer

Brigham Young University

Wideband Amplifiers.......................................................... 15-27 Composite or Compound Stages

15.8

.

Feedback Cascades

IC Power Output Stages ................................................... 15-29 Thermal Resistance . Circuit or Conversion Efficiency Class-B Output Stage . Class-D Output Stages

.

References .......................................................................................... 15-36

15.1 Introduction 15.1.1 Need for Amplification The field of electronics includes many applications wherein important information is generated in the form of a voltage or current waveform. Often this voltage is too small to perform the function for which it is intended. Examples of this situation are the audio microphone in a sound amplification signal, the infrared diode detector of a heat-seeking missile, the output of the light intensity sensor of a CD player,

Much of the material from Sections 4, 5, and 6 comes from D. J. Comer and D. T. Comer, Fundamentals of Electronic Circuit Design. Copyright 2003 by John Wiley & Sons, Inc.

15-1

15-2

Fundamentals of Circuits and Filters

the output of a receiving antenna for an amplitude modulation (AM) or frequency modulation (FM) signal, the cell phone signal received by the base station before retransmission, and the output of a receiving dish antenna in a satellite TV system. Each of these signals have peak voltages in the range of hundreds of microvolts to hundreds of millivolts. To transmit a signal over phone lines or through the atmosphere and then activate a speaker or to illuminate a cathode-ray tube or other type of TV display may require a signal of several volts rather than millivolts. An audio amplification system may require peak voltages of tens of volts to drive the speaker. The speakers in a CD system or in radio receivers may also require several volts to produce appropriate levels of volume. The video information for a TV signal may need to be amplified to a value of thousands of volts to drive the picture tube or display. Even in computer systems, the signals recovered from the floppy or hard disk drives must be amplified to logic levels to become useful. Amplification of each of these signals is imperative to make the signal become useful in its intended application. Consequently, amplifiers make up a part of almost every electronic system designed today. At the present time, the bipolar junction transistor (BJT) and the metal-oxide semiconductor fieldeffect transistor (MOSFET) are the major devices used in amplification of electronic signals.

15.1.2 Brief History of Amplifying Devices Amplification is so important that the so-called ‘‘era of electronics’’ was ushered in only after the development of the triode vacuum tube that made amplification possible in 1906 [1]. This device enabled public address systems and extended distances over which telephone communications could take place. Later, this element allowed the small signal generated by a receiving antenna located miles away from a transmitter to be amplified and demodulated by a radio receiver circuit. Without amplifiers, communications over long distances would be difficult if not impossible. Improvement of the vacuum tube continued for several years with the tetrode and pentode tubes emerging in the late 1920s. These devices enabled the rapid development of AM radio and television. Vacuum tubes dominated the electronics field well into the 1950s serving as the basic element in radio, television, instrumentation, and communication circuits. The forerunner of the BJT, the point-contact transistor, was invented in 1947 [2]. The BJT followed shortly and grew to dominance in amplifier applications by the mid 1960s. From then until the 1990s, this device had no peer in the electronics field. In the 1990s, the MOSFET became important as an amplifying device; however, the silicon BJT along with the newer heterojunction BJT (HBT), continue to be used in many amplifier applications.

15.2 Amplifier Types and Applications Amplifiers can be classified in various ways. The input and output variables can be used to describe the amplifier. The frequency range of the output variable or the power delivered to a load can also describe a major characteristic of an amplifier. In the case of an operational amplifier (op-amp), the mathematical operations that can be performed by the circuit suggest the name of the amplifier.

15.2.1 Input and Output Variables Electronic transducers generally produce either voltage or current as the quantity to be amplified. After amplification, the signal variable of interest could again be voltage or current, depending on the circuit or device driven. A given amplifier can then have either current or voltage as the input signal and current or voltage as the output signal. As the input variable changes, the amplifier produces a corresponding output variable change. Gain of an amplifier is defined as the ratio of output variable change to the input variable change as shown in Figure 15.1.

Bipolar Junction Transistor Amplifiers

15-3 iout

iin Input device

vin

A amplifier

vout

Output device

FIGURE 15.1 Amplifier circuit.

TABLE 15.1 Input Variable

Amplifiers Based on Output=Input Ratio Output Variable

Gain

Amplifier Type

Voltage

Voltage

vout=vin

Voltage amplifier

Current

Current

iout=iin

Current amplifier

Voltage

Current

iout=vin

Transadmittance amplifier

Current

Voltage

vout=iin

Transimpedance amplifier

There are four possible ratios for the gain. These are summarized in Table 15.1 along with the corresponding amplifier type. The voltage amplifier is used more often than the others, but each has specific applications. Some photo detectors generate output current proportional to the light intensity and this current is the input variable to the amplifier. The output variable may be voltage for this application. There are other occasions when an amplifier must generate an output current that is proportional to the input variable, thus, a given application may require any one of the four possible types listed in Table 15.1.

15.2.2 Frequency Range The frequency range over which an amplifier exhibits useful gain is an important specification. An audio amplifier may have a relatively constant gain from tens of hertz up to nearly 20 kHz. The magnitude of this constant gain is often called the midband gain. Those frequencies at which the magnitude of gain falls by 3 dB from the midband value are called 3-dB frequencies. For a high-fidelity audio amplifier, the lower 3-dB frequency may be 20 Hz while the upper 3-dB frequency may be 20 kHz. The bandwidth of this amplifier extends from 20 Hz to 20 kHz. In spite of the relatively small absolute bandwidth, this type of amplifier is referred to as a wideband amplifier. The ratio of the upper 3-dB frequency to the lower 3-dB frequency is 20,000=20 which is 1000 in this case. Another important type of amplifier is that used in radio receiver circuits. The receiver for an AM signal consists of several stages that amplify over a frequency range from about 450 kHz to about 460 kHz. The gain may be maximum at 455 kHz, but drops very rapidly toward zero when the frequency is below 450 kHz or above 460 kHz. This type of amplifier is called a narrowband amplifier because the ratio of upper 3-dB frequency to lower 3-dB frequency has a near-unity value of 460=450 in this case.

15.2.3 Output Power Some audio speakers may require hundreds of watts of power to operate at the desired level. An LED display may only require milliwatts of power. The amplifiers that drive these devices can be classified as high power or low power, respectively. While there are no fixed power values to differentiate, amplifiers that generate outputs in the milliwatt range are called low-power amplifiers or simply amplifiers. Amplifiers that produce outputs in the watt to several watt range are called power amplifiers or high-power amplifiers. The bulk of integrated circuit (IC) amplifiers are used in low-power applications although pulse-width modulation (PWM) IC amplifier stages can deliver several watts of power to a load.

Fundamentals of Circuits and Filters

15-4

15.2.4 Distortion in Power Stages

vout

There are two major causes of nonlinear distortion in BJT stages [3]. The first is the change of current gain b with changes in IC or VCE. If an undistorted base current enters the device, the output current is distorted as a result of the change in current gain as the output quantities vary. This effect can also be explained for a common-emitter stage in terms of the output characteristics which show unequal spacing as IC or VCE is changed. Obviously, if the output vin signal amplitude is limited, less distortion occurs. In power stages, very large output-current changes may FIGURE 15.2 Transfer characteristics of a be prevalent, and higher distortion levels are to be distortion-free amplifier. expected. The second important cause of distortion arises from the nonlinearity of the base–emitter characteristics of the transistor. When a voltage source drives a common-emitter stage with little series resistance, the base current can be quite distorted. This current varies with voltage in the same way that diode current varies with diode voltage. It is possible to use this input distortion to partially offset the output distortion; however, feedback techniques are most often used in distortion reduction. A perfect, distortion-free amplifier would have transfer characteristics that form a straight line as shown in Figure 15.2. The BJT with no emitter degeneration has a collector current that relates to base–emitter voltage given by IC ¼ bK1 eqVBE =kT where k is Boltzmann’s constant. This function is highly nonlinear, and only small variations of VBE can be applied to approximate linear behavior. An emitter resistance or emitter degeneration can be added to make the circuit more linear at the expense of reduced gain. This amounts to feedback to improve the nonlinear distortion. Another method of reducing distortion is to use several cascaded stages to achieve a very high gain; then feedback is applied around the amplifier to decrease the distortion. 15.2.4.1 Total Harmonic Distortion In an amplifier circuit, the output signal should ideally contain only those frequency components that appear in the input signal. The nonlinear nature of amplifying devices introduces extraneous frequencies in the output signal that are not contained in the input signal. These unwanted signals are referred to as harmonic distortion. One measure of the amplifier’s performance is called the total harmonic distortion (THD). If a sinusoidal signal is applied to the amplifier input, the output will also contain a major component of this signal at the fundamental frequency, with amplitude designated vf. In addition, there will be smaller harmonic components in the output signal. These amplitude values will be designated v2, v3, v4, . . . ,vn, . . . Fortunately, in engineering applications, the harmonics decrease in amplitude as frequency increases. Thus, perhaps only the second or third harmonic is large enough to affect the THD. The THD can defined as a percentage by pffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffi v22 þ v32 þ v42 þ    THD ¼  100 (15:1) vf In dB, this parameter becomes  2  v2 þ v32 þ v42 þ    THD ¼ 10 log vf2 The THD of an amplifier is a major specification used to characterize the amplifier performance.

(15:2)

Bipolar Junction Transistor Amplifiers

15-5

15.2.4.2 Intercept Points The unwanted harmonic distortion of an amplifier is a function of output signal size. As the signal becomes larger, the linear output will increase, and so will the distortion. In fact, unwanted components due to harmonic distortion may increase more rapidly than the linear component. Typically, an amplifier with a small output signal, compared to the size of the active region, will have a large linear output component or fundamental component relative to the harmonic components. As signal size increases, the size of the second or third harmonic may become as large as the fundamental component. Generally, this will not occur before the output signal reaches the extremes of the active region; consequently it would be impossible to measure the size of the output signal that results in a harmonic component that is equal in amplitude to the fundamental component. The concept of the intercept point allows this output to be approximated. A plot, often in dB, is made of the input power versus the output power of the fundamental component. This plot is a straight line with a slope of unity for smaller output signals. As the output approaches the edges of the active region, the output power increases much more slowly than does the input power. Finally, the output power is limited to some fixed value as the output signal size is limited by the active region boundaries. Figure 15.3 shows this variation. For small signals, each harmonic component is small. The third harmonic is plotted in Figure 15.3 in addition to the fundamental component. As input power is increased, the third harmonic component may increase at three times the rate of increase in the fundamental component. Before the input power is high enough to significantly limit the fundamental power, a straight-line extension is added to the fundamental power plot and the third harmonic power plot. The point where these two extensions intersect is called the third-order intercept point (TOI). Note that this point often falls beyond the actual output power that can be achieved by the amplifier. The TOI is specified in terms of the input power required at this point. For example, in Figure 15.3, the TOI is 10 dB. The higher the intercept point, the more linear is the amplifier. A second-order intercept can also be defined in much the same way as the TOI is defined. For communication amplifiers, the TOI is generally more important than the second-order intercept because the third-order term can result in a frequency that falls within the desired passband of the amplifier. The TOI is an oft-used specification for power stages as well as high-frequency amplifiers.

15.2.5 Operational Amplifiers The op-amp was developed long before the IC was invented. It was used in analog computers that were designed to solve differential equations by simulation. This amplifier formed the basis of circuits that

Output power (dB)

+10 Intercept point Desired output –20

–50 –100

–50 Input power (dB)

FIGURE 15.3 Amplifier output power versus input power.

–10

Fundamentals of Circuits and Filters

15-6 Inverting input v1



v2

+

v1 v2

vout

vout + – A(v –v ) 2 1

Noninverting input (a)

FIGURE 15.4

(b)

(a) Symbol for the op-amp. (b) Ideal equivalent circuit.

performed mathematical operations such as weighting, summing, subtracting, and integrating electrical signals. The op-amp has two features that allow these operations to be accomplished. The first feature is a differential input to allow subtraction. The second feature is that a virtual ground can be created when a feedback resistor is connected between the amplifier output and the inverting or negative input terminal. This virtual ground allows perfect summation of currents into the inverting terminal and also allows perfect integration of an input signal. Before the IC op-amp was developed, the discrete circuit op-amp was quite expensive and large, perhaps costing $200 and occupying a volume of 250 cm3. With its low cost and small size, the IC op-amp has now made a rarely used component one of the most popular IC chips in the electronics field. The major limitation of the op-amp is its frequency response that limits its use in very high frequency applications. The near-ideal op-amp has a very high gain, a high input impedance, and a low output impedance. The symbol for this device is shown in Figure 15.4a along with the ideal equivalent circuit of Figure 15.4b.

15.3 Differences between Discrete and IC Amplifier Design Prior to the early 1960s, electronic circuits were constructed from discrete component circuits. Discrete resistors, capacitors, inductors, and transistors are packaged individually to be connected with wires or printed circuit board (PCB) conductors to create a functioning electronic circuit. The discrete component circuit is still required in certain applications in the twenty-first century, but the IC is now the dominant form of implementation for an electronic system. There are major differences in the design principles used for the IC and the discrete circuit as the following section will explain [4].

15.3.1 Component Differences Discrete resistors, capacitors, and inductors are available in a very large range of values. Although many amplifier circuits are designed with element values of 5%–10% tolerances, very precise values can be obtained at a higher cost. The range of resistor values extends from a few ohms to many megohms and capacitor values range from femtofarads to hundreds of microfarads. Metal core or air core inductors are available over a wide range of values as also are transformers with a wide choice of turns ratios. For most standard fabrication processes, the IC chip becomes too large to be useful when the total resistance of resistors on the chip exceed some value such as 100 kV. The same applies if the total capacitance exceeds perhaps 50 to 100 pF. It is only in the last decade that inductors could be fabricated on a chip. Even now, integrated inductors with limited values in the nanohertz range are possible and losses may limit Q-values to the range of 2–8 [5]. A major problem with IC components is the lack of precise control of values. Resistors or capacitors are typically fabricated with an absolute accuracy of around 20%. It is possible, however, to create resistive ratios or capacitive ratios that approach a 0.1% accuracy, even though absolute value control is very poor in standard processes. The cost of a discrete circuit is determined by different variables than that of the IC. This results in a design philosophy for ICs that differs from that of discrete component circuits. Before ICs were available, a designer attempted to minimize the number of transistors in a circuit. In fact, some companies

Bipolar Junction Transistor Amplifiers

15-7

estimated the overall component cost of a circuit by multiplying the number of transistors in the circuit by some predetermined cost per stage. This cost per stage was determined mainly by the transistor cost plus a small amount added to account for the passive component cost. The least expensive item in the discrete circuit is generally the resistor which may cost a few cents. In an IC, the greatest cost is often associated with the component that requires the most space. The BJT typically requires much less space than resistors or capacitors and is the least expensive component on the chip. An IC designer’s attempt to minimize space or simplify the fabrication process for a given circuit generally results in much larger numbers of transistors and smaller numbers of resistors and capacitors than the discrete circuit version would contain. For example, a discrete circuit bistable flip-flop of 1960 vintage contained two transistors, six resistors, and three capacitors. A corresponding IC design had 18 transistors, 2 resistors, and no capacitors. Matching of components in IC design can be considerably better than discrete design, but also presents unique problems as well. Components that are made from identical photographic masks can vary in size and value because of uneven etching rates influenced by nearby structures or by asymmetrical processes. Dummy components must often be included to achieve similar physical environments for all matched components. Certain processes must be modified to result in symmetrical results. Metal conductors deposited on the IC chip can introduce parasitics or modify performance of the circuit if placed in certain areas of the chip, thus care must be taken in placing the metal conductors. Of course, these kinds of considerations are unnecessary in discrete circuit design. While discrete circuits can use matched devices such as differential stages, often single-ended stages can be designed to meet relatively demanding specifications. Discrete components can be produced with very tight tolerances to lead to circuit performance that falls within the accuracy of the specifications. Circuit design can be based on the absolute accuracy of key components such as resistors or capacitors. Although it may add to the price of a finished circuit, simple component tuning methods can be incorporated into the production of critical discrete circuits. Since the absolute values of resistors and capacitors created by standard processes for ICs cannot be determined with great accuracy, matching of components and devices is used to achieve acceptable performance. Differential stages are very common in IC design since matched transistors and components are easy to create even if absolute values cannot be controlled accurately. Some analog circuits do not require high component densities while others may pack a great deal of circuitry into a small chip space. For low density circuits, more resistors and small capacitors may be used, but for high density circuits, these elements must be minimized. Section 15.4 considers circuits that replace resistors and capacitors with additional BJTs in IC amplifier building blocks. The BJT current mirror, which is quite popular in biasing of IC amplifiers is discussed in [4]. This circuit is also used to replace the load resistance of a conventional amplifier to make it easier to integrate on a chip.

15.3.2 Parasitic Differences One advantage of an IC is the smaller internal capacitance parasitics of each device. A proper layout of an IC leads to small parasitic device capacitances that can be much less than corresponding values for discrete devices. Although the discrete and IC device may be fabricated with similar processes, the collector and emitter of the IC device are typically much smaller and usually connect to fine pitch external leads=connectors. The discrete device must make external connections to the base, collector, and emitter and these external connections can add a few picofarads of capacitance. An on-chip IC device generally connects to another device adding minimal parasitic capacitance. However, external connects from the chip to the package must be carefully designed to minimize parasitic capacitance as signals are brought off chip. Another difference relates to the parasitic capacitance associated with IC resistors and inductors. These elements have capacitance as a result of the oxide dielectric between metal layers and the chip substrate. The frequency response of IC resistors and inductors must be considered in critical designs.

Fundamentals of Circuits and Filters

15-8

At higher frequencies (above approximately 1 GHz) other considerations influence the IC design. In order to maintain the advantage of low cost in IC circuits over discrete realizations, the devices on the chip are made much smaller than their discrete counterparts. Current and power levels must be limited in the smaller devices to avoid gain roll-off due to high-level injection effects or overheating. The lower currents necessitate higher impedance levels in most bipolar chip designs. For many analog circuits, terminations of 50 V are used at I=O ports. This requires large current magnitudes to reach the specified voltage levels. For example, a 20 mA peak current would be required to develop a peak voltage of 1 V. This is not a difficult problem in discrete circuit design using PC boards. The limitation on current and power in the chip design leads to less flexibility with higher impedance interconnects to develop acceptable voltages. High frequency chip designs must also deal with bond wire or other package inductance in the range of 0.1–0.5 nH and the package capacitance that may reach 5 pF. A buffer is often needed to generate the off-chip signals with sufficient current to develop the specified voltages, but IC chip heating due to power dissipation of the buffer stage must be carefully considered. Common thermal centroid techniques are used to prevent unbalanced heating of matched devices.

15.4 Building Blocks for IC Amplifiers This section discusses several single-stage amplifying circuits that may be combined with other stages to create a high-performance amplifier.

15.4.1 Small-Signal Models Most amplifier circuits constrain the BJT stages to active region operation. In such cases, the models can be assumed to have constant elements that do not change with signal swing. These models are linear models. The quiescent or bias currents are first found using a nonlinear model to allow the calculation of current-dependent element values for the small-signal model. For example, the dynamic resistance of the base–emitter diode, re, is given by re ¼

kT qIE

(15:3)

where IE is the dc emitter current. Once IE is found from a nonlinear dc equivalent circuit, the value of re is calculated and assumed to remain constant as the input signal is amplified. The equivalent circuit [6] of Figure 15.5 shows the small-signal hybrid-p model that is closely related to the Gummel-Poon model and is used for ac analysis in the Spice program. The capacitance, Cp, accounts for the diffusion capacitance and the emitter–base junction capacitance. The collector–base junction capacitance is designated Cm. The resistance, rp, is equal to (b þ 1)re. The transconductance, gm, is given by gm ¼

a rd

(15:4)

The impedance, ro, is related to VA, the Early voltage, and IC, collector current at VCE ¼ 0 by ro ¼

VA IC

(15:5)

RB, RE, and RC are the base, emitter, and collector resistances, respectively. In many high-frequency, discrete amplifier stages and in some high-frequency IC stages, a small value of load resistance will be used. Approximate hand analysis can then be done by neglecting

Bipolar Junction Transistor Amplifiers

15-9 Cμ

RB



RC



B

C rμ



Cπ v π

ro

gmvπ

CCS

E΄ S RE

E

FIGURE 15.5 The hybrid-p small signal model for the BJT.

B

RB

vin



B'

Cπ vπ



gmvπ

RL

vout

RL

vout

E

(a) B

vin

RB

B'



C

CT



gmvπ

E

(b)

FIGURE 15.6

C

(a) Approximate equivalent circuit. (b) Unilateral equivalent.

the ohmic resistances, RE and RC along with CCS, the collector to substrate capacitance. If the impedance ro is much larger than the load resistance, RL, of the high-frequency amplifying stage it can be considered an open circuit. The resulting model that allows relatively viable hand analysis is shown in Figure 15.6a. For small values of load resistances, the effect of the capacitance can be represented by an input Miller capacitance that appears in parallel with Cp. This simplified circuit now includes two separate loops as shown in Figure 15.6b and is referred to as the unilateral equivalent circuit. Typically, the input loop limits the bandwidth of the amplifier stage. When driven with a signal generator having a source resistance of Rs, the upper 3-dB frequency can be approximated as fhigh ¼

1 2pReq ðCp þ CM Þ

(15:6)

Fundamentals of Circuits and Filters

15-10

In this equation, the values of Req and CM are Req ¼ rp k ðrb þ Rs Þ

(15:7)

CM ¼ Cm (1 þ jAj) ¼ Cm ð1 þ gm RL Þ

(15:8)

and

where A is the gain of the stage from point B0 to the collector of the stage. Although Cm is small, the resulting Miller effect increases this value to the point that it can dominate the expression for upper 3-dB frequency. In any case, it often has a major effect on frequency performance. When higher impedance loads are used in a BJT as is often the case for IC amplifier design, the Miller effect approach becomes less accurate and the performance must be simulated to achieve more accurate results. However, the unilateral circuit that includes Cm and CCS from the collector to ground after reflecting the effects of Cm to the input loop can be used to approximate the amplifier stage performance. Manually calculated results are generally within 5%–10% of simulation results.

15.4.2 Active Loads In order to achieve high voltage gains and eliminate load resistors, active loads are used in BJT IC amplifier stages [4]. In a conventional common-emitter stage, the gain is limited by the size of the collector resistance. The midband voltage gain from base to collector of a common-emitter stage is given by Av ¼ 

aRC ðre þ RE Þ

It would be possible to increase this voltage gain by increasing RC, however, making RC large can lead to some serious problems. A large collector load requires a low quiescent collector current to result in proper bias. This may lead to lower values of b, since current gain in a silicon transistor typically falls at low levels of emitter current. In order to achieve a voltage gain of 1000 V=V, a collector load of perhaps 100–200 kV might be required. The low collector current needed for proper bias, a few microamps, would lead to a low value of b and a very high value of re. The desired high voltage gain may not be achievable under these conditions. It would be desirable if the collector load presented a low resistance to dc signals, but presented a high incremental resistance. This combination of impedances would result in a stable operating point along with a high gain. A perfect current source with infinite incremental resistance along with a finite dc current flow satisfies the requirements, but is not a practical solution. On the other hand, circuits that approximate current sources are relatively easy to construct. A good approximation to the current source is obtained by using another transistor for the collector load of an amplifying transistor. Not only can this device present a low dc and high incremental impedance, it is a simple element to implement on a chip. This transistor that replaces the resistive load is referred to as an active load. The circuit of Figure 15.7 demonstrates one type of BJT active load. The transistor Q1 is the amplifying element with Q2 acting as the load. Transistor Q1 looks into the collector of Q2. The incremental output impedance at the collector of a transistor having an emitter resistance in the low kiloohm range can easily exceed 500 kV. With such a high impedance, Q2 approximates a current source. The dc collector currents of both transistors are equal in magnitude. This magnitude can be set to a value that leads to a reasonable value of b. Since Q2 has a very high output impedance, the midband

Bipolar Junction Transistor Amplifiers

voltage gain will be determined primarily by the collector-to-emitter resistance of Q1and can be calculated from

+VCC

RE2

AMB ¼

Q2 IB2

15-11

vout

b1 rce1 Rg þ rp1

(15:9)

where rce1 is the output impedance of Q1. If the generator resistance, Rg is negligible, this equation reduces to AMB ¼ 

rce1 ðVA þ VCQ1 Þ=IC VA þ VCQ1 ¼  re1 VT =IE VT

(15:10)

Q1

For an Early voltage of VA ¼ 80 V and VT ¼ 0.026 V, a small-signal voltage gain exceeding 3000 V=V could result. In a normal application, vin this stage would drive a second stage. The input impedance of the second stage will load the output impedance of the first stage, further lowering V1 the gain. Depending on the input impedance of the second stage and the impedance of the active load stage, the gain magnitude may still exceed FIGURE 15.7 Active load 1000 V=V. The concept of an active load that presents a large incremental resistamplifier. ance while allowing a large dc quiescent current is important in IC design. In addition to the current source load just considered, the current mirror stage can also be used to provide the active load of a differential stage as discussed in Section 15.4.3. Rg

15.4.3 Common Emitter Stage +10 V

Q2

Q3

vout

R2

10 kΩ

Q1

vin

V1

FIGURE 15.8 Common-emitter amplifier with current mirror active load.

A simple configuration for an IC amplifying stage is shown in Figure 15.8. In this stage, the output impedance of the current mirror is not large enough to be considered an open circuit as it was in the circuit of Figure 15.7. Thus, the analysis will have to account for this element. The high frequency response of discrete BJT stages is often determined by the input circuit, including the Miller effect capacitance. The collector load resistance in a discrete stage is usually small enough that the output circuit does not affect the upper corner frequency. In the circuit of Figure 15.8, as in many IC amplifier stages, the output impedance is very high compared to the discrete stage. For this circuit, the output impedance of the amplifier consists of the output impedance of Q2 in parallel with that of Q1. This value will generally be several tens of kiloohms. The equivalent circuit of the amplifier of Figure 15.8 is indicated in Figure 15.9. The value of Rout is Rout ¼ ro1 kro2 ¼ rce1k rce2

(15:11)

The capacitance in parallel with Rout is approximately Cout ¼ Cm1 þ Cm2 þ Ccs1 þ Ccs2

(15:12)

Fundamentals of Circuits and Filters

15-12

b

rx1

Cμ1



c Vout

vin

Cπ1

rπ1

vπ1 Rout gm1vπ1

Cout

e

FIGURE 15.9

Equivalent circuit for the amplifier of Figure 15.8.

In this equation, Cm1 and Cm2 are the collector-to-base junction capacitances and Ccs1 and Ccs2 are the collector-to-substrate capacitances of the respective transistors. If no generator resistance is present, Cm1 will also appear in parallel with the output terminal and ground. When Rg is present, we will still approximate the output capacitance with the same equation, although feedback effects between the output and the bases of Q1and Q2 actually modify the value slightly. The midband gain is easy to evaluate as AMB ¼

b1 Rout Rg þ rx1 þ rp1

(15:13)

The upper corner frequency is now more difficult to evaluate than that of the discrete circuit with its low value of collector load resistance. In the discrete circuit, the input loop generally determines the overall upper corner frequency of the circuit. Although the Miller effect will be much larger in the IC stage, lowering the upper corner frequency of the input loop, the corner frequency of the output loop will also be smaller due to the large value of Rout. Both frequencies may influence the overall upper corner frequency of the amplifier. As mentioned previously, it is difficult to manually calculate the upper corner frequency of the stage and an accurate value is generally found by simulation. An approximation of the upper corner frequency can be manually found by reflecting the bridging capacitance, Cm, to both the input and the output. The value reflected to the input side, across terminals b0 and e, is ð1 þ jAb 0 c1 jÞCm1

(15:14)

as in the discrete circuit amplifier. Thus, the total input capacitance in parallel with rp1 is Cin ¼ Cb0 e1 þ ð1 þ jAb0 c1 jÞCm1

(15:15)

The major component of Cb0 e1 is the diffusion capacitance, Cp, of Q1. The upper corner frequency resulting from the input circuit of this stage is fin-high ¼

1 2pCin Req

(15:16)

where Req ¼ (Rg þ rx1)krp1. The upper corner frequency resulting from the output side of the stage is fout-high ¼

1 2pCout Rout

(15:17)

Bipolar Junction Transistor Amplifiers

15-13

The approximate overall upper corner frequency, f2o, must be found by considering a two-pole response. The overall response is expressed as AMB   A(v) ¼  1 þ jf =fin-high 1 þ ff =fout-high

(15:18)

It is easy to show that the overall upper corner frequency can be found by solving the equation f2 fin2 -high þ 1

!

!

f2 2 fout -high þ 1

¼2

(15:19)

Although this method is not as accurate as the simulation, results will often be within 5%–10%.

15.4.4 Common-Base Stage The common-base stage shown in Figure 15.10 has an advantage and a disadvantage when compared to the common-emitter stage. The advantage is that the Miller effect, that is, the multiplication of apparent capacitance at the input, is essentially eliminated. The noninverting nature of the gain does not lead to an increased input capacitance. Furthermore, the capacitance between input (emitter) and output (collector) is generally negligible. The upper corner frequency is then higher than that of a comparable commonemitter stage. The disadvantage is the low current and power gain of the common-base stage compared to the common-emitter stage. The low-frequency current gain for the common-base stage equals a and is slightly less than unity. In the common-emitter stage, the current gain equals b and may be over 200. Since voltage gain is similar for the two stages, the power gain is also much lower for the common-base stage. The input resistance at low frequencies is also much lower than that of the common-emitter stage and can load the previous stage. The equation for voltage gain is Vcc

AMB ¼

a1 Rout þ re1 þ Rg

(15:20)

where Rout is the parallel combination of rout1 and rce2. The output impedance of Q1 depends on the generator resistance, Rg, and ranges from rce1 for Rg ¼ 0 up to brce1 when Rg approaches infinity. The midband voltage gain is similar to that of the common-emitter stage. The expression for voltage gain as a function of frequency is

Q2

Q3

rx1 bþ1

vout

A¼

Q1

R1

1þj

V1 vin

f fin-high

Common-base amplifier.

f



(15:21)

fout-high

where fin-high is the corner frequency of the input circuit and fout-high is the corner frequency of the output circuit. These values are fin-high ¼

FIGURE 15.10

A MB  1þj

1   2pCp1 re1k Rg

(15:22)

Fundamentals of Circuits and Filters

15-14

fout-high ¼

1 2pCout rout

(15:23)

The output capacitance consists of the collector to base capacitances and the collector to substrate capacitances of both Q1 and Q2, that is, Cout ¼ Cm1 þ Cm2 þ Ccs1 þ Ccs2

(15:24)

Generally, the corner frequency of the input circuit is considerably higher than the corner frequency of the output circuit for high-gain IC stages and the overall upper corner frequency is approximated by fout-high. The midband voltage gain of the common-base and common-emitter stages decreases with increasing generator resistance. In multistage amplifiers, the generator resistance of one stage is the output resistance of the previous stage. If this value is large, the gain of the following stage may be small. Furthermore, the upper corner frequency of the common-emitter stage is affected by the generator resistance. Large values of Rg can lead to small values of upper corner frequency.

15.4.5 Emitter Follower A stage that can be used to minimize the adverse effect on frequency response caused by a generator resistance is the emitter follower. Although this stage has a voltage gain near unity, it can be driven by a higher voltage gain stage while the emitter follower can drive a low impedance load. A typical stage is shown in Figure 15.11. The output stage of the npn current mirror, Q2, serves as a high impedance load for the emitter follower, Q1. An equivalent circuit that represents the emitter follower of Figure 15.11 is indicated in Figure 15.12. For this circuit, gm1 ¼ a1=re  1=re. This circuit can be analyzed to result in a voltage gain of



Cp1 PCðRg þrx1 Þ



rp1 þ1 jv þ gm1 rp1 Cp1



v2 þ bjv þ d

(15:25) R1

Q1

where PC ¼ Cout2 Cm1 þ Cout2 Cp1 þ Cp1 Cm1

vout

vin

(15:26)

  1 Cp1 þ Cout2 Cp1 þ Cm1 ð1 þ gm1 rce2 Þ Cout2 þ Cm1 þ þ b¼ Rg þ rx1 rp1 PC rce2

V1

(15:27) and Q3



Rg þ rx1 þ rp1 þ rce2 ðgm1 rp1 þ 1Þ   PC Rg þ rx1 rp1 rce2

Q2

(15:28)

Note that the output capacitance of Q2 can be approximated as the sum of Cm2 and Ccs2.

FIGURE 15.11 lower.

Emitter fol-

Bipolar Junction Transistor Amplifiers Rg

b1

rx

15-15

b΄1

c1 Cμ

vin





Cπ1

gmvπ

vout

e1,c2 rout2

Cout2

FIGURE 15.12 Equivalent circuit for the emitter follower.

The midband voltage gain is found from Equation 15.25 by letting v ! 0. This value is AMB ¼

ð1 þ gm1 rp1 Þrce2 Rg þ rx1 þ rp1 þ ð1 þ gm1 rp1 Þrce2

(15:29)

This gain is very near unity for typical element values. The bandwidth is more difficult to calculate since the response has one zero and two poles. The zero for the circuit of Figure 15.12 is typically larger than the lowest frequency pole. If these frequencies canceled, the larger pole would determine the corner frequency. Since they do not cancel, the overall upper corner frequency is expected to be smaller than the larger pole frequency. An accurate calculation can be made from Equation 15.25 when the parameters are known. In high-frequency design, it must be recognized that the output impedance of the emitter follower can become inductive [7].

15.5 Compound Stage Building Blocks for IC Amplifiers Several of the single stages of Section 15.4 can be combined to construct high-performance two-stage building blocks.

15.5.1 Cascode Amplifier Stage One of the problems with the common-emitter stage using an active load is the Miller effect. This stage has a high voltage gain from base to collector. The circuit of Figure 15.8 has an inverting voltage gain, AMB, that can be quite high, perhaps 700 V=V. The base-collector junction capacitance is multiplied by (1 þ jAMBj) and reflected to the input loop. This capacitance adds to the diffusion capacitance from point b0 to point e and can lower the upper corner frequency to a relatively small value. On the other hand, the common-base stage of Figure 15.10 has essentially no Miller effect, but has a low input impedance and can load the impedance of the driving source. The cascade stage combines the best features of both the common-emitter and common-base stages. The cascode amplifier stage of Figure 15.13 minimizes the capacitance reflected to the input. The input impedance to the circuit is that

Fundamentals of Circuits and Filters

15-16 +VCC I vout Q2

of the common-emitter stage and is two orders of magnitude higher than the common-base stage. In this circuit, the input capacitance is primarily composed of the diffusion capacitance of Q1. The voltage gain from base-to-collector of Q1 is quite low since the collector load of this device consists of the impedance looking into the emitter of Q2. This impedance is approximately equal to the base-emitter diode resistance of Q2 which is

VB2

re2 ¼

26 1E2

Q1

With low voltage gain, the Miller effect of the first stage is minimized. The upper device passes the incremental signal current of Q1 to its collector and develops a large voltage across the current source impedance. There is no Miller multipliVB1 cation of capacitance from the input of Q2 (emitter) to the output (collector) since the gain is noninverting and negligible capacitance exists between emitter FIGURE 15.13 Cas- and collector. Thus, the cascode stage essentially eliminates Miller effect capacitance and its resulting effect on upper corner frequency. code amplifier. A high-frequency equivalent circuit of this stage is shown in Figure 15.14. The resistance R includes any generator resistance and the base resistance, rx1 of Q1. The resistance rcs is the output resistance of the current source. The output capacitance is the sum of Cm2, Ccs2, and any capacitance at the current source output. The resistance rout2 can be quite large since Q2 sees a large emitter resistance looking into the collector of Q1. This emitter load leads to negative feedback that increases the output resistance of Q2. The midband voltage gain is calculated from the equivalent circuit of Figure 15.14 after eliminating the capacitors. This gain is found rather easily by noting that the input current to Q1is Vin

ib1 ¼

vin R þ rp1

(15:30)

This current will be multiplied by b1 to become collector current in Q1. This current also equals the emitter current of Q2. The emitter current of Q2 is multiplied by a2 to become collector current of Q2. The output voltage is then R

vπ1

b΄1

c1

Cπ1

rπ1

vin

Cμ1≈ 0

gm1vπ1

c2

rout2

vπ2

re2

Cπ2

b2

e1

gm2vπ2

e2

vout Cout2

FIGURE 15.14 Equivalent circuit for the cascode amplifier.

rcs

Cout

cs

Bipolar Junction Transistor Amplifiers

15-17

vout ¼ ic2  R3

(15:31)

where R3 ¼ rout2jjrcs. This resistance could be very large if the current source resistance, rcs, is large. The value of rout2 will be high since the emitter of Q2 sees a resistance of rce1. Combining this information results in a midband voltage gain of AMB ¼

b1 a2 R3 R þ rp1

(15:32)

If no generator resistance is present and if R3 ¼ 100 kV, this midband voltage gain might exceed 5000 V=V. The gain as a function of frequency can be found as A ¼ AMB

1 1 1 ½1 þ jvCp1 ðrp1 k RÞ ½1 þ jvre2 Cp2  ½1 þ jvR3 Cout 

(15:33)

Typically, the corner frequency of the second frequency term in Equation 15.33, that is, f2 ¼

1 2pre2 Cp2

is much higher than that of the first term, fin-high ¼

1 2pðrp1 k RÞCp1

This is especially true if R is large compared to rp1. In this case, since re1  re2 as a result of equal emitter currents, then re2  (b þ 1)re1. For hand analysis of the cascode circuit, the second term in the expression for gain is often neglected. The gain can then be written as A ¼ AMB

1

1

1 þ j fin

f

-high

1 þ j fout f high -

(15:34)

where fout-high ¼

1 2pCout R3

(15:35)

and fin-high was defined previously. The capacitance Cout is the sum of the current source output capacitance and the output capacitance of Q2 giving Cout ¼ Cout2 þ Coutcs If a current mirror with output stage Q3 generates the collector bias current for Q1 and Q2, the output capacitance is Cout ¼ Cm2 þ Cm3 þ Ccs2 þ Ccs3

Fundamentals of Circuits and Filters

15-18

15.5.2 Differential Stage The differential pair or differential stage is very important in the electronic field. Virtually every op-amp chip includes a differential pair as the input stage. Some advantages of the differential stage are its relative immunity to temperature effects and power supply voltage changes, and its ability to amplify dc signals. The differential amplifier uses a pair of identical stages connected in a configuration that allows the temperature drift of one stage to cancel that of the other stage. The basic configuration of a differential stage is shown in Figure 15.15. The two devices can be connected and operated in several different configurations. The mode of operation most often used with the differential amplifier is the differential input-double-ended output mode. Differential input refers to a situation wherein the voltage appearing across the input of one stage is equal in magnitude, but opposite in polarity to the voltage appearing across the input of the other stage. Double-ended output refers to the fact that the output voltage is taken as the difference in voltage between the output voltage of each stage. In single-stage amplifiers, the output voltage appears between the circuit output and ground. This is called a single-ended output. In Figure 15.15, the double-ended output voltage is vout ¼ vo1  vo2 or vout ¼ vo2  vo1 depending on the choice of output terminals. If this differential pair used a single-ended output, it could be taken between the output of stage 1 and ground or the output of stage 2 and ground. A second input mode that could be used is the common mode. If the same signal is applied to both inputs, the circuit is said to operate in common mode. If the amplifier stages have exactly equal gains, the signals vo1 and vo2 will be equal. The double-ended output signal would then be zero in the ideal differential stage operating in common mode. In practice the two amplifier gains will not be identical; thus, the common-mode output signal will have a small value. The common-mode gain ACM is defined as ACM ¼

vo1  vo2 ¼ A1  A2 vCM

(15:36)

where vCM is the common-mode voltage applied to both inputs and A1 and A2 are the voltage gains of the two stages. The differential gain applies when the input signals v1 and v2 are not equal and the gain in this case is AD ¼

vo1  vo2 A1 v1  A2 v2 vout ¼ ¼ v1  v 2 v1  v 2 v1  v 2

(15:37)

where AD is the differential voltage gain of the amplifier with a double-ended output. If the double-ended output had been defined as vo2  vo1 rather than vo1  vo2, only the algebraic sign of AD would change.

v1

FIGURE 15.15 Differential pair.

A1

vo1

vo2

A2

v2

Bipolar Junction Transistor Amplifiers

15-19

In the general case, both common-mode and differential signals will be applied to the amplifier. This situation arises, for example, when the differential inputs are driven by preceding stages that have an output consisting of a dc bias voltage and an incremental signal voltage. Using superposition, the output voltage for this case can be calculated from vout ¼ AD ðv1  v2 Þ þ ACM vCM

(15:38)

The common-mode input voltage can be found from vCM ¼

v1 þ v 2 2

(15:39)

In the ideal situation with perfectly symmetrical stages, the common-mode input would lead to zero output, thus a measure of the asymmetry of the differential pair is the common-mode rejection ratio defined as CMRR ¼ 20 log

jAD j dB jACM j

(15:40)

If jADj ¼ 100 V=V and jACMj ¼ 0.01 V=V, this value would be CMRR ¼ 20 log

100 ¼ 80 dB 0:01

The larger the CMRR, the smaller is the effect of ACM on the output voltage compared to AD. A big advantage of the differential stage is in the cancellation of drift at the double-ended output. Temperature drifts in each stage are often common-mode signals. For example, the change in forward voltage across the base-emitter junction with constant current is about 2 mV=8C. As the temperature changes, each junction voltage changes by the same amount. These changes can be represented as equal voltage signals applied to the two inputs. If the stages are closely matched, very little output drift will be noted. The integrated differential amplifier can perform considerably better than its discrete counterpart since component matching is more accurate and a relatively uniform temperature prevails throughout the chip. Power supply noise is also a common-mode signal and has little effect on the output signal if the common-mode gain is low. As previously mentioned, the mode of operation most often used with the differential amplifier is the differential input, double-ended output mode. In this configuration, the input voltage applied to one stage should be equal in magnitude, but opposite in polarity to the voltage applied to the other stage. One method of obtaining these equal magnitude, opposite polarity signals using only a single input source is shown in Figure 15.16.

a

+

vin/2 b

A1

vo1 vo2

A2



vin

FIGURE 15.16 Differential input obtained from a single input source.

a – vin/2 b +

Fundamentals of Circuits and Filters

15-20

If the input resistances of both stages are equal, half of vin will drop across each stage. While the voltage from terminal a to terminal b of stage 1 represents a voltage drop, the voltage across the corresponding terminals of stage 2 represents a rise in voltage. We can write v1 ¼

vin 2

and v2 ¼ 

vin 2

Each stage has the same magnitude of input voltage, but is opposite in polarity to that of the other stage. From Equation 15.37 we can write the differential gain as vout vo2  vo1 vo2  vo1 ¼ ¼ vin v1  v 2 vin =2  ðvin =2Þ A1 v2in þ A2 v2in A1 þ A2 ¼ vin vin ¼ 2 2 þ 2

AD ¼

(15:41)

15.5.3 BJT Differential Pair A BJT differential pair is shown in Figure 15.17. 15.5.3.1 Small-Signal Voltage Gain Figure 15.18 represents a simple equivalent circuit for the BJT differential pair. The steps in calculating the single-ended or double-ended voltage gain of a differential pair are 1. 2. 3. 4.

Calculate the input current as iin ¼ Rvinin . Note that the base currents are related to iin by ib1 ¼ iin and ib2 ¼ iin. Calculate collector currents as ic1 ¼ b1ib1 and ic2 ¼ b2ib2. Calculate collector voltages from vo1 ¼ icRCeq1 and vo2 ¼ i2RCeq2, where RCeq ¼ RC k rout

(15:42) VCC

With these values, the single-ended or double-ended voltage gain can be found. In the normal situation, we assume perfectly symmetrical pairs with b1 ¼ b2 ¼ b and RC1 ¼ RC2 ¼ RC. We also assume that the bias current I splits equally between the two stages giving IE1 ¼ IE2 ¼ I=2. The single-ended gain of the first stage of Figure 15.17 is found to be AS1 ¼

bRCeq vo1 ¼ vin 2(b þ 1)re

(15:43)

The single-ended gain of the second stage equals this value in magnitude, but shows no phase inversion and therefore, has a positive algebraic sign.

RC1 vo1

RC2 vo2 Q2

Q1 vin

I

–VCC

FIGURE 15.17 BJT differential pair.

Bipolar Junction Transistor Amplifiers

15-21 vo1

C1

RC1

rout1

βib1

vo2

C2

RC2

rout2

B1

βib2

B2 ib1

rπ2

rπ1

ib2

(β + 1)re2

(β+1)re1 E1,E2

FIGURE 15.18 Equivalent circuit of the differential pair.

The double-ended differential gain is

AD ¼

vo2  vo1 ¼ vin

bvin 2(bþ1)re

RCeq 



bvin 2(bþ1)re

vin

 RCeq

¼

bRCeq (b þ 1)re

(15:44)

This differential double-ended voltage gain is equal in magnitude to the voltage gain of a single transistor amplifier with a load of RC and no external emitter resistance. The advantages of decreased temperature drift and good power supply rejection in critical applications, and a dc input reference of zero volts are typically far more important than the necessity of using an extra device, especially for IC chips. The previous calculations for the differential pair assume there is no load across the output terminals. If this circuit drives a succeeding stage, the input impedance to this following stage will load the differential pair. One approach to this problem results from noting that when the collector of one BJT is driven positive by the input signal, the other collector moves an equal voltage in the opposite direction. Each end of the load resistor, RL, is driven in equal but opposite directions. The midpoint of the resistor is always at 0 V, for incremental signals. To calculate the loaded voltage gain, a resistance of RL=2 can be placed in parallel with each collector resistance to give an equivalent collector resistance of RCeq ¼ RC k RL =2

(15:45)

Equation 15.44 can now be used to calculate the loaded differential gain.

15.6 Operational Amplifiers Very few circuits have had an impact on the electronics field as great as that of the op-amp circuit. This name is a shortened version of operational amplifier, a term that has a rather specific meaning. As mentioned in Section 15.2, before IC chips became available, operational amplifiers were expensive, highperformance amplifiers used primarily in a system called an analog computer. The analog computer was a real-time simulator that could simulate physical systems governed by differential equations. Examples of such systems are circuits, mechanical systems, and chemical systems. One popular use of the analog computer was the simulation of automatic control systems. The control of a space vehicle had to be designed on paper before test flights took place. The test flights could then be used to fine-tune the design. The analog computer was far more efficient than the digital computer of the day in simulating differential equations and was far less costly.

Fundamentals of Circuits and Filters

15-22

The op-amp was an important component of the analog computer that allowed several mathematical operations to be performed with electronic circuits. The op-amp could be used to create a summing circuit, a difference circuit, a weighting circuit, or an integrating circuit. Using these capabilities, complex differential systems can be created with an output voltage that represents the physical output variable of the simulated system. The time variable may be scaled, but for many simulations, the output represents a real-time solution. One of the key features of an op-amp is the differential input to the amplifier. This allows differences to be formed, and also allows the creation of a virtual ground or virtual short across the input terminals. This virtual short is used in summing several current signals into a node without affecting the other input current signals. These signals are then summed and easily converted into an output voltage. The virtual ground also allows the formation of rather accurate integrals the op-amp using an additional resistor and capacitor. This feature is essential in the simulation of differential equations. Other amplifiers are sometimes mistakenly referred to as op-amps, but unless these amplifiers possess the capability to create a virtual ground and do mathematical operations, this is a misnomer. The first IC op-amp was introduced by Fairchild Semiconductor Corporation in 1964. This chip, designated the mA702 or 702, was followed shortly by the 709 which was the first analog IC product to receive wide industry acceptance. The National LM101 and Fairchild 741 advanced the field and eliminated the external compensation capacitors required for the earlier models. The ensuing popularity and low price of the 741 allowed op-amps to be treated as a component rather than a subcircuit that must be designed. Today, op-amps are available in bipolar (BJT), CMOS, and BiCMOS (BJT=CMOS) technologies and designers have the option of including dozens of op-amps and other circuits on a single chip. Modern op-amps generally use the same architecture developed in the LM101=741 circuits with performance improvements resulting from improved processing techniques. The configuration of an op-amp offers one important advantage for IC amplifiers. This advantage is the op-amp’s ability to allow the input signal to be referenced to any dc voltage, including ground, within the allowed input range. This eliminates the need for a large coupling capacitor to isolate a dc input transducer from an amplifier input.

15.6.1 Classical IC Op-Amp Architecture While the technology used to implement op-amps has changed considerably over the years, the basic architecture has remained remarkably constant [4]. This section first discusses that architecture and some approaches to its implementation. After this topic is considered, the subject will turn to methods of specifying amplifier performance. The architecture of many op-amps appears as shown in Figure 15.19. The first section is a differential amplifier required by all op-amps to allow a virtual ground and the implementation of mathematical operations. This stage is generally designed to have a very high differential voltage gain, perhaps a few thousand. The bandwidth is generally rather low as a result of the high voltage gain. In some cases, this differential amplifier will use an active load that will also convert the double-ended output of the differential stage to a single-ended output that will drive the second voltage amplifier. The second stage of Figure 15.19 is a single-ended voltage amplifier with a relatively high voltage gain. This gain may reach values of 500 V=V. Often this stage is used to compensate the op-amp, a topic that will be discussed later in this chapter. For now it is sufficient to say that this stage will probably be an

vin

Differential input stage

FIGURE 15.19 Classical op-amp architecture.

Voltage amplifier

Current amplifier (buffer)

vout

Bipolar Junction Transistor Amplifiers

15-23

inverting stage that can multiply the apparent value of some capacitance placed between the input and output of the stage. The large capacitive load presented to the output of the first stage due to the Miller effect will lead to a very low upper corner frequency, perhaps 10–100 Hz, for the first stage. The last stage is the output stage. It may be nothing more than an emitter follower that has a large current amplification along with a voltage gain that is near unity. This stage will have a very high upper corner frequency.

15.6.2 High-Gain Differential Stage The normal way to achieve high voltage gains is to use an active load for the amplifying stages. The incremental resistance presented to the amplifying stage is very high while the dc voltage across the active load is small. One popular choice for an active differential stage load is the current mirror. This load provides very high voltage gain and also converts the double-ended output signal to a single-ended signal referenced to ground. Figure 15.20 shows a block diagram of such an arrangement. With no input signal applied to the differential stage, the tail current splits equally between Idiff1 and Idiff 2. The input current to the mirror equals this value of Itail=2. This value is also mirrored to the output of the mirror giving Iout ¼ Itail=2. We will assume that the voltage between the current mirror output and the second differential stage is approximately zero, although this assumption is unnecessary to achieve the correct result. When a signal is applied to the differential input, it may increase the current Idiff1 by a peak value of DI. The input current to the mirror now becomes Iin ¼

Itail þ DI 2

The output current from the mirror also equals this value. However, the input signal to the differential stage will decrease Idiff2 by the same amount that Idiff1 increases. Thus, we can write Idiff2 ¼

The current to the resistance R increases from its quiescent value of zero to

V

IR ¼ Iout  Idiff2 ¼ 2DI

Current mirror In

The incremental output voltage resulting is then

Out Iout

Iin Idiff 1 vin

Idiff 2

IR vout R

Differential stage

Itail

FIGURE 15.20 load.

Itail  DI 2

Differential stage with current mirror

vout ¼ 2RDI

(15:46)

When an incremental input signal is applied to the differential pair, half of this voltage will drop across each base–emitter junction of the pair. This results in equal incremental differential stage currents in the two output devices, but they will be in opposite directions. An incremental input signal, vin, will produce incremental currents of idiff1 ¼ DI ¼

gm vin 2

(15:47)

Fundamentals of Circuits and Filters

15-24

and idiff2 ¼ DI ¼ 

gm vin 2

(15:48)

where gm is the transconductance of devices 1 and 2. Assuming negligibly large output resistances of the current mirror and the differential stage, the incremental output voltage becomes vout ¼ 2idiff1 R ¼ gm Rvin with a resulting midband voltage gain of AMB ¼ gm R

(15:49)

If the output resistances of the mirror and differential stage are significant, the voltage gain can be found by combining these resistances in parallel with the load resistance to form Reff ¼ RoutkR. This resistance then replaces R in Equation 15.49. The load resistance may, in fact, be the incremental input resistance of the following stage. Very large values of voltage gain can result from this configuration. For the BJT, the transconductance is given by gm ¼ a=re  1=re. While this expression for voltage gain is the same as that for the differential gain of a resistive load stage, given by Equation 15.44, two significant points should be made. First of all, the impedance R can be much greater than any resistive load that can be used in a differential stage. Large values of R in the differential stage would cause saturation of the stages for reasonable values of tail currents. In addition, large values of R are more difficult to fabricate on an IC chip. The current mirror solves this problem. The second point is that the output voltage of the differential pair with a current mirror load is a single-ended output which can be applied to a following simple amplifier stage. However, the rejection of commonmode variables caused by temperature change or power supply voltage changes is still in effect with the current mirror stage. If a resistive load differential stage must provide a single-ended output, the gain drops by a factor of 2, compared to the double-ended output, and common-mode rejection no longer takes place.

15.6.3 Second Amplifier Stage Before the purpose of the second amplifier stage can be fully understood, a discussion on circuit stability must take place. 15.6.3.1 Feedback and Stability of the Op-Amp The op-amp is used in a feedback configuration for essentially all amplifying applications. Because of nonideal or parasitic effects, it is possible for the feedback amplifier to exhibit unstable behavior. Oscillations at the output of the amplifier can exist, having no relationship to the applied input signal. This, of course, negates the desired linear operation of the amplifier. It is necessary to eliminate any undesired oscillation signal from the amplifier. The open-loop voltage gain of a three-stage amplifier such as that of Figure 15.19 may be represented by a gain function of A¼

A  MB   1 þ j Pv1 1 þ j Pv2 1 þ j Pv3

(15:50)

where P1, P2, and P3 are the dominant poles of gain stages 1, 2, and 3. The quantity AMB is the lowfrequency or midband gain of the amplifier.

Bipolar Junction Transistor Amplifiers RF

When this op-amp is used in a negative feedback configuration such as that shown in Figure 15.21, the loop gain must be analyzed to see if the conditions for oscillation occur. The feedback factor for this circuit is

– vin

15-25

vout

+



R2 R2 þ R F

(15:51)

In order to check the stability of this circuit, a zero volt input signal (short circuit) can be applied to the noninverting input terminal. The loop gain from inverting terminal to output and back to inverting terminal can then be found with the noninverting terminal shorted FIGURE 15.21 Noninverting op-amp stage. to ground. When this is done, it is found [4] that the amplifier is unstable for most practical values of RF and R2. The worst case condition occurs as R2 approaches infinity and RF approaches zero as in the case of a unity-gain voltage buffer. One measurement of stability is referred to as the phase margin. This quantity is defined in terms of the phase shift of AF that exists when the magnitude of AF has dropped to unity. The number of degrees less than 1808 at this frequency is called the phase margin. Most amplifiers target a phase margin of 458or more. One possibility to achieve a reasonable phase margin is to intentionally modify one of the three upper corner frequencies of the op-amp. For example, if the pole associated with the first stage is lowered by several factors, the amplifier can become stable, even when used as a unity-gain voltage buffer. The frequency response of this gain is sketched in Figure 15.22 for a midband gain of 300,000. We note that the magnitude of this gain has fallen below a value of unity (0 dB) before the second upper corner frequency of 2 3 106 is reached. The phase shift of AF at the frequency where the magnitude has dropped to unity may be 1308 resulting in a phase margin of 508. Normally, engineers do not destroy bandwidth of a stage intentionally, however in this case it is necessary to stabilize the operation of the op-amp in the feedback configuration. Lowering the upper corner frequency of one stage is not a trivial matter. While it may not need to be reduced to 10 rad=s in a practical op-amp, it is often lowered to 10–100 Hz. A capacitor must be added to the appropriate point in the stage to drop this frequency, but a relatively large capacitor is required. In the early days of the IC op-amp, the two terminals between which a capacitor was to be R2

|A| 300,000

2 × 106 1

10

FIGURE 15.22 Op-amp frequency response.

ω rad/s

15-26

Fundamentals of Circuits and Filters

added were connected to two external pins of the chip. A discrete capacitor of sufficient value was then added externally. In 1967, the capacitor was added to the IC chip using the Miller effect to multiply the capacitor value. Returning to Figure 15.19, it is seen that a capacitor can be added between input and output of the second stage. This is typically a capacitor of value 30 pF that, due to the Miller effect, is multiplied by a factor of (A2 þ 1) where A2 is the gain of the second stage. The Miller capacitance is reflected to the input of the second stage that loads the output of the first stage. This large effective capacitance, driven by the large output impedance of the first stage produces a very low upper corner frequency for the first stage. In a 741 op-amp design, the gain A2 is approximately 400 V=V. With a 30 pF capacitance bridging input to output, the effective capacitance at the input is about 0.012 mF. This creates a bandwidth for the op-amp of 10 Hz or 62.8 rad=s. This method of solving the instability problem is referred to as dominant pole compensation. The lower value of pole frequency is seen from Figure 15.22 to dominate the amplifier performance up to frequencies above the useful range of gain. It should be mentioned that op-amps for specific applications may not need to be stabilized for the unity gain configuration. The minimum gain required by the amplifier may be 2 V=V or 3 V=V or some other value that is easier to compensate. In such cases, the dominant pole need not be decreased to the level of the general purpose op-amp. The resulting frequency performance of the op-amp can then be higher than that of the general purpose stage.

15.6.4 Op-Amp Specifications There are several nonideal effects in the op-amp that detract from its overall performance. Mismatching of devices in the input differential pair, required bias currents, and junction voltage temperature effects can degrade the performance. These effects are described in terms of the following specifications. The diagram of Figure 15.23 is used to define various terms used in these definitions. Input offset voltage (VOS): Mismatch of the transistors in the differential input stage leads to a finite output dc voltage when both inputs are shorted to ground. This finite output voltage is called the output offset voltage. A slight voltage mismatch in the differential pair is amplified by succeeding stages to create a larger voltage at the output. Inaccurate biasing of later stages also contribute to the output offset. Inaccuracies in later stages are amplified by smaller factors than are early stage inaccuracies. The input offset voltage is the voltage that must be applied across the differential input terminals to cause the output voltage of the op-amp to equal zero. Theoretically, this voltage could be found by measuring the output voltage when the input terminals are shorted, then dividing this value by the gain of the op-amp. In practice, this may not be possible as the gain may not be known or the output offset may exceed the size of the active region. The value +V of VOS is typically a few millivolts for monolithic or IC op-amps. Input offset voltage drift (TCVOS): Temperature changes affect certain parameters of the transistors, leading to a drift in the output dc offset voltage with temperature. In BJT devices, the voltage across the base-to-emitter junction for a constant emitter current drops by approximately 2 mV=8C. Small drifts of voltage in early stages will be amplified by following stages to produce relatively large drifts in output voltage. Because the output dc signal may not exist within the active region of the op-amp, the drift is again referred to the input. The input offset voltage drift is defined as the change in VOS for a 18C change in temperature (near room temperature). A value of 10–20 mV=8C is typical for IC op-amps.

v2

IB2 +

v1

v0

– IB1

–V

FIGURE 15.23 Op-amp.

Bipolar Junction Transistor Amplifiers

15-27

Input bias current (IB): A BJT differential stage will require a finite amount of base current for biasing purposes. This is true even if both inputs are grounded. The input bias current of an op-amp is defined as the average value of bias current into each input with the output driven to zero. The two bias currents are generally slightly different so IB is IB ¼

ðIB1 þ IB2 Þ 2

(15:52)

Input offset current (IOS): The input offset current is the difference between the two input bias currents when the output is at zero volts. This parameter is IOS ¼ jIB1  IB2 j

(15:53)

Common-mode input voltage range (CMVR): The voltage range over which the inputs can be simultaneously driven without causing deterioration of op-amp performance is called the common-mode voltage range or CMVR. In most op-amps, the CMVR is a few volts less than the rail-to-rail value of the power supplies. In many applications, both inputs are forced to move together due to the virtual short between the input terminals when negative feedback is used. Common-mode rejection ratio (CMRR): The ratio of input common-mode voltage to change in input offset voltage is called the common-mode rejection range or CMRR. An equivalent definition is the ratio of differential voltage gain to common-mode voltage gain. IC op-amps range from 80 to 100 dB for the CMRR. This parameter was mentioned earlier in the chapter and is a measure of the mismatch of incremental gain from each of the two inputs to output. If the incremental gains from each input to output were equal, the CMRR would be infinite. Power supply rejection ratio (PSRR): The power supply rejection ratio or PSRR is the ratio of change in the input offset voltage to a unit change in one of the power supply voltages. An op-amp with two power supplies requires that a PSRR be specified for each power supply.

15.7 Wideband Amplifiers The development of wideband amplifiers with discrete circuits progressed rapidly during World War II with vacuum tubes and into the 1960s with BJT circuits. Although many important techniques were perfected between 1940 and 1960, these methods are not generally applicable to wideband IC design. Many of these approaches to wideband amplifier design required relatively large inductors for shunt peaking and relatively large coupling capacitors to ac couple individual stages. While small inductors are now available on IC chips, the range of values limit their usage. Likewise, the limitation on IC capacitor sizes precludes the use of coupling capacitors for IC amplifiers. These difficulties are mitigated in IC design by using additional transistors, however, the benefit of past theoretical developments is then largely unused. Furthermore, the absence of coupling capacitors in IC amplifiers leads to a much stronger interaction between dc and ac design of each stage than is present in discrete design. Two of the more popular methods of IC wideband amplifier design that overcome the limitations on IC circuits are based on composite stages or feedback cascades [7,8].

15.7.1 Composite or Compound Stages The wideband amplifier of Figure 15.24 is a classic architecture on which many present amplifiers are based. This schematic is for the RCA CA3040 [8]. The input is a buffered, differential, cascode pair. The transistors T1 and T4 are emitter follower stages while the pair of devices T2 and T3 forms a cascode

Fundamentals of Circuits and Filters

15-28

+6V R3

R4

1.32

1.32 T8

T7 T3 Input A

D1 D2

T2

R1

A Output

T6

T1

+VCC

Input R5 B

T4

5.25 R6

B Output

5.25

T5

IT

4.8

R2

4.8

R7

2.32

R8

0.67

T9

R9

0.12

–VEE

FIGURE 15.24 RCA CA3040 wideband amplifier.

stage as also does the pair of devices T5 and T6. Transistor T9 with its emitter degeneration resistance forms a high output impedance current source. Transistors T7 and T8 buffer the output signals to allow relatively high output currents. Since the input is differential, the input signal can be referenced to ground eliminating the need for a coupling capacitor. The frequency response of the gain extends from dc up to approximately 55 MHz with a constant gain of 30 dB. The Motorola MC1490 [8] is another typical wideband amplifier chip that can be used for radio frequency or audio applications. It has a power gain of 50 dB at 10 MHz and 35 dB at 100 MHz. It also has a built-in automatic gain control to allow usage as an intermediate frequency amplifier.

15.7.2 Feedback Cascades The circuit of Figure 15.25 shows the schematic of the MC1553 wideband amplifier using a feedback triple [7]. Transistors T1, T2, and T3 make up the feedback triple using resistor RF to create a feedback path. This path establishes the incremental voltage gain and also provides dc feedback to keep T1, T2, and T3 in their active regions. There is a second feedback path from the current mirror output transistor T6, but this is primarily dc feedback. The capacitor CB is a large, external bypass capacitor that must be added to the circuit to decouple the ac feedback to the input stage. This IC amplifier has a voltage gain of 50 V=V with a bandwidth of 50 MHz. Although the capacitor CB is required in some applications, if the amplifier is driven by a low impedance source, it is unnecessary to add this element. In recent years, both wideband and narrowband amplifiers in the 1–6 GHz range have become more significant. Many companies that provide amplifiers in this frequency range use HBT designs with SiGe, GaAs, GaN, or other material to achieve the necessary frequency performance.

Bipolar Junction Transistor Amplifiers

15-29 +VCC

R1 I1

T5

RL

R2

I5

T4 CP T3

vout

T2 vin

T1

R3 RE1

RF

RE2

R4

I6 T8

R5

CB

T6

T7

FIGURE 15.25 MC1553 wideband amplifier.

15.8 IC Power Output Stages [3] If an IC power amplifier is to occupy a relatively small volume, the output power will be limited. This is due to the limitation imposed on the thermal conductivity of a small-area power device. A discrete transistor mounted on a large heat sink will exhibit a much higher thermal conductivity than that of the smaller IC chip. This limits the power that can be dissipated by the output device or devices of the IC as the junction temperature will be higher with the lower thermal conductivity of the IC chip. Typically, this limitation leads to an IC power output stage that is implemented in a high-efficiency configuration. Generally, the output stage for power outputs in the range of a few watts will use a class-B configuration while those with tens or hundreds of watts will use a class-D configuration. One of the most significant limitations on dissipation is the junction temperature. As the temperature rises, several potentially dangerous effects may occur. First, the solder or alloys used in the transistor can be softened or even melted. Second, the impurity profiles in the doped regions can be affected if elevated temperatures exist for long periods of time. A third result of higher temperatures is the increase in collector leakage current. In power transistors, this current doubles for an incremental increase in temperature of 78C–108C. The leakage current, Ico, at temperature T2 can be related to Ico at temperature T1 by Ico ðT2 Þ ¼ Ico ðT1 Þ  2ðT2 T1 Þ=TK where TK can range from 78C to 108C. For a temperature increase of 1008C, the minimum factor of increase in Ico is 1024 (2100=10), whereas the maximum factor is approximately 20,000 (2100=7). This marked increase in Ico can lead to increased power dissipation which leads to an increased temperature followed by a further increase in Ico. In some cases, this feedback effect is large enough to cause thermal runaway and destroy the transistor. This effect is minimized by placing a resistance in the emitter to decrease the forward bias on the base–emitter junction as current increases. In other situations, the leakage current can approach the value of the quiescent collector current. Since leakage current is not controlled by the applied input signal, its effects can severely limit the amplifying

Fundamentals of Circuits and Filters

15-30

properties of the stage. For these reasons, the manufacturer places a limit on the maximum allowable junction temperature of the device. The maximum junction temperature is therefore an important quantity that limits the power a transistor can deliver. The junction temperature will be determined by the power being dissipated by the transistor, the thermal conductivity of the transistor case, and the heat sink that is being used. The collector junction is the point at which most power is dissipated; hence, it is this junction that concerns us here. Basically, manufacturers specify the allowable dissipation of a transistor in two ways. One way is to specify the maximum junction temperature along with the thermal resistance between the collector junction and the exterior of the case. Although this method is very straightforward, it incorrectly implies that the allowable power increases indefinitely as the transistor is cooled to lower temperatures. Actually, there is a maximum limit on the allowable dissipation of the transistor which is reflected by the second method of specification. This method shows a plot of allowable power dissipation versus the temperature of the mounting base. Quite often this plot shows power dissipation versus ambient temperature, where an infinite heat sink is used. However, if the transistor could be mounted on an infinite heat sink, the ambient temperature would equal the mounting base temperature; thus both plots convey the same information. This second method indicates the maximum allowable power dissipation, in addition to the maximum junction temperature. The maximum limit on power dissipation ensures that chip temperature differentials do not become excessive as excess power is dissipated in collector regions. It also minimizes the possibility of excessive collector currents in typical applications.

15.8.1 Thermal Resistance The thermal resistance of a material is defined as the ratio of the temperature difference across the material to the power flow through the material. This assumes that the temperature gradient is linear throughout. The symbol u is used for thermal resistance, and u¼

DT Temperature difference across conductor ¼ P Power flowing through conductor

(15:54)

The diagram of Figure 15.26 represents the thermal circuit of an IC chip, including the output transistor, surrounded by free air. Here, uJM is the thermal resistance from collector to mounting base and uA is the thermal resistance of that portion of air in contact with the mounting base; TA is the temperature of air far away from the transistor; TM is the mounting base temperature; TJ is the collector junction temperature. The power P will be determined by the electrical circuit that includes the output transistor; P, in turn, will determine the temperatures TJ and TM. The temperature TJ can be written as TJ ¼ TA þ PðuJM þ uA Þ

(15:55)

This equation shows that as more power is dissipated by the output transistor, TJ must rise. For high-power IC chips mounted in a TO-3 package, uA is usually many times greater than uJM.

Transistor power dissipation

TJ P

FIGURE 15.26 Transistor dissipating power in free air.

TM θJM

TA θA

Bipolar Junction Transistor Amplifiers

15-31

TJ

Transistor power dissipation

TM

P

θJM

TA θHS

FIGURE 15.27 Transistor mounted on heat sink.

If uJM were 18C=W, then uA might be 58C=W to 208C=W. Of course, uA depends on the area of the IC package. The diagram of Figure 15.27 represents the thermal circuit of the IC chip mounted on a heat sink. The power that can be dissipated without exceeding the maximum junction temperature is found from solving the preceding equation to result in Pmax ¼

TJ  T A uJM þ uHS

(15:56)

The values of uHS presented between the chip case and free air might range from 0.48C=W for air-cooled systems to 28C=W for flat vertical-finned aluminum heat sinks to 88C=W for cylindrical heat sinks that slide over the chip package. For each thermal circuit, the amount of allowable power dissipation is fixed.

15.8.2 Circuit or Conversion Efficiency The efficiency of a power output stage is a measure of its effectiveness in converting dc power to ac output power. It is defined as h¼

Pout PS

(15:57)

where Pout is the average output power PS is the power supplied by the dc power supply A useful relationship between the allowable dissipation of the chip and the maximum output power can be found by assuming that the power delivered from the dc source is dissipated by the output transistor and the load. This assumption would be very inaccurate for a class-A, resistive load stage, in which the resistor dissipates significant dc power. For many class-B or class-D stages, the assumption is not unreasonable. In equation form, this assumption is expressed by PS ¼ Pout þ PT

(15:58)

where PT is the actual dissipation of the output transistor or transistors. In terms of the circuit efficiency, the transistor dissipation can be written as PT ¼ (1  h)PS ¼ (1  h)

Pout h

(15:59)

Solving for Pout in terms of PT leads to Pout ¼

h PT 1h

(15:60)

Fundamentals of Circuits and Filters

15-32

The effect of circuit efficiency on output power can be demonstrated by assuming that the maximum allowable output stage dissipation is 5 W. If the circuit efficiency is 50% or h ¼ 0.5, the maximum output power calculated from Equation 15.60 is also 5 W. If the efficiency is increased to 78.5%, the maximum efficiency of an ideal class-B stage, the maximum output power is found to be 18.26 W. Increasing the circuit efficiency to 98% a figure approached in a near-ideal class-D stage, the maximum output power becomes 245 W. In this case, an output stage that can dissipate 5 W delivers 245 W to the load. Although these calculations are based on some idealizations, it clearly shows the importance of using a circuit configuration that leads to a high value of efficiency. This explains the popularity of the class-B stage and the class-D stage in IC design as opposed to the class-A stage.

+VCC

I2

I1 T1

D1

vout

D2 vin

T2

RL

T3

R1

–VCC

15.8.3 Class-B Output Stage

FIGURE 15.28 Class-B IC stage.

A class-B stage that can be integrated is shown in Figure 15.28. The current source I1 consists of an IC current-source stage to provide a small bias current through diodes D1 and D2. A small quiescent collector current I2 is necessary to reduce crossover distortion at the output. As vin swings positive the input to T2 goes negative, turning T2 on while shutting T1 completely off. A negative-going waveform at the amplifier input drives the bases of T1 and T2 positive to +VCC shut T2 off and pass the signal to the output through T1. The amplifier can be made short-circuit proof by limiting the output current that can flow if the output terminal is accidentally shorted to one of the supplies. I1 Figure 15.29 indicates the additional circuitry required T1 for this purpose. The emitter–base junctions of tranT4 sistors T4 and T5 are driven by the voltage drops across the resistances RE1 and RE2. Under normal operating RE1 D1 vout conditions, these voltages are too small to turn T4 and T5 on; thus, circuit operation is unaffected. If the output RE2 D2 is short-circuited to the negative supply voltage, serious damage to device T1 could result if transistor T4 were not T5 present. A large voltage would appear across T1 and the T2 base–emitter junction would be forward biased resulting vin T3 in a high value of emitter current. The excessive power dissipation could destroy T1 if the output were to be shorted to the negative supply or even to ground. When this occurs for the circuit of Figure 15.29, T4 becomes sufficiently forward biased to divert the basecurrent drive from T1. The maximum current that can –VCC flow in the output circuit is then limited to VBE4=RE1. Typical maximum currents for the short-circuit case FIGURE 15.29 Class-B stage with short-circuit protection. range from 10 to 50 mA for modern IC amplifiers.

Bipolar Junction Transistor Amplifiers

15-33

The VBE multiplier circuit often replaces the two diodes in Figures 15.28 and 15.29 to get better cancellation of the crossover voltage of the output devices. This circuit appears in Figure 15.30. If negligible base current flows in T3, the voltage across R1 and R2 is

+VCC

I1

VCE3 ¼ ð1 þ R1 =R2 ÞVBE3

T1 R1 vout

T3 RL

R2 vin

T2

(15:61)

This voltage is found by noting that the drop across R2 is constrained to be VBE3, and this value must also equal R2 VCE3 R1 þ R 2

The voltage VCE3 is used to eliminate crossover distortion and can be adjusted by the ratio of the two resistors. Whereas the absolute values of individual –VCC resistors cannot be accurately determined in standard IC fabrication processes, the ratio of two resistors can FIGURE 15.30 Bias circuit using VBE multiplier. be determined to the required accuracy. There are several other output configurations based on the complementary emitter follower. A popular one is the Darlington output stage modified for IC amplifiers as shown in Figure 15.31. The current gain of this stage is very high, approximately equal to b2. If high-gain pnp devices are available, a Darlington pair similar to the upper npn pair can replace devices T2, T4, and T5. For the Darlington pairs, a larger difference of input bias voltage must be provided to the inputs of the respective pairs due to the larger voltage drop between each input and output which is now 2 VBE(on) instead of just VBE(on). The VBE multiplier circuit can be designed to generate this increased bias voltage.

15.8.4 Class-D Output Stages IC class-D amplifiers using PWM have been reported with efficiencies of 90% or more at 10 W output and a frequency response from 20 Hz to 20 kHz. Many IC chips are available that drive power BJTs or MOSFETs, delivering 30–50 W to a load. Larger discrete circuits report audio amplifiers based on the class-D stage +VCC that deliver 600 W per stereo channel [9]. This type of +VCC amplifier is often used in low-end car radios. PWM is used to reduce the power dissipated by the T1 transistor while delivering a large power to the load. The T3 varying load signal is applied by means of output devices that switch between on and off states. The resulting load voltage has a rectangular waveform that contains an average T2 or dc value dependent on the duty cycle. In addition, the T4 load voltage would also contain several ac components; however, these unwanted components can be filtered before T5 reaching the load. –V Any periodic waveform can be represented by a Fourier CC –VCC series consisting of a dc component (if present), a fundaFIGURE 15.31 Modified Darlington and mental frequency component, and higher harmonics of the fundamental frequency. A rectangular wave switching Darlington output stages.

Fundamentals of Circuits and Filters

15-34

between þV and V that remains positive for tþ seconds is said to have a duty cycle of d¼

tþ T

(15:62)

T V

(15:63)

2T

Average value = 0

t

where T is the repetition period of the waveform. A rectangular waveform with a 50% duty cycle contains no dc or average value, but a change of duty cycle will give the waveform an average value as shown in Figure 15.32. If the period, T, remains constant as the duty cycle is varied, the average value of a rectangular waveform of amplitude V is Vav ¼ V(2d  1)

T

2

3T 2

3T V

4

7T T

4 2T Average value > 0

The average value varies directly with d. The t Fourier coefficients of the ac components also vary as d is changed and new frequencies may be introduced, but in general, these components are of little interest to us as they can be easily eliminated. If, for example, the repetition frequency is 200 kHz, all ac components of the FIGURE 15.32 Rectangular waveforms with different waveform will be greater than this value and far duty cycles. out of the audio range. Now if we vary the duty cycle sinusoidally at some low frequency, the average value will also vary sinusoidally. Mathematically, we can express this by saying that if d ¼ 0.5 þ k sin vt, then the average value is Vav ¼ 2kV sin vt

(15:64)

The waveform with variable duty cycle can be filtered by a low-pass filter to eliminate all frequencies above v. The result is a low-frequency output sinusoid with an amplitude that varies in the same manner as the duty cycle. A block diagram of PWM amplification is shown in Figure 15.33. The voltage control circuit must have a 50% duty cycle when the input signal is at zero volts. As vin becomes nonzero, the duty cycle varies proportionally. The high-power switching stage amplifies this rectangular wave and applies the output to a low-pass circuit that allows only the changes in average value to pass to the load. The output signal is then proportional to the input signal, but can be at a much

Low-power astable multivibrator

vin

High-power switching stage

Duty cycle varies as (0.5 +Kvin)

FIGURE 15.33 Architecture of a PWM amplifier.

Low-pass circuit Load

Bipolar Junction Transistor Amplifiers

15-35

higher power level than the input signal. The power output stage may dissipate only 5%–10% of the power delivered to the load. The major advantage of PWM is that the output transistors need operate in only two states to produce the rectangular waveform: either fully on or fully off. In saturation we know that the very small voltage drop across a transistor leads to very low power dissipation. A very small dissipation is also present when the transistor is cut off. If switching times were negligible, no device power loss would occur during the transition between states. Actually, there is a finite switching time and this leads to an increased total dissipation of the output stages. Still, the efficiency figures for the class-D amplifier are very high, as reported earlier. This leads to higher possible power outputs and smaller chip areas for integrated PWM amplifiers. The stages can be direct-coupled to the load, which eliminates the necessity of capacitors. Nonlinear distortion can be less than that of class-B stages, and matching of transistors is unnecessary. On the other hand, the disadvantages of this amplifier ultimately dictate the limits of usefulness of the PWM scheme. The upper frequency response is limited to a small fraction of the switching frequency. The operating frequency of power transistors generally decreases with higher power ratings. It follows that the upper corner frequency of the amplifier may be lower for higher power transistors. Furthermore, a low-pass filter may be required to eliminate the unwanted frequency components of the waveform. The generation of radio frequencies or electromagnetic interference by the switching circuits can also present problems in certain applications. In addition to compound emitter followers, the power output stages can be designed in several arrangements. Figure 15.34 shows two possible configurations. The diodes appearing across the output transistors are present to protect the transistors against inductive voltage surges. If the filter is inductive,

+VCC

T3 T1

Pulse-width modulated input

T2 T4

+VCC

+VCC

T7

T3 Pulse-width modulated input

T1

I1

Filter and load

T5

I2

Inverted input T6

T2 T4

FIGURE 15.34 Class-D output stages.

T8

15-36

Fundamentals of Circuits and Filters

the current reversals that occur over short switching times generate very large voltage spikes, unless the protective diodes are used. In the push-pull circuit, the low-power, pulse-width modulated input turns T1 and T3 on when the signal is at its maximum value. Transistors T2 and T4 are off at this time and current is forced through the load. When the signal switches to the minimum value, T1 and T3 go off, while T2 and T4 turn on to pull current through the load. Figure 15.34b shows a bridge circuit that can drive a floating load with a single power supply. When the input signal reaches its maximum value, T1 and T3 are on while T2 and T4 are held off. The input signal is inverted and applied to the bases of T5 and T6. This inverted signal is at its minimum value during the time when the normal input is maximum; thus, T5 and T7 will be off while T6 and T8 are on. Current will leave the collector of T3, flow through the load, and enter the collector of T8. When the input assumes the most negative value, T1, T3, T6, and T8 turn off while T2, T4, T5, and T7 turn on. Current now leaves the collector of T7, flows through the load, and enters the collector of T4. During this period, the load current flows in the opposite direction to that flowing when the input is maximum. The load current then reverses each time the input signal makes a transition. In some applications, such as motor control or high-output audio systems, the load serves as a filter of high frequencies since these particular loads cannot respond to the switching frequencies.

References 1. T. Lewis, Empire of the Air. Harper Perennial, New York, 1991. 2. J. Bardeen and W. H. Brittain, Physical principles involved in transistor action, Bell System Technical Journal, 28, April 1949, 239–247. 3. D. J. Comer and D. T. Comer, Advanced Electronic Circuit Design. John Wiley and Sons, Inc., New York, 2002. 4. D. J. Comer and D. T. Comer, Fundamentals of Electronic Circuit Design. John Wiley and Sons, Inc., New York, 2002. 5. T. H. Lee, The Design of CMOS Radio-Frequency Integrated Circuits, 2nd edn. Cambridge University Press, New York, 2004. 6. A. Vladimirescu, The SPICE Book. John Wiley and Sons, Inc., New York, 1994. 7. P. R. Gray, P. J. Hurst, S. H. Lewis, and R. G. Meyer, Analysis and Design of Analog Integrated Circuits, 4th edn. John Wiley and Sons, Inc., New York, 2001. 8. A. B. Grebene, Bipolar and MOS Analog Integrated Circuit Design. John Wiley and Sons, Inc., New York, 2003. 9. B. Duncan, High Performance Audio Power Amplifiers. Newnes, Jordan Hill, Oxford, UK, 1996.

16 Operational Amplifiers 16.1

Ideal Operational Amplifier ................................................ 16-1 Open-Loop Equivalent Circuit . Voltage Op-Amps . Op-Amp Circuit Applications . Comparators . Other Op-Amp Configurations . Summary

References .......................................................................................... 16-11 16.2 Nonideal Operational Amplifier ...................................... 16-12 Finite Differential Gain . Output Saturation . Offset Voltage Finite Common-Mode Rejection Ratio and Power-Supply Rejection Ratio . Input Bias Currents . Electrical Noise . Summary

David G. Nairn

References .......................................................................................... 16-24 16.3 Frequency- and Time-Domain Considerations............ 16-24 Voltage-Mode Op-Amps Op-Amps

Queen’s University

Sergio B. Franco

San Francisco State University

.

.

Block Diagram

.

Current-Mode

Acknowledgment ............................................................................. 16-40 References .......................................................................................... 16-40

16.1 Ideal Operational Amplifier The operational amplifier, or op-amp, is a fundamental building block for many electronic circuits. Although the op-amp itself is composed of numerous transistors, it is usually treated as a single circuit element known as the ideal operational amplifier. The ability to treat the op-amp as an ideal circuit element simplifies its use in circuits such as amplifiers, buffers, filters, and data converters. With such varied uses, the op-amp has been implemented in many different forms. Nevertheless, the behavior of each of these different forms can still be characterized as an ideal op-amp.

16.1.1 Open-Loop Equivalent Circuit The op-amp is primarily a high gain amplifier. Although the op-amp can be used on its own, most opamps are part of larger circuits in which feedback is used to determine the circuit’s overall transfer function. Consequently, the op-amp’s precise behavior is only a secondary interest. To simplify preliminary circuit analysis and design, an abstraction of the practical op-amp, known as the ideal op-amp, is often used. The ideal op-amp is characterized by the following four parameters: . . . .

Infinite gain Infinite bandwidth Draws no signal power at its inputs Is unaffected by loading of its output

16-1

Fundamentals of Circuits and Filters

16-2

in–

in–



in+

out

(a)

FIGURE 16.1

out –

in+

+

out+

– +

+ –

(b)

Circuit symbols for (a) the single-ended op-amp and (b) the fully differential op-amp.

Although such specifications are not achieved in practice, the nonidealities of practical op-amps (see Section 16.2) can be neglected in most applications. The ideal op-amp is represented schematically as a triangle with two inputs and either one or two outputs, as shown in Figure 16.1. For the single output case, the output is referred to ground and the opamp is known as a single-ended op-amp. For the two output case, the output is the difference between the outþ and out outputs and the op-amp is known as a fully differential op-amp. Because the op-amp provides gain, it requires an external power source. For the ideal op-amp, the power supply has no effect on the amplifier’s performance and is therefore not indicated in the circuit symbol. Based on the above description, the op-amp’s input can be stimulated with either a voltage or current. Also, the controlled output can be either a voltage or a current. Consequently, there are four possible implementations of the ideal op-amp: the voltage op-amp, the transimpedance op-amp, the current opamp, and the transconductance op-amp, as shown in Table 16.1 and in Figure 16.2. TABLE 16.1 Input

in–

Output

Gain

V

Av

Voltage

I

V

Rm

Transimpedance

I

I

Ai

Current

V

I

Gm

Transconductance

in–



+

out Av vd

in+

+ –

(a)

(b)

in–

in–

in+

(c)

ii

Type

V

vd in+

Ideal Op Amp Types

out Ai ii

ii

out Rm ii

– out

vd in+

+ –

+

Gm vd

(d)

FIGURE 16.2 The four possible op-amp configurations: (a) the voltage op-amp, (b) the transimpedance op-amp, (c) the current op-amp, and (d) the transconductance op-amp.

Operational Amplifiers

16-3

For most applications, the op-amp is used in a closed-loop configuration with negative feedback. Due to the negative feedback, all four ideal op-amp types perform the same function. When the limitations of practical op-amps are considered, it will be found that different op-amps are preferred for different applications. Of the four types, the voltage op-amp is the most widely known. Therefore, the use of opamps will be considered from the perspective of the voltage op-amp. Then, the other three types will be considered.

16.1.2 Voltage Op-Amps The ideal voltage op-amp, illustrated in Figure 16.2a, is a voltage-controlled voltage source with infinite gain. If a potential difference vd exists between the noninverting terminal inþ and the inverting terminal in the op-amp’s output voltage vout will be vout ¼ Av vd

(16:1)

where Av is the differential gain and is both infinite and frequency independent. Note that only the differential voltage is amplified. As an ideal voltage-controlled voltage source, the op-amp has an infinite resistance and a zero output resistance. The properties of an ideal voltage op-amp may be summarized as follows: . . . . .

Infinite differential gain Zero common-mode gain Infinite bandwidth Infinite input resistance Zero output resistance

With these ideal properties, the op-amp is relatively easy to use in many circuit applications.

16.1.3 Op-Amp Circuit Applications The op-amp can be used in both open-loop configurations and closed-loop configurations. If the op-amp is used open-loop, small voltages between the input terminals produced either a positive or negative infinite voltage due to the amplifier’s infinite gain. Consequently, the op-amp can be used as a comparator. This application is discussed further in Section 16.1.4. In a closed-loop circuit, feedback allows the op-amp’s output voltage to influence its input voltage (see Section 16.1.4). The op-amp can then be made to perform many complex operations. 16.1.3.1 Unity Gain Buffer The simplest feedback that can be applied to the op-amp is depicted in Figure 16.3. The op-amp’s output is connected to the inverting input and an input signal Vin is applied to the noninverting input. The feedback forces the voltage at in to equal Vout. By multiplying the differential voltage at the op-amp’s input (i.e., Vin  Vout) by the op-amp’s gain, Vout is found to be Vout ¼ Av (Vin  Vout )

(16:2)

Vout 1 ¼ Vin 1 þ 1=Av

(16:3)

which can be rewritten as

because Av is infinite, Vout equals Vin. Therefore, the circuit is a unity gain buffer. It is important to note that the op-amp’s high gain and the use of negative feedback forces the voltage at the op-amp’s two input

Fundamentals of Circuits and Filters

16-4

in



– +

+

in+

Vout

+



Vin –

terminals to be equal. Hence if Vin is varied, Vout will follow or track it. The op-amp’s two input terminals have the same potential, but no current flows between them. Therefore, a virtual short is said to exist between the inputs. The unity gain buffer draws no current from the signal source due to the op-amp’s infinite input resistance and the op-amp’s zero output resistance ensures that loading does not affect the voltage at Vout. 16.1.3.2 Simple Attenuator

If an output equal to a fraction of Vin is required, the circuit is illustrated in Figure 16.4a. The noninverting terminal is now a fraction of Vin. Because Vout tracks the voltage at the noninverting terminal, the circuit’s output voltage will be FIGURE 16.3

Unity gain buffer.

 Vout ¼ Vin

R1 R1 þ R 2

 (16:4)

Due to the op-amp’s infinite input resistance, the voltage divider formed by R1 and R2 is not loaded by the op-amp. Therefore, large values of R1 and R2 can be used to avoid loading the source voltage Vin. 16.1.3.3 The Noninverting Amplifier Configuration Usually, it is more desirable to amplify a signal than to attenuate it. Therefore, instead of matching an attenuated Vin to Vout, at the op-amp’s input, an attenuated Vout can be matched to Vin, as illustrated in Figure 16.4b. Due to the negative feedback and the op-amp’s finite gain, the op-amp’s two inputs have the same potential. Therefore,  Vin ¼ Vout

R1 R1 þ R 2

 (16:5)

which is more commonly written as Vout R2 ¼1þ Vin R1

(16:6)

This circuit configuration is commonly referred to as the noninverting configuration [1]. Gains greater than or equal to unity can be achieved simply by changing the ratio of R2 to R1. The actual values of R2

R2 in– –

Vin R2

in+

Vout

in– – R1 Vin

+

in+

+

R1 (a)

FIGURE 16.4

(b)

(a) A simple buffer attenuator and (b) the noninverting amplifier configuration.

Vout

Operational Amplifiers

16-5

and R1 are unimportant. Only their ratio determines the gain. The op-amp’s infinite input resistance ensures that no current is drawn from the source, therefore, Vin controls the voltage across R1 but does not supply the current flowing through it.

R2 R1

Vin



Vout

I = Vin/R1 +

16.1.3.4 The Inverting Configuration If the source Vin can supply current, Vin can be connected directly to R1, as illustrated in Figure FIGURE 16.5 Inverting amplifier configuration. 16.5. In this case the voltage across R1 is still equal to Vin but of opposite polarity. The voltage at the op-amp’s inverting input is now at ground potential, but no current flows to ground. Consequently, a virtual ground exists at the inverting input terminal. Because the current flowing through R1 cannot flow to ground or into the op-amp, it flows through R2, causing an output voltage of 

Vout

Vin ¼ 0  R2 R1

 (16:7)

or Vout R2 ¼ Vin R1

(16:8)

This circuit configuration is commonly referred to as the inverting configuration [1]. Both amplification and attenuation can be achieved by changing the ratio of R2 to R1. A very important difference between the inverting and noninverting configurations is that the inverting configuration draws a current equal to Vin=R1 from the source. Consequently, even though the op-amp itself has an infinite input resistance, the inverting configuration only has an input resistance equal to R1. Fortunately, the gain only depends on the ratio of R2 to R1, thereby allowing both resistors to be increased, thus limiting the current drawn from Vin. 16.1.3.5 Frequency Dependent and Nonlinear Transfer Functions Elements other than resistors can be used in both the inverting and noninverting configuration. By using the frequency-dependent elements Z1 and Z2 in place of R1 and R2, circuits with arbitrary frequency responses can be generated. Two examples of this are illustrated in Figure 16.6a through c. In Figure 16.6a, a capacitor has been added in series with R1. The inverting amplifier now has the transfer function. Vout Z2 (s) ¼ Vin Z1

(16:9)

where Z1 equals (1 þ R1Cs)=(Cs) and Z2 equals R2. Therefore, the circuit’s transfer function is Vout R2 Cs (s) ¼ Vin 1 þ R1 Cs

(16:10)

which is a simple high-pass filter, as illustrated in Figure 16.6b. Alternatively, a capacitor can be added in parallel with R2 as shown in Figure 16.6c. Now, Z1 equals R1 and Z2 equals R2=(1 þ R2Cs), which results in the transfer function. Vout R2 (s) ¼ Vin R1 (1 þ R2 Cs)

(16:11)

Fundamentals of Circuits and Filters

16-6

20 log(R2/R1)

Vin

C

20 log(Vout/ Vin)

R2 R1 –

Vout

+ (a)

1 R 1C

Frequency (log scale)

(b) C



20 log(Vout /Vin)

R1

Vin

20 log(R2 /R1)

R2 Vout

+ (c)

Frequency (log scale) 1 R2C

(d)

FIGURE 16.6 Frequency-dependent circuits using the inverting configuration and (a) a simple high-pass filter and (b) its frequency response; (c) simple low-pass filter and (d) its frequency response.

Vin

ID1 = Vin/R1

D1 R1 Vout

– +

0

(a)

0

VD = –Vout

(b)

FIGURE 16.7 Obtaining the I–V characteristics of a diode: (a) circuit configuration and (b) oscilloscope display with Vout inverted.

This circuit performs as a low-pass filter, as illustrated in Figure 16.6d. By selecting Z1 and Z2, arbitrary transfer functions can be generated, thereby making op-amp circuits useful for implementing active filters (see Section II in Passive, Active, and Digital Filters). When designing arbitrary transfer functions, the resulting circuits must be stable if they are to perform correctly [2]. If nonlinear elements such as diodes are used in place of R1 and R2, nonlinear transfer functions can be obtained [2]. For example, the IV characteristics of a component can be obtained by replacing R2 in the inverting configuration with the desired nonlinear element, as depicted in Figure 16.7a. Then, by applying Vin and Vout to an oscilloscope, the element’s IV characteristic can be displayed directly as depicted in Figure 16.7b. Due to the diode’s exponential characteristic, the circuit’s output is the logarithm of its input 

Vout

Vin ¼ nVT ln R1 I S

 (16:12)

The constants n and IS are determined by the diode while VT is the thermal voltage (see Section 11.5). Circuits of this type are made possible by the presence of the virtual ground at the op-amp’s input.

Operational Amplifiers

16-7

16.1.3.6 Multiple Input Circuits For the inverting configuration, the presence of the virtual ground at the op-amp’s inverting input allow signals from many sources to be combined. As illustrated in Figure 16.8, the currents Ia and Ib are determined independently by Va and Vb, respectively. These two currents are then summed at the virtual ground and forced through R2. The resulting output is a weighted sum of Va and Vb: Vout ¼

R2 R2 Va  Vb R1a R1b

(16:13)

R1a

Va

R2 Ia

Ia + Ib

R1b

Vb



Vout

Ib +

FIGURE 16.8

Weighted summer.

Any number of additional inputs can be added. The virtual ground prevents the different signals from interacting with each other. The noninverting input can also be used in the multi-input circuit. In this case though, the op-amp’s input is no longer at virtual ground and its output ceases to be a weighted sum of the inputs. For example, if V1 and V2 are applied to the circuit of Figure 16.9a, the output depends on both V2 and the difference between V2 and V1: Vout ¼ V2 þ

R2 (V2  V1 ) R1

(16:14)

This output can also be written as a weighted difference between V2 and V1  Vout ¼

 R2 R2 1þ V2  V1 R1 R1

(16:15)

If, as in Figure 16.9b, V2 is first attenuated by R2=(R1 þ R2), a voltage only proportional to the difference between V1 and V2 can be obtained Vout ¼

R2 (V2  V1 ) R1

(16:16)

where it is evident that the circuit amplifies the difference between its inputs and rejects the commonmode component. Consequently, the configuration is referred to as the differential configuration.

R2 V1

R1 –

V2

R2

+

V1

R1

V2

R1



Vout

Vout

+ R2

(a)

(b)

FIGURE 16.9 Circuits for finding weighted differences: (a) a circuit based in the inverting and noninverting configurations and (b) the differential configuration.

Fundamentals of Circuits and Filters

16-8

V1

+

Va



R3

R2 R1

– R3

R2

Vout

+

– V2

R4

Vb

R4

+

FIGURE 16.10 Instrumentation amplifier.

16.1.3.7 Instrumentation Amplifiers The differential amplifier depicted in Figure 16.9b is useful for detecting weak signals in a noisy environment. Unfortunately, its input resistance is only 2R1. To circumvent this problem, V1 and V2 can be buffered using two unity gain buffers. A better solution is to use the instrumentation amplifier illustrated in Figure 16.10. This circuit combines two circuits of the type shown previously in Figure 16.9a and the differential amplifer in Figure 16.9b. Based on Equation 16.15, the voltage at Va will be   R2 R2 V1  V2 Va ¼ 1 þ R1 R1

(16:17)

and the voltage at Vb will be  Vb ¼

 R2 R2 1þ V2  V1 R1 R1

(16:18)

With Va and Vb applied to the differential amplifier, the instrumentation amplifier’s output voltage will be Vout ¼

R2 (Vb  Va ) R1

(16:19)

Due to the op-amp’s ideally zero output resistance, the differential amplifier’s low input resistance does not load the other two circuits. Hence, by substituting Equations 16.17 and 16.18 for Va and Vb, respectively, the output voltage can be expressed as 

Vout

 2R2 R4 ¼ 1þ (V2  V1 ) R1 R3

(16:20)

which allows the difference between V1 and V2 to be either amplified or attenuated without loading the signal sources. Only one R1 exists; therefore, it can be made variable to allow for an easily adjustable gain. Due to their usefulness, instrumentation amplifiers are available in a single package from many manufacturers. This last circuit illustrates the two primary characteristics of op-amps when used in a closed-loop negative feedback configuration. First, a virtual short exists between the op-amp’s input terminals

Operational Amplifiers

16-9

allowing a high impedance source to set the potential of a circuit node. Second, the op-amp’s low output resistance allows op-amp circuits to be connected together without altering their individual transfer functions. These two characteristics greatly simplify the analysis and design of circuits containing many op-amps.

16.1.4 Comparators If the op-amp is not used in a closed-loop, its output will be either high or low, depending on the polarity of the voltage between its inputs. This appears to make op-amps suitable for comparing two closely spaced signal levels. In practice, it is usually better to use a circuit called a comparator for this application. Comparators are similar to op-amps but have been specifically designed to operate in an open-loop circuit. The basic comparator compares the voltage levels at its two inputs. If the voltage at the positive input, inþ, exceeds that at the negative input, in, the comparator will generate a logic high. If the voltage at in exceeds that at inþ, a logic low will be produced. Often, complementary outputs are also provided. The logic high and low levels are set either by the manufacturer or by the user. Typically, the logic levels are compatible with common logic families such as TTL, CMOS, or ECL. The comparator’s primary objective is to provide the correct output level as fast as possible while opamps are usually used in a closed-loop configuration. To ensure closed-loop stability, most op-amps require some form of compensation (see Section 16.2 and [3]), which reduces their speed and bandwidth. On the other hand, comparators are specifically designed for open-loop operation thereby, making them better suited for high-speed comparisons. The comparator is usually used as a threshold comparator and often has added hysteresis. When used as a threshold detector, a reference level is applied to one input and the signal is applied to the other input. The choice of inputs determines the output’s polarity. Circuits of this type are commonly employed as level detectors and in analog-to-digital converters (see Chapter 20). In many cases, the input signal contains noise that causes the comparator’s output to oscillate as the signal passes the threshold level. To avoid this problem, the use of positive feedback, as depicted in Figure 16.11a, is used to generate hysteresis, as depicted in Figure 16.11b. The resistor R3 is included to reduce the effects of the comparator’s bias currents (see Section 16.2.5). For this circuit, the level that causes the output to go low, VINL, is VINL ¼ VREF þ (VREF  VOH )=K

(16:21)

where VOH is the output-high level K is the ratio of the resistors depicted in Figure 16.11b

Vout VREF Vin

(a)

R3

Vout



VOH

R1 +

VOL KR1

(b)

VINL

VINH

FIGURE 16.11 (a) A comparator with hysteresis and (b) its input–output relationship.

Vin

Fundamentals of Circuits and Filters

16-10

The level that causes the output to go high, VINH, is VINH ¼ VREF þ (VREF  VOL )=K

(16:22)

where VOL is the output-low level. By adjusting the ratio K, the amount of noise immunity can be adjusted.

16.1.5 Other Op-Amp Configurations As mentioned in Section 16.1, the ideal op-amp can be implemented in any of four possible configurations: a voltage amplifier, a current amplifier, a transimpedance amplifier, and a transconductance amplifier. When used in a closed-loop configuration with negative feedback, all four of the ideal op-amps behave the same. In particular, the virtual short circuit between the two inputs remains and the output is unaffected by loading. Consequently, op-amps of all four types exist. Due to practical limitations, some configurations are better suited than others for particular applications. To illustrate that the ideal op-amp’s configuration does not affect the performance of an op-amp circuit, the inverting op-amp circuit in Figure 16.12 has been implemented with a current op-amp. The ideal current op-amp displays zero resistance between its input terminals. The output, which is a current source, has an infinite output resistance. Hence, the current op-amp is the dual of the voltage op-amp. The circuit’s output voltage can be found by summing the currents at the op-amp’s input. Since there is a physical short between the two input terminals, the current through R1 will be I1 ¼

Vin R1

(16:23)

I2 ¼

Vout R2

(16:24)

while the current through R2 will be

and due to the op-amp’s current gain, Ai, the op-amp’s input current will be ii ¼

Vout A i R2

(16:25) The sum of Equations 16.23 through 16.25 must equal zero. Therefore,

R2

  Vout R2 1 ¼ Vin R1 1 þ 1=Ai

I2 Vin

R1

in– Vout

I1 in+

ii

Ai ii

FIGURE 16.12 Inverting amplifier configuration implementated with a current op-amp.

(16:26)

which for Ai ¼ 1 results in the same gain as that produced by the inverting configuration implemented with an ideal voltage op-amp. More important, the current through R1 equals the current through R2 and the op-amp’s input current goes to zero. Hence, even with the physical short between the op-amp’s inputs, only a virtual short exists in the closed-loop circuit because no current flows between the

Operational Amplifiers

two terminals. At the output, the infinite gain of the ideal current op-amp ensures that the output stays at the value indicated by Equation 16.26 even if a load is added to the output. Consequently, the ideal op-amp’s closed-loop behavior is preserved independent of the op amp’s configuration. 16.1.5.1 Current Op-Amps

16-11

in–

ii Vout

in+

1

Rm ii

+ –

Ideally, the current op-amp acts as a current- controlled current source with an infinite current gain. In practical current op-amps, the gain is relatively low. Therefore, the assumption of an infinite gain is FIGURE 16.13 Practical transimpedance op-amp. unrealistic for most applications. The primary purpose of current op-amps is to boost the output current of a voltage op-amp (see Section 16.2). 16.1.5.2 Transimpedance Op-Amps The ideal transimpedance op-amp is a current-controlled voltage source with an infinite transimpedance gain. Op amps of this type are commonly referred to as current feedback op-amps. Practical implementations of transimpedance op-amps typically display a much higher speed than most voltage op-amps (see Section 16.3 and [4]). Due to practical considerations, transimpedance op-amps typically have a unity gain buffer between the noninverting and inverting input terminals as illustrated in Figure 16.13. The added buffer has no effect on the ideal closed-loop performance, but it does increase the input resistance of the noninverting input for practical circuits. 16.1.5.3 Transconductance Op-Amps The ideal transconductance op-amp is a voltage-controlled current source with an infinite transconductance gain. Practical transconductance op-amps are usually implemented in MOS technologies [5]. MOSFET’s themselves are voltage-controlled current sources. Because a practical transimpedance opamp has a less that infinite output resistance, it is not suited for driving resistive loads. This does not pose a problem because most transimpedance op-amps are used in switched-capacitor circuits, where they are used to drive capacitive loads.

16.1.6 Summary The ideal op-amp is a high gain circuit element. When used in an open-loop configuration, the op-amp can be used to compare closely spaced signal levels. It is generally much more useful when negative feedback is applied to control its output. With negative feedback, the differential voltage at its input approaches zero and the current between its input approaches zero. This makes it particularly useful for controlling the voltage or current in a circuit without drawing power from the controlling source. The ideal op-amp model, although only approximated in practical op-amps, is very useful for quickly analyzing and understanding the operation of larger circuits. Once the circuit’s behavior is understood, the effects of the op-amp’s nonidealities can be considered.

References 1. A. S. Sedra and K. C. Smith, Microelectronic Circuits, 3rd edn., New York: Holt, Rinehart & Winston, 1991. 2. D. Sheingold, Op amps and their characteristics, in Analog Circuit Design, J. Williams, Ed., New York: Reed, 1991, chap. 30. 3. J. K. Roberge, Operational Amplifiers: Theory and Practice, New York: John Wiley & Sons, 1975.

Fundamentals of Circuits and Filters

16-12

4. E. Bruun, Feedback analysis of transimpedance operational amplifier circuits, IEEE Trans. Circuits Syst., 40, 275–278, pt. 1, Apr. 1993. 5. R. Gregorian and G. C. Temes, Analog MOS Integrated Circuits, New York: John Wiley & Sons, 1986.

16.2 Nonideal Operational Amplifier Practical op-amps differ significantly from the ideal op-amp. These differences limit the signal levels and range of impedances that can be used in op-amp circuits. Fortunately, the nonidealities are only significant in certain applications. For these applications, circuit design precautions often reduce the effects of the nonidealities to acceptable levels. Alternatively, higher performance op-amps can be used. The op-amp’s dominant nonidealities, how they affect various applications, and techniques to compensate for their detrimental effects are discussed in the following sections.

16.2.1 Finite Differential Gain The op-amp’s most critical nonideality is its finite gain. Unlike the infinite gain of the ideal op-amp, the gain of a practical op-amp is typically large at dc and decreases at high frequencies. Most op-amps are internally compensated for a frequency dependent gain of the form vo AO ¼ vi 1 þ jv(AO =vt )

(16:27)

where AO is the dc differential open-loop gain v is the frequency vt is the op-amp’s unity gain frequency Unity gain frequencies are typically in the MHz range. At low frequencies, the op-amp’s gain simply becomes AO. At high frequencies, the op-amp’s gain can be approximated as vo v t ¼ vi jv

(16:28)

Further details on the amp’s high-frequency behavior will be discussed in Section 16.3. The dc gain, AO, is typically quite large, hence it is usually expressed in decibels (dB). AO ranges from 40 dB for high-speed op-amps to 120 dB for high-precision op-amps. General-purpose op-amps usually have differential gains in the 100 dB region. Because AO is subject to wide variations, manufacturers usually specify a minimum and typical value. The op-amp’s finite AO reduces the closed-loop gain of most op-amp circuits. To illustrate the problem, the noninverting and inverting amplifiers shown in Figure 16.14 can be analyzed assuming a finite op-amp gain. The finite gain results in a nonzero differential voltage at the op-amp’s input: vd ¼

vo AO

(16:29)

Consequently, the voltage at the inverting input is not equal to that at the noninverting input. Hence, the voltage across R1 and the current through it is changed. By equating the current in R1 and R2, it is seen that the noninverting amplifier’s gain is reduced to

Operational Amplifiers

16-13 i2

i1 R1

i2 i1

R2 – vo – A = A O AO + +

vo

vi

(a)

vi R1

R2 – vo – A = AO AO + +

vo

(b)

FIGURE 16.14 Analysis of the noninverting (a) and inverting (b) configurations for an op-amp with finite gain AO.

vo 1 þ R2 =R1 ¼ vi 1 þ (1 þ R2 =R1 )=AO

(16:30)

while the inverting amplifier’s gain is reduced to vo R2 =R1 ¼ vi 1 þ (1 þ R2 =R1 )=AO

(16:31)

For large values of AO, Equations 16.30 and 16.31 reduce to the gains that would be obtained with an ideal op-amp (i.e., vo=vi ¼ 1 þ R2=R1 and vo=vi ¼ R2=R1, respectively). It is only when AO and the desired closed-loop gain become comparable that the op-amp’s finite gain leads to a significant reduction in the closed-loop gain. To illustrate this problem, the gain deviation for the noninverting amplifier versus (1 þ R2=R1)=AO is plotted in Figure 16.15. Because AO is subject to wide variations, only gains that are at least 1003 lower than AO should be used to ensure a well-controlled gain. Consequently, the op-amp’s finite open-loop differential gain places an upper limit on the closedloop gain that can be provided accurately.

16.2.2 Output Saturation

0 dB

1

(20 log( 1 +VoR/V/Ri ))

–0.09 dB

2

Gain deviation

Although op-amps can provide high gains, the op-amp’s maximum output voltage and current are limited. The maximum output voltage is limited by the op-amp’s supply voltages, while the maximum output current is usually limited by the op-amp’s allowable power dissipation.

–0.83 dB

–10 dB

–6.02 dB –20.8 dB

–20 dB 0.001

0.01

0.1

Desired closed-loop gain Op-amp open-loop gain

1.0

(

1 + R2/R1 AO

10

)

FIGURE 16.15 Gain deviation for the noninverting configuration caused by a finite AO.

Fundamentals of Circuits and Filters

16-14 vO

The op-amp, similar to any electronic amplifier, requires a dc power supply. IO (MAX) V+ Most op-amps require a positive, Vþ, and L+ a negative, V, power supply. Because Vþ and V are typically the same size and of opposite polarity, they are referred to as IO dual or split supplies. Usually, the opamp has no connection to ground. The – L supply voltage typically ranges from 5 to V– –IO (MAX) IO (MAX) 18 V, with 15 V being the most common. Special-purpose op-amps include FIGURE 16.16 Voltage and current limitations on the op-amp’s low-voltage=low-power op-amps for use output signal swing. with lower supply voltages, high-voltage op-amps for use with supply voltages beyond 18 V and single supply op-amps for use with a single supply. Regardless of the op-amp type, the maximum Lþ and minimum L output voltages cannot exceed the supply voltages. Typically, the output saturates within 1–3 V of the supplies as shown in Figure 16.16. Low-voltage op-amps often feature a ‘‘rail-to-rail’’ output swing that allows the output signal to extend to both Vþ and V. Due to the op-amp’s limited output swing, the input signal must be kept small enough to avoid distortion caused by clipping the output signal. The second limitation on the op-amp’s output signal is the op-amp’s maximum output current specification. This limitation is determined by the maximum allowed power dissipation of the op-amp. If the power dissipation limit is exceeded, the resulting temperature rise can damage the device. The worst-case power dissipation usually occurs when the op-amp has a load resistance of zero (i.e., the output is shorted to ground). In this situation, the full supply voltage appears across the op-amp’s output stage and the power dissipation is Output range

RL (min) =

L

+

PDisp ¼ V þ IO þ PQ

(16:32)

PQ is the op-amp’s quiescent power dissipation and usually much smaller than VþIO. Hence, to avoid an excessive temperature rise, IO must be limited to a safe value, IO(MAX). Many op-amps are designed with short-circuit protection that limits IO(MAX) to a safe level. For general-purpose op-amps, IO(MAX) is in the 20 mA range. The limitations imposed by the combination of Lþ, L, and IO(MAX) are illustrated in Figure 16.16. For loads below RL(min): RL(min) ¼

Lþ IO(MAX)

(16:33)

the op-amp’s output swing will be limited by the op-amp’s current-limiting circuitry. For large values of RL, the signal swing will be limited by the op-amp’s maximum and minimum output voltages. Therefore, to ensure that the signal peaks are not clipped, the equivalent load resistance seen by the op-amp must be greater than RL(min) and the amplifier’s input signal must be small enough to ensure the output voltage will not exceed either Lþ or L.

16.2.3 Offset Voltage For an ideal op-amp, a zero differential input voltage produces a zero output voltage. For a practical opamp, as illustrated in Figure 16.17, a zero differential input voltage will, in general, produce a nonzero

Operational Amplifiers

16-15 vO L+ VOS

– vd

– +

Slope = AO

+ vo –

+

vd

L–

FIGURE 16.17 Transfer function of a practical op-amp illustrating AO, Lþ, L, and VOS.

output. Due to the op-amp’s high gain, the output will usually saturate at either Lþ or L if no feedback is applied. To obtain a zero output voltage, a nonzero input voltage, defined as the input offset voltage, VOS, must be applied between the input terminals. VOS is generally quite small. It arises from small mismatches in the devices used in the op-amp’s input stage and circuit asymmetries. General-purpose op-amps have offset voltages in the 0.1–10 mV range. Typically, op-amps with FET input devices will have higher offset voltages than op-amps with bipolar input devices. If a very low VOS is required, precision and low offset voltage op-amps are available with offset voltages in the mV range. The offset voltage is not constant, it is subject to drift with time and changes in temperature. Consequently, its effects will be evident in most circuits. To analyze the effect of VOS, a voltage source of unknown polarity, equal to VOS, is connected to one of the inputs of an ideal op-amp. Then, using superposition, the circuit’s output voltage due to VOS and the input voltage can be determined. Because superposition applies, the effect of VOS on both the inverting and noninverting configurations is identical. As illustrated in Figure 16.18a, in which a practical op-amp has been replaced with an ideal op-amp in series with VOS, and vi set to zero, the output vo, due to VOS alone (i.e., the output offset voltage) is   1 þ R2 vo ¼ VOS R1

(16:34)

where it is evident that large dc gains result in a large output offset voltage. If dc gain is not required, a capacitor can be placed in series with R1 to reduce the dc gain to unity. The resulting output offset voltage then becomes VOS. Another group of circuits affected by VOS are integrating circuits such as the one in Figure 16.18b. If Rf is not included, VOS causes a dc current VOS =R1 to flow through R1 and be integrated Cf

R2 R1



vo

vi

Rf

R1



vo

+ +

VOS (a)

VOS (b)

FIGURE 16.18 Some circuits affected by the op-amps offset voltage. (a) The inverting and noninverting configurations. (b) Integrator circuits.

Fundamentals of Circuits and Filters

16-16

on Cf, thereby causing the output voltage to saturate. Adding Rf limits the dc gain and hence, limits the output offset voltage. In situations where the smallest possible VOS is required, low VOS op-amps can be used. Alternatively, many op-amps are provided with one or two terminals to which an offset nulling circuit can be attached. The op-amp’s VOS can then be trimmed to zero. Because the trimming can only be done for one temperature, VOS will still drift due to temperature and time and hence will limit the circuit’s dc accuracy.

16.2.4 Finite Common-Mode Rejection Ratio and Power-Supply Rejection Ratio If an op-amp’s inputs are shorted together, as depicted in Figure 16.19, variations in any one of the three voltages; vcm, the common-mode input voltage, Vþ, the positive supply voltage, or V, the negative supply voltage, should not affect the output voltage. Nevertheless, if all three voltages are changed by the same amount, it is evident that the output voltage must also change by the same amount [3]. Hence, the op-amp’s output voltage will be affected by changes in vcm, Vþ and V. The relationship between changes in vcm and vo is usually characterized by the common-mode rejection ratio (CMRR). The effects of changes in the positive and negative supplies on vo are usually referred to as the power-supply rejection ratios, PSRRþ and PSRR, respectively. 16.2.4.1 Common-Mode Rejection Ratio The op-amp has two input terminals, therefore, two signal types exist: differential signals and commonmode signals. Referring to Figure 16.19, the differential signal vd is the difference between the two input þ  and vin : voltages, vin þ   vin vd ¼ vin

(16:35)

while the common-mode signal vcm is their average: vcm ¼

þ  (vin þ vin ) 2

(16:36)

Ideally, the op-amp rejects (i.e., does not respond to) common-mode signals. For practical op-amps, changes in vcm lead to changes in vo, resulting in a common-mode gain Acm: Acm ¼

V+ v–in – vo

+ vcm

v+in

+



vo vcm

(16:37)

Over a specified range, known as the common-mode range, Acm is relatively small. Beyond the commonmode range, Acm rises rapidly and the op-amp ceases to function properly. Typically, the common-mode range does not extend to either the positive or negative supply. Single-supply op-amps, however, are usually designed to have a commonmode range that extends down to and often slightly below the lower supply. Within the common-mode range, Acm is usually specified by the CMRR

V–

CMRR ¼ FIGURE 16.19 Op amp with its various input voltages.

Ao Acm

(16:38)

Operational Amplifiers

16-17

The CMRR is usually expressed in dB    Ao    CMRR ¼ 20 log Acm 

(16:39)

and ranges from 60 to over 120 dB. An alternate interpretation of the CMRR is the ratio of a change in vcm to the resulting change in the op-amp’s VOS. CMRR ¼

Vcm VOS

(16:40)

The two interpretations of CMRR are equivalent. A finite CMRR affects those circuits for which a sizable vcm is applied to the op-amp. Hence, the inverting configuration, with a virtual ground at its input, is unaffected by the common-mode gain. On the other hand, circuits such as the noninverting configuration and the differential configuration in Figure 16.20, have a nonzero vcm and hence display common-mode problems. The effects of a common-mode signal can be determined as follows. Because a finite CMRR can be interpreted as a change in the op-amp’s VOS, due to the presence of a vcm, the VOS due to the nonzero vcm and the finite CMRR can be found as VOS ¼

vcm CMRR

(16:41)

Then, the effect of the resulting VOS can be found by analyzing the op-amp assuming the common-mode gain is zero. For example, the noninverting circuit in Figure 16.20a, has a vcm approximately equal to vi, which leads to an equivalent VOS of VOS ¼

vi CMRR

(16:42)

and a total output voltage of 

1þ1 vo ¼ vi CMRR

  1 þ R2 R1

(16:43)

Consequently, the CMRR leads to a gain error. For the differential configuration in Figure 16.20b, a finite CMRR leads to an output voltage of the form

R2

R1

– vi

+

vo

v2

R1

v1

R1

R2 –

vo

+

R2 (a)

(b)

FIGURE 16.20 Some op-amp circuits affected by a finite CMRR. (a) The noninverting configuration. (b) The differential configuration.

Fundamentals of Circuits and Filters

16-18

vo ¼

R2 R2 v1 (v1  v2 ) þ R1 R1 CMRR

(16:44)

where if (v1 þ v2)=2  v1  v2, vi will be approximately equal to the signal’s common-mode voltage and it can be seen that the differential amplifier responds to both the differential and common-mode components of the signal. Consequently, the op-amp’s CMRR can lead to problems if both of the op-amp’s terminal potentials vary with the input signal. 16.2.4.2 Power-Supply Rejection Ratio Ideally, changing either or both of an op-amp’s power supplies should not affect the op-amp’s performance. Practical op-amps though display a power-supply dependent gain and at higher frequencies, powersupply fluctuations are coupled into the op-amp’s signal path, leading to variations in the output voltage. These problems can be characterized as an equivalent gain, AVþ and AV from Vþ and V terminals, respectively, to the output. Alternatively, the variation can be characterized as a power-supply dependent variation in the op-amp’s equivalent input offset voltage. Because the op-amp is only supposed to amplify differential signals applied to its input, and reject signals applied to the power supplies, it is desirable to have AO  AVþ and AO  AV. To measure this performance, the PSRRs are used PSRRþ ¼

AO AVþ

(16:45)

PSRR ¼

AO AV

(16:46)

Usually, the PSRRs are expressed in dB    AO    PSRR ¼ 20 log AVþ     AO     PSRR ¼ 20 log AV  þ

(16:47) (16:48)

PSRRs of 60–100 dB are common at dc. At higher frequencies, the PSRR decreases. A noninfinite PSRR may pose a problem if there are variations in the power-supply voltages. Such variations can arise from either the ripple voltage of the supply itself or from large variations in the current being drawn from the supply. To reduce unwanted variations in the op-amp’s output voltage, either an op-amp with a better PSRR can be selected or power-supply decoupling capacitors can be used. If decoupling capacitors are used, they should be placed as close as possible to the op-amp’s powersupply terminals. 16.2.4.3 Finite Input Impedance and Nonzero Output Impedance Unlike the ideal op-amp, practical op-amps exhibit a finite input impedance and a nonzero output impedance. The input impedance is composed of a differential and a common-mode component as illustrated in Figure 16.21. Rid and Cid represent the equivalent resistance and capacitance seen between the op-amp’s two input terminals. Ricm and Cicm represent the total resistance and capacitance to ground that would be seen by a common-mode signal applied to both input terminals. Rid ranges from 100 kV to over 100 MV. The higher differential input resistances are found in op-amps employing FET input stages. Ricm is typically two orders of magnitude higher than Rid. The input capacitances are generally in the picofarad range. The output resistance Ro is usually in the 50–100 V range. Generally, the use of

Operational Amplifiers

16-19

Cicm/2

2Ricm + vd

Ro

Rid

Cid Advd

– Cicm/2

2Ricm

+ –

FIGURE 16.21 Input and output impedance of practical op-amp.

negative feedback reduces the effects of these impedances to levels where they can be neglected. Nevertheless, problems can arise in some applications. The noninverting configuration is often used as a buffering amplifier due to its high input resistance. At low frequencies, the op-amp’s input capacitances can be neglected and the negative feedback provided by the op-amp’s high gain keeps the voltage across and the current through Rid negligible. Hence, the effective input resistance is approximately 2Ricm. At high frequencies, Cid shorts the input and the opamp’s decreasing gain causes the voltage across and hence, the current through Rid to increase resulting in a significantly decreased input impedance. Most op-amps employ shunt sampling negative feedback, so the op-amp’s effective output resistance is reduced. Hence, even relatively high values of Ro can be tolerated in most circuits. Nevertheless if the circuit is used to drive a capacitive load, problems can arise. Because the op-amp’s gain decreases at higher frequencies (see Section 16.2.1), the amount of negative feedback also decreases leading to an output impedance that appears inductive (i.e., increases with frequency). This can be found by analyzing the output impedance of the circuit in Figure 16.22a. Approximating the op-amp’s gain as (see Section 16.2.1) A¼

wt jw

(16:49)

and assuming that Ro  R1 þ R2, the output impedance becomes Zout  Ro k jwLeff

(16:50)

R2 R1 – vd

Ad vd + – +

(a)

R2 Vin

Ro Rout

R1 – +

Cc Rc

Vout

(b)

FIGURE 16.22 (a) Determining Rout for the inverting and noninverting configurations and (b) compensating for large capacitive loads.

Fundamentals of Circuits and Filters

16-20

where IB1

Leff



  Ro R1 þ R 2 ¼ wt R1

(16:51)

vo IB2

Consequently, if the circuit drives a large capacitor, it may become unstable due to the presence of Leff. To reduce this problem, a compensation network as illustrated in Figure 16.22b is commonly used.

+

16.2.5 Input Bias Currents FIGURE 16.23 Op-amp showing its input bias currents.

When operating, current flows in each of the opamp’s input leads as depicted in Figure 16.23. These input currrents, which are due to the internal structure of the op-amp, give rise to errors in many circuits and prevent the practical realization of some circuit configurations. Because these currents cannot be avoided, they should be considered when designing op-amp circuits. The input currents are determined by the devices used to implement the amplifier’s input stage. If BJTs are used, their base currents determine the input currents. If FETs are used, the input currents are due to the gate leakage current. In either case, the average of the two input currents, IB1 and IB2, is referred to as the input bias current, IB: IB ¼

(IB1 þ IB2 ) 2

(16:52)

where IB1 and IB2 are the input currents that cause the op-amp’s output to go to zero with a zero common-mode input voltage. IB can range from 0.1 pA to 1 mA, which is much higher than would be expected based on the amplifier’s finite input resistance alone. Typically, op-amps with FET inputs display a much lower IB than their bipolar counterparts. Due to mismatches, IB1 and IB2 are rarely equal. Their difference, referred to as the input offset current, IOS, is defined as IOS ¼ jIB1  IB2 j

(16:53)

IOS is typically an order of magnitude lower than IB. Therefore, in all but the most critical applications, IOS can be neglected. The effects of nonzero bias currents on both the inverting and noninverting configurations are illustrated in Figure 16.24a. If IB is not compensated for, both op-amp configurations will display an output voltage of IB1 – I1

R2 i1 = 0 R1

IB1 + 0V – IB2

(a)

i1 ≠ 0

–IB1 R2+ –

vo

R2 IB1 –

R1 vcm = IB2 R3

+ R3 = R2//R1

vo = IB1 R2

IB2

vo

+ vo = R2 (IB1 – IB2)

(b)

FIGURE 16.24 Analysis of the inverting and noninverting configurations with nonzero IB. (a) Without bias current compensation and (b) with bias current compensation.

Operational Amplifiers

16-21

vo ¼ IB1 R2

(16:54)

This voltage can be reduced either by reducing R2 or by selecting an op-amp with lower bias currents. Alternatively, a resistor R3 equal to the parallel equivalent of R2 and R1 can be included in the positive terminal’s lead, as illustrated in Figure 16.24b. This added resistor causes the voltage at the op-amp’s input to be equal to vcm ¼ IB2 R1 k R2

(16:55)

which, if two bias currents are equal (IOS ¼ 0), causes vo to be zero. For the practical case of a nonzero offset current, vo becomes vo ¼ IOS R2

(16:56)

Because IOS is usually much lower than IB, the error is greatly reduced. It is important to note that IB is a dc current. Hence, R3 should be equal to the equivalent dc resistance seen by the op-amp’s negative terminal. All op-amps require an IB for proper operation, therefore, a dc path between each input terminal and ground must be provided. For example, the ac coupled buffer of Figure 16.25a requires the addition of Ri to provide a path for IB2. Unfortunately, Ri decreases the buffer’s input resistance. In Figure 16.25b, the difference between IB1 and IB2R3=R1 will flow to Cf, quickly leading to saturation of op-amp’s output at either Lþ or L. By adding Rf, a dc path for the difference current is provided. Unfortunately, Rf makes the integrator nonideal at low frequencies. Consequently, the op-amp’s bias currents restrict the dc accuracy and the frequency range of applications for op-amp circuits.

16.2.6 Electrical Noise Similar to any electronic component, op-amps generate noise that can degrade the system’s signal-tonoise ratio (SNR). The amplifier’s noise is characterized by the equivalent pffiffiffiffiffiffinoise sources in Figure 16.26a. en is the equivalent input noise voltage density and is expressed in nV= Hz. in1 and in2 are the equivalent pffiffiffiffiffiffi input noise current density and are expressed in pA= Hz. Usually, in1 and in2 have the same magnitude and are both referred to as in . The typical behavior of en and in are illustrated in Figure 16.26b. At higher offfiffiffiffiffiffi frequency (i.e., white noise). In this range, values of en and in frequencies, en and inpare ffiffiffiffiffiffi independent p pffiffiffiffiffiffi range frompffiffiffiffiffiffi 50 nV= Hz and 0:6pA= Hz, respectively, for general-purpose op-amps to 2nV= Hz and 10fA= Hz for ultra-low-noise op-amps. At low frequencies, op-amps display noise that increases Cf Rf –

Ci vi

vo

R1

+

vo

+

Ri (a)



R3 (b)

FIGURE 16.25 Some op-amp circuits affected by the op-amp’s bias current. (a) The ac coupled buffer. (b) The inverting integrator.

Fundamentals of Circuits and Filters

16-22 1000

e— n(nV/ Hz)



— in1

+

100

10

10 – in

fc 1

– in ( pA/ Hz)

— en

Noiseless op amp

— en

100

— in2 1 10 Hz (a)

(b)

100 Hz

1 kHz

0.1 10 kHz

Frequency

FIGURE 16.26 (a) Noise model of the op-amp. (b) Input noise voltage and current densities versus frequency.

— er2

R2

R1 — er1

RS

— ers

— in1 — en



vo

+

— in2

vs

Source — er1 — er2 — in1 — in2 — en — ers

Output × Hz — er1 (R2/R1) — er2 — in1 R2 — in2 RS (1 + R2/R1) — en (1 + R2/R1) — ers (1 + R2/R1)

FIGURE 16.27 Op-amp circuit showing its noise sources.

with decreasing frequency (i.e., 1=f noise). To specify the low frequency noise, a plot such as the one in Figure 16.26b may be provided. In some cases, only the noise corner frequency fc (see Figure 16.26b) may be specified. To determine the effects of the op-amp’s noise, the circuit in Figure 16.26 can be used. The noise sources are generally uncorrelated, so the total noise is the square root of the sum of the square of each noise source acting independently. Therefore, the first step is to identify all the noise sources, as illustrated in Figure 16.27. Each resistor may be modeled as a noiseless resistor in series with a noise voltage density of pffiffiffiffiffiffiffiffiffiffiffi.pffiffiffiffiffiffi er ¼ 4kTR Hz

(16:57)

where k is the Boltzmann constant T is the absolute temperature Each noise source then gives rise to the output values shown in the table (see Figure 16.27). The designer is free to choose R1 and R2, so the noise sources en , ers , and in2 typically determine the circuit’s total output noise voltage. The total rms white-noise voltage at the output is

voutput

rffiffiffiffiffiffiffiffiffiffiffiffisffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffi  2  2  2ffi p R R R 2 2 2 ¼ þe2rs 1þ þ i2n2 RS 1þ BW e2n 1þ R1 R1 R1 2

(16:58)

Increasing noise

Operational Amplifiers

16-23 — in2 RS / Hz ——— einput

4kTRS / Hz — en / Hz

Increasing RS

FIGURE 16.28 Effect of RS on the total noise for the circuit of Figure 16.26a.

where BW is the op-amp’s closed-loop bandwidth (see Section 16.3). The factor p=2 converts the closedloop bandwidth to the noise equivalent bandwidth, assuming the op-amp is characterized by a single pole. The equivalent voltage white-noise density at the input is einput

qffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffi.pffiffiffiffiffiffi ¼ e2n þ 4kTRS þ i2n2 R2S Hz

(16:59)

The relative importance of each of these three factors depends on the value of RS as shown in Figure 16.28. For low values of RS, en dominates. At high values of RS, in2 dominates. In the middle region, pffiffiffiffiffiffiffi pffiffiffiffiffiffiffiffi RS dominates if 4kt > in en . Two measures are used to specify the circuit’s noise performance: the SNR and the noise figure (NF). The SNR is the ratio of the signal power to the total noise power, which assuming only white noise is present, can be expressed as     vs   pffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffi SNR ¼ 20 log einput (pBW)=2

(16:60)

The second measure, NF, expresses the increase in noise due to the amplifier over that due to the source resistance alone:   e2 þ e2 þ i2 R2  n n1 S  rs NF ¼ 10 log  2   ers

(16:61)

Although a low NF is desirable, it is usually more important to minimize the total noise to achieve the highest possible SNR.

16.2.7 Summary Practical op-amps suffer from a wide range of nonidealities. The dominant effect of these nonidealities is to limit the range of applications for which an op-amp can be used. Problems such as the offset voltage, the CMRR, the bias currents, and the electrical noise will limit the accuracy of op-amp circuits. The opamp’s finite gain and saturation levels will limit the maximum controllable gain of an op-amp circuit. Factors such as the op-amp’s saturation limits and its input and output impedances will limit the range of impedances that can be buffered by or driven by an op-amp. In many cases, circuit techniques or specialpurpose op-amps can be used to reduce the detrimental effects of the op-amp’s nonidealities.

Fundamentals of Circuits and Filters

16-24

References 1. E. J. Kennedy, Operational Amplifier Circuits: Theory and Applications, New York: Holt, Rinehart and Winston, 1988. 2. J. K. Roberge, Operational Amplifier: Theory and Practice, New York: Wiley, 1975. 3. E. Säckinger, J. Goette, and W. Guggenbühl, A general relationship between amplifier parameters, and its application to PSRR improvement, IEEE Trans. Circuits Syst., 38, 1173–1181, Oct. 1991. 4. A. S. Sedra and K. C. Smith, Microelectronic Circuits, 3rd edn., New York: Holt, Rinehart and Winston, 1991.

16.3 Frequency- and Time-Domain Considerations One of the most important limitations of practical op-amps is gain roll-off with frequency. This limitation affects both the frequency-domain and the time-domain behavior of circuits built around op-amps. We have linear effects, such as finite small-signal bandwidth and nonzero rise time, and nonlinear effects, such as slew-rate (SR) limiting and finite full-power bandwidth (FPBW). Additional effects are the settling time and intermodulation distortion. We discuss these limitations both for voltagemode and current-mode op-amps [1].

16.3.1 Voltage-Mode Op-Amps Conventional op-amps, the most popular representative of which is without doubt the 741 type, are voltage-mode amplifiers because in order to produce an output they require an input imbalance of the voltage type. Consequently, when a negative feedback loop is created around the op-amp, the signal returned to the input must be in the form of a voltage.

16.3.2 Block Diagram Shown in Figure 16.29 is a simplified circuit diagram [2] that can be used to describe a wide variety of practical voltage-mode op-amps, including the popular 741. As illustrated in block-diagram form in Figure 16.30, the circuit is made up of three stages. The input stage consists of transistors Q1 through Q4, whose function is to sense any imbalance between the inverting and noninverting input voltages Vn and Vp, and convert it to a single-ended output current Io1. This stage is also designed to provide high input impedance and draw negligible input currents. Q1 and Q2 form a differential pair whose task is to split the bias current IA into two currents I1 and I2 in amounts controlled by the imbalance between Vn and Vp. If this imbalance is sufficiently small, we can write I1 – I2 ¼ gm1(Vp  Vn), where gm1 is the transconductance of Q1 and Q2. Ignoring transistor base currents, we have I3 ¼ I1. In response to current I3, Q3 develops a base–emitter voltage drop that is then applied to Q4, forcing the latter to draw the same amount of current as the former, or I4 ¼ I3. For obvious reasons, Q3 and Q4 are said to form a current mirror. Summing currents, we obtain Io1 ¼ I2  I4 ¼ I2  I3 ¼ I2  I1, or Io1 ¼ gm1 (Vp  Vn ) ¼ gm1 Vd

(16:62)

where Vd ¼ Vp  Vn is called the differential input voltage. The intermediate stage consists of Darlington pair Q5  Q6 and frequency-compensation capacitance Cc. Its function is to provide additional gain as well as to introduce a dominant pole in the open-loop

Operational Amplifiers

16-25 Vcc

IA

IB Q7

Vn

D1

Q2

Q1 I1

Vp

I2

Q8

I01 Q5

I3

I4

Q3

Vo

D2 Cc Q6

Q4

VEE

FIGURE 16.29 Simplified circuit diagram of a voltage-mode op-amp.

Cc

Vp Vn

+ –gm1 –

Io1 –av2 Req

×1

Vo

Ceq

FIGURE 16.30 Voltage-mode op-amp block diagram.

response of the amplifier. Denoting the net equivalent resistance and capacitance between the input node of this stage and ground as Req and Ceq, the pole frequency is fOL ¼

1 2pReq Ceq

(16:63)

By the Miller effect we have Ceq ¼ (1 þ av2)Cc, where av2 is the voltage gain of the Darlington pair. The output stage consists of emitter–followers Q7 and Q8, and biasing diodes D1D2. Though the voltage gain of this stage is only unity, its current gain can be fairly high, indicating that this stage acts as a power amplifier. Its function is also to provide a low output impedance. Q7 and Q8 are referred to as a push–pull pair because in the presence of an output load, Q7 sources (or pushes) current to the load, and Q8 sinks (or pulls) current from the load.

Fundamentals of Circuits and Filters

16-26 |AOL|, dB

AOL, deg

AOL0

0

0.1 fOL

fOL

10fOL

f, dec

–20 dB/dec –45

0

fOL

f, dec

ft

–90

FIGURE 16.31 Frequency plots of the open-loop gain.

The small-signal transfer characteristic of the op-amp is Vo ¼ AOL (jf )Vd where AOL(jf ), called the open-loop voltage gain, is a complex function of frequency f, and j ¼ imaginary unit. With dominant pole compensation, this function can be approximated as AOL ( jf ) ¼

AOL0 1 þ jf =fOL

(16:64) pffiffiffiffiffiffiffi 1 is the

(16:65)

where AOL0 and fOL are, respectively, the dc value and bandwidth of AOL(jf ). For the circuit shown, AOL0 ¼ gm1Reqav2. As an example, the popular 741 op-amp has AOL0 ’ 2 3 105 V=V and fOL ’ 5 Hz. Figure 16.31 shows the Bode plots of AOL(jf). We make the following observations. = AOL( jf ) ’ 08 indicating an approximately constant 1. For f  fOL we have jAOL( jf )j ’ AOL0 and < gain and negligible delay. pffiffiffi = AOL(jf ) ¼ 458. Rewriting as jAOL (jf)jdB ¼ 20 2. For f ¼ fOL we have jAOL (jf )j ¼ AOL0 = 2 and < log10jAOL( jf)j ¼ jAOL0jdB  3 dB explains why fOL is also referred to as the 3 dB frequency or the half-power frequency of the open-loop response. 3. For f  fOL gain rolls off with frequency at a constant rate of 20 dB=dec, and it can be = AOL( jf) ’ 908 Rewriting as approximated as jAOL(jf)j ’ AOL0=( f=fOL) and < jAOL ( jf )j  f ’ AOL0  fOL ¼ ft

(16:66)

indicates that in the roll-off region the op-amp exhibits a constant gain–bandwidth product (constant GBP). Increasing frequency by a given amount causes gain to decrease by the same amount. The frequency ft ¼ AOL0fOL at which gain drops to 0 dB is aptly called the transition frequency. For the 741 op-amp, ft ¼ 2 3 105 3 5 ¼ 1 MHz. 16.3.2.1 Closed-Loop Frequency Response Figure 16.32 shows a simplified model of the voltage-mode op-amp, along with external circuitry to create the popular noninverting configuration. The resistors sample Vo and feed the voltage Vn ¼

R1 Vo ¼ bVo R1 þ R 2

(16:67)

Operational Amplifiers

16-27

+ Vi

+

Vd

Vo

+

– AOL Vd

Vn R2 R1

FIGURE 16.32 The noninverting configuration.

back to the inverting input. The parameter b¼

R1 1 ¼ R1 þ R2 1 þ R2 =R1

(16:68)

representing the fraction of the output being fed back to the input is called the feedback factor. By inspection, Vo ¼ AOL (jf )Vd ¼ AOL (jf )(Vi  bVo )

(16:69)

In negative-feedback parlance Vd ¼ Vi – bVo is referred to as the error signal. Collecting and solving for the ratio Vo=Vi yields, after minor algebraic manipulations, Vo ¼ ACL (jf ) ¼ Vi



 R2 1 1þ R1 1 þ 1=T(jf )

(16:70)

where ACL(jf ) is called the closed-loop gain, and T(jf ) ¼ AOL (jf )b ¼

AOL (jf ) 1 þ R2 =R1

(16:71)

is called the loop gain. This designation stems from the fact that a voltage propagating clockwise around the loop is first magnified by AOL(jf ), and then attenuated by b, thus experiencing an overall gain of T( jf ) ¼ AOL( jf )b. By Equation 16.70 we have lim ACL ¼ 1 þ

T!1

R2 R1

(16:72)

a value aptly called ideal closed-loop gain. Clearly, T provides a measure of how close ACL is to ideal: the larger the T, the better. To ensure a substantial loop gain for a range of closed-loop gains, op-amp manufacturers strive to make AOL as large as possible. Consequently, Vd will assume extremely small values since Vd ¼ Vo=AOL. In the limit AOL ! 1 we obtain Vd ! 0, that is, Vn! Vp. This provides the

Fundamentals of Circuits and Filters

16-28

basis for the familiar ideal voltage-mode op-amp rule: When operated with negative feedback, an op-amp will provide whatever output is needed to drive its error signal Vd to zero or, equivalently, to force Vn to track Vp. Substituting Equation 16.65 into Equation 16.71 and then into Equation 16.70, and exploiting the fact that bAOL0  1, we obtain, after minor algebra, ACL (jf ) ¼

ACL0 1 þ jf =fCL

(16:73)

R2 1 ¼ R1 b

(16:74)

where ACL0 ¼ 1 þ is the closed-loop dc gain, and fCL ¼ bft ¼

ft

(16:75)

ACL0

is the closed-loop small-signal bandwidth. The quantity 1=b is also called the dc noise gain because this is the gain with which the amplifier will magnify any dc noise present right at its input pins, such as the input offset voltage VOS. Equation 16.75 indicates a gain–bandwidth trade-off. As we raise the R2=R1 ratio to increase ACL0, we also decrease fCL in the process. Moreover, by Equation 16.71, T( jf ) is also decreased, thus leading to a greater departure of ACL( jf ) from the ideal. The above concepts can be visualized graphically as follows. By Equation 16.70 we can write jTjdB ¼ 20 log10jTj ¼ 20 log10jAOLj  20 log10(1=b), or jTjdB ¼ jAOL jdB  j1=bjdB

(16:76)

indicating that the loop gain can be found graphically as the difference between the decibel plot of the open-loop gain and that of the noise gain. This is illustrated in Figure 16.33. The frequency at which the two curves meet is aptly called the crossover frequency. It is readily seen that at this frequency we

dB AOL0 |AOL|

|T |

|ACL| |1/β|

ACL0 0

fOL

FIGURE 16.33 Graphical interpretation of the loop gain.

fCL

ft

f, dec

Operational Amplifiers

16-29 dB

R1

Vn

AOL0

R2

|AOL|

|T| Vi

+

|ACL|

– Vo

+

|ACL0|

|1/β| ft

fOL

fCL

f, dec

FIGURE 16.34 The inverting configuration.

pffiffiffi have T ¼ 1ff90 ¼ j, so jACL j ¼ ACL0 =j1 þ jj ¼ ACL0 = 2, by Equations 16.70 and 16.74. Consequently, the crossover frequency represents the 3 dB frequency of ACL( jf ), that is, fCL. We now see that increasing ACL0 reduces T and causes the cross-point to move up the jAOLj curve, thus decreasing fCL. The circuit with the widest bandwidth and the highest loop gain is also the one with the lowest closed-loop gain. This is the familiar voltage follower, obtained by letting R1 ¼ 1 and R2 ¼ 0. Then, by Equations 16.74 and 16.75 we have ACL0 ¼ 1 and fCL ¼ ft. Let us now turn to another important configuration, namely, the popular inverting amplifier of Figure 16.34. Since Vp ¼ 0, it follows that Vd ¼ Vn. Applying the superposition priniciple we have Vd ¼ 

R2 R1 R2 Vi  Vo ¼ Vi  bVo R1 þ R 2 R1 þ R 2 R1 þ R 2

(16:77)

indicating that the feedback factor b ¼ R1=(R1þR2) is the same as for the noninverting configuration. Substituting into Equation 16.64, we find the closed-loop gain as ACL (jf ) ¼

Vo ¼ Vi

 

 R2 1 R1 1 þ 1=T(jf )

(16:78)

Moreover, proceeding as for noninverting configuration, we get ACL (jf ) ¼

ACL0 1 þ if =fCL

(16:79)

R2 R1

(16:80)

where ACL0 ¼  is the closed-loop dc gain, and fCL ¼ bft

(16:81)

is the closed-loop small-signal bandwidth. We can again find this bandwidth as the intercept of the jAOLjdB and j1=bjdB curves. However, since we now have jACL0j < j1=bj, it follows that the jACLjdB curve will be shifted downward, as explicitly shown in Figure 16.34.

Fundamentals of Circuits and Filters

16-30

Before concluding, we wish to point out that open-loop gain roll-off affects not only the closed-loop gain, but also the closed-loop input and output impedances. Interested readers can find additional information in the literature [3]. 16.3.2.2 Closed-Loop Transient Response To fully characterize the dynamic behavior of an op-amp circuit we also need to know its transient response. This response is usually specified for the case of the op-amp operating as a unity-gain voltage follower. As we know, its small-signal transfer characteristic is Vo ¼ Vi=(1 þ jf=ft). This is formally similar to that of an ordinary RC circuit. Subjecting a voltage follower to a step of suitably small amplitude Vm, as shown in Figure 16.35, will cause an exponential output transition with the time constant t¼

1 2pft

(16:82)

The rise time tr, defined as the time it takes for Vo to swing from 10% to 90% of Vm, provides a measure of how rapidly the transition takes place. One can readily see that tr ¼ t ln 9 ’ 2.2t. For the 741 op-amp we have t ¼ 1=(2p 3 106) ’ 159 ns, and tr ’ 350 ns. The rate at which Vo changes with time is highest at the beginning of the exponential transition, when its value is Vm=t. Increasing the step magnitude, Vm increases this initial rate, until a point is reached beyond which the rate saturates at a constant value called the slew rate (SR). The transition is now a ramp, rather than an exponential. Figure 16.36 shows the SR limited response to a pulse. SR limiting stems from the limited ability of the internal circuitry to charge or discharge the compensation capacitance Cc. To understand this effect, refer to Figure 16.37. As long as the input

Volts Vi Vm – Vo

+

Vi

Vo

+ 0

t 0

τ

FIGURE 16.35 Voltage follower and its small-signal step response. Volts Vi Vm Vo SR 0

FIGURE 16.36 Large-signal response of the voltage follower.

t

Operational Amplifiers

16-31 Io1

imbalance Vd is sufficiently small, Equation 16.62 still holds and the step response is exponential. However, for large values of Vd, Io1 is no longer linearly proportional to Vd, but saturates at IA, where IA is the input-stage bias current depicted in Figure 16.29. Turning now to Figure 16.30 and observing that the second stage acts as an integrator, we can state that the maximum rate at which Cc can be charged or discharged is (dVo=dt)max ¼ IA=Cc. This is precisely the SR, IA SR ¼ Cc

IA

0

Vd

0

– IA

(16:83) FIGURE 16.37

Actual transfer characteristic of the first stage.

The 741 op-amp has typically IA ¼ 20 mA and C ¼ 30 pF, so SR ¼ 10 3 106=(30 3 1012) ¼ 0.67 V=ms. To respond to a 10 V input step a 741 follower will take approximately 10=0.67 ¼ 15 ms. The step magnitude corresponding to the onset of SR limiting in such that Vm=t ¼ SR, or Vm ¼ SR 3 t ¼ SR=(2pft). For the 741 op-amp, Vm ¼ 0.67 3 106=(2p 3 106) ¼ 106 mV. This means that as long as the input step is less than 106 mV, a 741 follower will respond with an exponential transition governed by t ’ 159 ns. For a greater input step, however, the output will slew at a constant rate of 0.67 V=ms, and it will do so until it comes within 106 mV of the final value, after which it will complete the transition in exponential fashion. In certain applications it is important to know the settling time ts, defined as the time it takes for the output to settle within a specified band around its final value, usually for a full-scale output transition. It is apparent that SR limiting plays an important role in the settling-time characteristic of a circuit. SR limiting affects also the FPBW, defined as the maximum frequency at which the circuit still yields an undistorted full-power output. Letting Vo ¼ Vm sin 2pft, we have (dVo=dt)max ¼ (2pfVm cos 2pft)max ¼ 2pfVm. Equating it to the SR and solving for f, whose value is the FPBW, we get FPBW ¼

SR 2pVm

(16:84)

For instance, for Vm ¼ 10 V, the 741 op-amp has FPBW ¼ SR=(20p) ¼ 10.6 kHz. Figure 16.38 shows the distorted response of a voltage follower to a full-power input with a frequency higher than the FPBW.

Volts Vm

Vi Vo

0

–Vm

FIGURE 16.38 Distortion when the FPBW is exceeded.

t

Fundamentals of Circuits and Filters

16-32

From Equations 16.63 and 16.83, it is apparent that the primary cause of frequency and SR limitations is the capacitance Cc. Why not eliminate Cc altogether? Without Cc the open-loop response would exhibit a much wider bandwidth, but also a much greater phase lag because of the various poles introduced by the transistors making up the op-amp. We are interested in the situation at the crossover frequency, where jTj ¼ 1. Should the phase shift at this frequency reach 1808, we would have T ¼ 1ff1808 ¼ –1 which, after substitution into Equation 16.70, would yield jACLj ! (1 þ R2=R1)=(1  1) ! 1! The physical meaning of an infinite closed-loop gain is that the circuit would be capable of sustaining a nonzero output with a vanishingly small external input. But, this is the recipe for sustained oscillation! It is precisely to avoid this possibility that the manufacturer incorporates the frequency-compensation capacitance Cc. As mentioned, Cc causes gain to roll-off, so that by the time the frequency of 1808 phase lag is reached, jAOLj has already dropped well below 0 dB, making it impossible for the circuits of Figures 16.32, 16.34, and 16.35 to achieve T ¼ 1 at the crossover frequency, regardless of the values of R1 and R2. This requires that the dominant-pole frequency be suitably low, and thus, by Equation 16.63, that Ceq be suitably large. To avoid the need to manufacture impractically large on-chip capacitances, it is customary to start out with a realistic value, such as 30 pF for the 741 op-amp, and then exploit the multiplicative action of the Miller effect to raise it to the desired equivalent value. The hardest configuration to compensate is the unity-gain voltage follower because its crossover frequency is the closest to the frequency region of additional phase lag stemming from the higherorder poles of the op-amp. This is why this particular configuration is usually chosen for the specification of the transient response. 16.3.2.3 SPICE Simulation Op amp dynamics can readily be simulated via SPICE using the op-amp macromodels available from the manufacturers. Shown in Figure 16.39 is the SPICE circuit used to display the frequency and transient responses of the 741 op-amp. The curves of Figure 16.40 are obtained by displaying the dB curves of jaj ¼ jVo=(Vp  Vn)j ¼ jVo=Vnj and jAj ¼ jVo=Vij. The curves of Figures 16.41 and 16.42 display, respectively, the small-signal and the large-signal responses to an input step. The former is dominated by exponential transients, the latter by SR-limited ramps. Note how in either case the inverting input node itself exhibits a transient before becoming a virtual ground once the circuit reaches its steady state.

16.3.3 Current-Mode Op-Amps Current-mode op-amps exploit a special circuit topology [4], along with high-speed complementary bipolar processes, to achieve much faster dynamics than their voltage-mode amplifier counterparts. The R1

Vi

R2

Vn

10 kΩ

+

10 kΩ



VCC (15 V) 2 0

3

0



7

U Out μA741 +

4

VEE (–15 V)

FIGURE 16.39 SPICE circuit to simulate the dynamics of the 741 op-amp.

6

VO

Operational Amplifiers

16-33

|a|dB

100

Gain (dB)

80 60 40 |A|dB

20 0 –20 100

101

102

103 104 Frequency f (Hz)

105

106

107

FIGURE 16.40 Open-loop and closed-loop responses of the circuit of Figure 16.39. 50 vn

Voltage (mV)

vi

0

vo

–50 0

1.0

0.5 Time t (μs)

1.5

FIGURE 16.41 Small-signal transient response of the 741 op-amp. 5

Voltage (V)

vi

vn

0 vo

–5 0

10

5 Time t (μs)

FIGURE 16.42 Large-signal transient response of the 741 op-amp.

15

Fundamentals of Circuits and Filters

16-34

name stems from the fact that these amplifiers respond to an input imbalance of the current type, and the signal propagating around the feedback loop is thus in the form of a current rather than a voltage. 16.3.3.1 Block Diagram Figure 16.43 shows the simplified circuit diagram of a current-mode op-amp. Referring also to Figure 16.44, we identify three functional blocks. 1. The first functional block is a unity-grain input buffer, consisting of transistors Q1 through Q4. Q1 and Q2 form a low output-impedance push–pull stage, while Q3 and Q4 provide VBE compensation as well as a Darlington function to raise the input impedance. This buffer forces Vn to follow Vp, very much like a voltage-mode op-amp does via negative feedback. When the op-amp is embedded in a circuit, current can easily flow in or out of its low-impedance inverting input-pin, though we shall see that in the steady-state (nonslewing) condition this current approaches zero. The function of the buffer is to sense this current, denoted as In, and produce an imbalance I1  I2 ¼ In

(16:85)

between the push–pull transistor currents I1 and I2. 2. The second block is a pair of current mirrors Q5  Q6 and Q7  Q8, which reflect currents I1 and I2 and sum them at a common junction node. The current into this node thus equals In, as shown. 3. Finally, a unity-gain output buffer, consisting of transistors Q9 through Q12, buffers the summing node voltage to the outside and provides a low output impedance for the overall op-amp.

VCC

Q6

Q5

Q13

Q1 Q3

I1

Vp

Q4

Q9

I1 In

In

Vn

Vo

I2

Q12 Q2

Q7

Q11

I2 Q10

Q8

VEE

FIGURE 16.43 Simplified circuit diagram of a current-mode op-amp.

Q14

Operational Amplifiers

16-35 VCC Current mirror

I1 In Vp

×1

I1 Vn

In ×1

I2

Req

I2

Vo

Ceq

Current mirror VEE

FIGURE 16.44 Current-mode op-amp block diagram.

Denoting the net equivalent resistance and capacitance of the summing node toward ground as Req and Ceq, we can write Vo ¼ ZOL (jf )In

(16:86)

where ZOL(jf ), called the open-loop transimpedance gain, is the impedance due to the parallel combination of Req and Ceq. This impedance can be expressed as ZOL (jf ) ¼

ZOL0 1 þ jf =fOL

(16:87)

where ZOL0 ¼ Req, and fOL ¼

1 2pReq Ceq

(16:88)

As an example in Figure 16.44, the CLC401 current-mode op-amp (National Semiconductor Co.) has ZOL0 ’ 710 kV, fCL ’ 350 kHz, and Ceq ¼ 1(2pReq fOL) ’ 0.64 pF. We observe a formal similarity with voltage-mode op-amps, except that now the error signal In is a current rather than a voltage, and the gain ZOL(jf ) is in V=A rather than in V=V. For this reason, currentmode op-amps are also referred to as transimpedance op-amps. Than gain ZOL(jf ) is approximately constant from dc to fOL, after which it rolls off with frequency at a constant rate of 1 dec=dec. 16.3.3.2 Closed-Loop Characteristics Figure 16.45 shows a simplified model of the current-mode op-amp, along with an external feedback network to configure it as a noninverting amplifier. Any attempt to unbalance the inputs will cause the input buffer to source (or sink) an imbalance current In to the external network. By Equation 16.86, this imbalance causes Vo to swing in the positive (or negative) direction until the original imbalance current is neutralized via the negative-feedback loop.

Fundamentals of Circuits and Filters

16-36

+

Vi

1

+

Vo

+



ZOLIn

In

R2 R1

FIGURE 16.45 Noninverting configuration.

Exploiting the fact that the input buffer keeps Vn ¼ Vp ¼ Vi, we can apply the superposition principle and write In ¼

Vi Vo Vi  ¼  bVo R1 k R2 R2 R1 k R2

(16:89)

Clearly, the feedback signal Vo=R2 is now a current, and the feedback factor b¼

1 R2

(16:90)

is now in A=V. Substituting into Equation 16.86 and collecting, we get Vo ¼ ACL (jf ) ¼ Vi



 R2 1 1þ R1 1 þ 1=T(jf )

(16:91)

where ACL(jf ) is the closed-loop gain of the circuit, and T(jf ) ¼ ZOL (jf )b ¼

ZOL (jf ) R2

(16:92)

is the loop gain. This designation is due again to the fact that a current propagating clockwise around the loop is first multipled by ZOL( jf ) to be converted to a voltage, and divided by R2 to be converted back to a current, thus experiencing an overall gain of T( jf ) ¼ ZOL( jf )=R2. To make ACL( jf ) approach the ideal value 1 þ R2=R1, it is desirable that T( jf ) be as large as possible. To ensure a substantial loop gain over a range of closed-loop gains, manufacturers strive to maximize ZOL( jf ) relative to R2. Consequently, since In ¼ Vo=ZOL, the inverting input-pin current will be very small, even though this is a low-impedance node because of the input buffer. In the limit ZOL ! 1 we obtain In ! 0, indicating that a current-mode op-amp will ideally provide whatever output is needed to drive In to zero. Thus, the familiar op-amp conditions Vn ! Vp, In ! 0, and Ip ! 0 hold also for currentmode op-amps, though for different reasons than their voltage-mode counterparts.

Operational Amplifiers

16-37

16.3.3.3 Current-Mode Op-Amp Dynamics Substituting Equation 16.87 into Equation 16.92 and then into Equation 16.91, and exploiting the fact that ZOL0=R2  1, we obtain ACL (jf ) ¼

ACL0 1 þ jf =ft

(16:93)

where ACL0 ¼ 1 þ

R2 R1

(16:94)

is the closed-loop dc gain, and ft ¼

ZOL0 fOL 1 ¼ R2 2pR2 Ceq

(16:95)

is the closed-loop bandwidth. With R2 in the kV range and Ceq in the pF range, ft is typically in range of 108 Hz. We can again visualize jTj and ft graphically by noting that if we define jTjdec ¼ log10jTj, then we have, by Equation 16.92, jTjdec ¼ log10jZOL( jf )j  log10jR2j, or jT jdec ¼ j ZOL (jf )jdec jR2 jdec

(16:96)

As shown in Figure 16.46, we can visualize the loop gain as the difference between the decade plot of jZOL( jf )j and that of jR2j, with the latter now acting as the noise gain. Since pffiffiffi at the crossover frequency we have T ¼ 1 ff908 ¼ j, Equations 16.91 and 16.94 yield jACL j ¼ ACL0 = 2. Consequently, the crossover frequency represents the 3 dB frequency of ACL( jf ), that is, ft. We are now ready to make two important observations. 1. Equation 16.95 shows that for a given amplifier the closed-loop bandwidth depends only on R2. We can thus use R2 to select the bandwidth ft via Equation 16.95, and R1 to select the dc gain AOL0 via Equation 16.94. The ability to set gain independently of bandwidth, along with the absence of gainbandwidth trade-off, constitutes the first major advantage of current-mode over voltage-mode opamps, see Figure 16.47. Ω, dec

ZOL0 |ZOL|

|T| |1/β| R2 fOL

FIGURE 16.46 Graphical interpretation of the loop gain.

ft

f, dec

Fundamentals of Circuits and Filters

16-38 Gain, V/V

Gain, V/V

100

100

10

10

1 f100 f10

FIGURE 16.47 op amps.

f1

f, dec

1

ft

f, dec

Comparing the gain–bandwidth characteristics of voltage-mode (left) and current-mode (right)

2. The other major advantage of current-mode op-amps is the absence of SR limiting. To justify, suppose we apply an input step Vi ¼ Vm to the circuit of Figure 16.45. Referring also to Figure 16.44, we note that the resulting current imbalance In yields an output Vo such that In ¼ CeqdVo=dt þ Vo=Req. Substituting into Equation 16.89, rearranging, and exploiting the fact that R2=ZOL0  1, we get R2 Ceq

dVo þ Vo ¼ AOL0 Vm dt

(16:97)

indicating an exponential output transient regardless of Vm. The time constant governing the transient is T ¼ R2 Ceq

(16:98)

and is set by R2, regardless of ACL0. For instance, a CLC401 op-amp with R2 ¼ 1.5 kV has t ¼ R2Ceq ¼ 1.5 3 103 3 0.64 3 1012 ’ 1 ns. The rise time is tr ¼ 2.2t ’ 2.2 ns, and the settling time within 0.1% of the final value is ts ’ 7t ’ 7 ns, in reasonable agreement with the data-sheet values tr ¼ 2.5 ns and ts ¼ 10 ns. 16.3.3.4 Higher-Order Effects The above analysis indicates that once R2 has been set, the dynamics are unaffected by the closed-loop gain setting. In practice it is found that bandwidth and rise time do vary with gain somewhat, though not as drastically as for voltage-mode op-amps. The main cause is the nonzero output resistance Rn of the input buffer, whose effect is to alter the loop gain and, hence, the closed-loop dynamics. Referring to Figure 16.48, we again use the superposition principle and write In ¼

Vi  bVo Rn þ R1 k R2

(16:99)

where the feedback factor is found using the current divider formula and Ohm’s law, b¼

R1 1 1 ¼  R1 þ Rn R2 þ (Rn k R1 ) R2 þ ACL0 Rn

(16:100)

Comparing with Equation 16.90, we observe that the effect of Rn is to replace R2 with R2 þ ACL0Rn. The j1=bj curve of Figure 16.46 will thus be shifted upward, leading to a decrease in the crossover frequency,

Operational Amplifiers

16-39

which we shall now denote as fCL. This frequency is obtained by letting R2 ! (R2 þ ACL0Rn) in Equation 16.95, fCL

ZOL0 fOL ¼ R2 þ ACL0 Rn 1 ¼ 2p(R2 þ ACL0 Rn )Ceq

+ 1 +

Vi

Rn

Vo

+ ZOLIn

– In

(16:101)

As an example, suppose an op-amp has R2 Rn ¼ 50 V, R2 ¼ 1.5 kV, and ft ¼ 100 MHz. Then, Equation 16.101 yields fCL ¼ ft=(1 þ R1 ACL0Rn=R2) ¼ 108=(1 þ ACL0=30). The bandwidths corresponding to ACL0 ¼ 1 V=V, 10 V=V, and 100 V=V are, respectively, f1 ¼ 96.8 MHz, f10 ¼ 75.0 MHz, and FIGURE 16.48 Investigating the effect of Rn. f100 ¼ 23.1 MHz, and are shown in Figure 16.49. The corresponding rise times are, respectively, t1 ¼ 3.6 ns, t10 ¼ 4.7 ns, and t100 ¼ 15.2 ns. We note that the above bandwidth reductions still compare favorably with voltage-mode op-amps, where the reduction factors would be, respectively, 1, 10, and 100. The values of R1 and R2 can be predistorted to compensate for the bandwidth reduction. Using Equation 16.101 we find R2 for a given bandwidth fCL and dc gain ACL0, R2 ¼

ZOL0 fOL  ACL0 Rn fCL

(16:102)

and using Equation 16.94 we find R1 for the given dc gain ACL0, R1 ¼

R2 ACL0  1

(16:103)

As an example, suppose an op-amp with ZOL0 fOL ¼ 1.5 3 1011 V 3 Hz and Rn ¼ 50 V is to be configured for ACL0 ¼ 10 V=V and fCL ¼ 100 MHz. Then, using Equations 16.102 and 16.103 we find R2 ¼ 1.5 3 1011=108  10 3 50 ¼ 1 kV, and R1 ¼ 103=(10  1) ¼ 111 V. Though our analysis has focused on the noninverting configuration, we can readily extend our line of reasoning to other circuits such as the popular inverting configuration. The latter is obtained by Gain, V/V

100

f100

10

f10 f1

1 0.1

1

10

100

FIGURE 16.49 Bandwidth reduction for different gain settings.

MHZ

16-40

Fundamentals of Circuits and Filters

grounding the noninverting input of Figure 16.48, and applying the source Vi via the bottom lead of R1. The bandwidth is still as in Equation 16.101, but the dc gain is now R2=R1. Interested readers can consult the literature [1,5] for additional current-mode op-amp circuits as well as application hints. We conclude by pointing out that current-mode op-amps, though exhibiting much faster dynamics than their voltage-mode counterparts, in general suffer from poorer input offset voltage and input bias current characteristics. Moreover, having much wider bandwidths, they tend to be noisier. There is no question that the circuit designer must carefully weigh both advantages and disadvantages before deciding which amplifier type is best suited to the application at hand.

Acknowledgment Parts of this chapter are based on the author’s article ‘‘Current feedback amplifiers benefit high-speed designs.’’ This article appeared in the Jan. 5, 1989 issue of EDN on pages 161–172. Cahners Publishing Company of Newton, MA has granted permission for its appearance.

References 1. S. Franco, Current feedback amplifiers benefit high-speed designs, EDN, 161–172, Jan. 5, 1989. 2. J. E. Solomon, The monolithic operational amplifier: A tutorial study, IEEE Journal of Solid-State Circuits, SC-9, 314–332, Dec. 1974. 3. S. Franco, Design with Operational Amplifiers and Analog ICs, 3rd edn., New York: WCB=McGrawHill, 2001. 4. D. Nelson and S. Evans, A new approach to op-amp design, Comlinear Corp. Applicat. Note 3001, Mar. 1985. 5. D. Potson, Current-feedback op-amp applications circuit guide, Comlinear Corp. Applicat. Note OA-07, May 1988.

17 High-Frequency Amplifiers 17.1 17.2

Introduction ............................................................................ 17-1 Current Feedback Op-Amp................................................. 17-2 Current Feedback Op-Amp Basics . CMOS Compound Device . Buffer and CFOA Implementation

17.3

RF Low-Noise Amplifiers .................................................... 17-8 Specifications . CMOS Common-Source LNA: Simplified Analysis . CMOS Common-Source LNA: Effect of Cgd . Cascode CS LNA

17.4

Optical Low-Noise Preamplifiers ..................................... 17-17 Front-End Noise Sources . Receiver Performance Criteria . Transimpedance (TZ) Amplifiers . Layout for HF Operation

17.5

Fundamentals of RF Power Amplifier Design .............. 17-24 PA Requirements . Power Amplifier Classification . Practical Considerations for RF Power Amplifiers . Conclusions

17.6

IF Sampling . Linear Region Transconductor Implementation . gm-C Bandpass Biquad

Chris Toumazou

Imperial College of Science, Technology and Medicine

Alison Payne

Imperial College of Science, Technology and Medicine

Applications of High-Q Resonators in IF-Sampling Receiver Architectures ........................................................ 17-29

17.7

Log-Domain Processing ..................................................... 17-34 Instantaneous Companding . Log-Domain Filter Synthesis Performance Aspects . Basic Log-Domain Integrator . Synthesis of Higher-Order Log-Domain Filters

.

References .......................................................................................... 17-45

17.1 Introduction As the operating frequency of communication channels for both video and wireless increases, there is an ever-increasing demand for high-frequency (HF) amplifiers. Furthermore, the quest for single-chip integration has led to a whole new generation of amplifiers predominantly geared toward CMOS VLSI. In this chapter, we will focus on the design of high-frequency amplifiers for potential applications in the front-end of video, optical, and RF systems. Figure 17.1 shows, for example, the architecture of a typical mobile phone transceiver front-end. With channel frequencies approaching the 2 GHz range, coupled with demands for reduced chip size and power consumption, there is an increasing quest for VLSI at microwave frequencies. The shrinking feature size of CMOS has facilitated the design of complex analog circuits and systems in the 1–2 GHz range, where more traditional low-frequency lumped circuit techniques are now becoming feasible. Since the amplifier is the core component in such systems,

17-1

Fundamentals of Circuits and Filters

BPF

A

A/D

Image reject LNA filter Duplexer

Frequency synthesizer

Power amp BPF

FIGURE 17.1

Baseband data

Baseband processing

17-2

Generic wireless transceiver architecture.

there has been an abundance of circuit design methodologies for high-speed, low-voltage, low-noise, and low-distortion operation. This chapter will present various amplifier designs that aim to satisfy these demanding requirements. In particular, we will review, and in some cases present new ideas for power amps, LNAs, and transconductance cells, which form core building blocks for systems such as Figure 17.1. Section 17.2 begins by reviewing the concept of current feedback, and shows how this concept can be employed in the development of low-voltage, high-speed, constant-bandwidth CMOS amplifiers. The next two sections of the chapter focus on amplifiers for wireless receiver applications, investigating performance requirements and design strategies for optical receiver amplifiers (Section 17.3) and HF low-noise amplifiers (LNAs) (Section 17.4). Section 17.5 considers the design of amplifiers for the transmitter side, and in particular the design and feasibility of Class E power amps are discussed. Finally, Section 17.6 reviews a very recent low-distortion amplifier design strategy termed ‘‘log-domain,’’ which has shown enormous potential for HF, low-distortion tunable filters.

17.2 Current Feedback Op-Amp 17.2.1 Current Feedback Op-Amp Basics The operational amplifier (op-amp) is one of the fundamental building blocks of analog circuit design [1,2]. High-performance signal processing functions such as amplifiers, filters, oscillators, etc. can be readily implemented with the availability of high-speed, low-distortion op-amps. In the last decade, the development of complementary bipolar technology has enabled the implementation of single-chip video op-amps [3–7]. The emergence of op-amps with nontraditional topologies, such as the current feedback op-amp (CFOA), has improved the speed of these devices even further [8–11]. CFOA structures are well known for their ability to overcome (to a first-order approximation) the gain-bandwidth trade-off and slew rate limitation that characterizes traditional voltage feedback op-amps (VFOAs) [12]. Figure 17.2 shows a simple macromodel of a CFOA, along with a simplified circuit diagram of the basic architecture. The topology of the CFOA differs from the conventional VFOA in two respects. First, the input stage of a CFOA is a unity-gain voltage buffer connected between the inputs of the op-amp. Its function is to force Vn to follow Vp, very much like a conventional VFOA does via negative feedback. In the case of the CFOA, because of the low output impedance of the buffer, current can flow in or out of the inverting input, although in normal operation (with negative feedback) this current is extremely small. Secondly, a CFOA provides a high open-loop transimpedance gain Z(jv), rather than open-loop voltage gain as with a VFOA. This is shown in Figure 17.2, where a current-controlled current source senses the current IINV delivered by the buffer to the external feedback network, and copies this current to a high impedance Z(jv). The voltage conveyed to the output is given by Equation 17.1:

High-Frequency Amplifiers

17-3

(+)

VOUT

1

Vp 1

Vn

Z

IINV

IINV

(–) VDD

I1 (+)

Vp

1

I1 IINV Vn

(–)

Z

VOUT 1

Iz I2

I2

VSS

FIGURE 17.2 CFOA macromodel.

VOUT ¼ Z(jv)  IINV )

VOUT (jv) ¼ Z(jv) IINV

(17:1)

When the negative feedback loop is closed, any voltage imbalance between the two inputs due to some external agent will cause the input voltage buffer to deliver an error current IINV to the external network. This error current IINV ¼ I1I2 ¼ IZ is then conveyed by the current mirrors to the impedance Z, resulting in an ouput voltage as given by Equation 17.1. The application of negative feedback ensures that VOUT will move in the direction that reduces the error current IINV and equalizes the input voltages. We can approximate the open-loop dynamics of the CFOA as a single pole response. Assuming that the total impedance Z(jv) at the gain node is the combination of the output resistance of the current mirrors Ro in parallel with a compensation capacitor C, we can write: Z(jv) ¼

Ro Ro ¼ 1 þ jvRo C 1 þ j vvo

(17:2)

where vo ¼ 1=RoC represents the frequency where the open-loop transimpedance gain is 3 dB down from its low frequency value Ro. In general, Ro is designed to be very high in value. Referring to the noninverting amplifier configuration shown in Figure 17.3: IINV ¼

VIN VOUT  VIN VIN VOUT  ¼  RG RF RG ==RF RF

(17:3)

Substituting Equation 17.1 into Equation 17.3 yields the following expression for the closed-loop gain:  ACL (jv) ¼

RF 1þ RG



Z(jv) ¼  RF þ Z(jv)



RF 1þ RG

 

1 RF 1 þ Z(jv)

(17:4)

Fundamentals of Circuits and Filters

17-4 VIN +

VOUT

VIN



1/RF//RG

+

+

IINV

VOUT



RF

1/RF

RG

FIGURE 17.3

Z

CFOA noninverting amplifier configuration.

Combining Equations 17.2 and 17.4, and assuming that the low frequency value of the open-loop transimpedance is much higher than the feedback resistor (Ro >> RF) gives:  ACL (jv) ¼

RF 1þ RG

 

1 AVo RF v ¼ 1 þ j v 1 þ j Ro vo va

(17:5)

Referring to Equation 17.5, the closed-loop gain AVo ¼ 1 þ RF=RG, while the closed-loop 3 dB frequency va is given by va ¼

Ro  vo RF

(17:6)

Equation 17.6 indicates that the closed-loop bandwidth does not depend on the closed-loop gain as in the case of a conventional VFOA, but is determined by the feedback resistor RF. Explaining this intuitively, the current available to charge the compensation capacitor at the gain node is determined by the value of the feedback resistor RF and not Ro, provided that Ro >> RF. So, once the bandwidth of the amplifier is set via RF, the gain can be independently varied by changing RG. The ability to control the gain independently of bandwidth constitutes a major advantage of CFOAs over conventional VFOAs. The other major advantage of the CFOA compared to the VFOA is the inherent absence of slew rate limiting. For the circuit of Figure 17.3, assume that the input buffer is very fast and thus a change in voltage at the noninverting input is instantaneously converted to the inverting input. When a step DVIN is applied to the noninverting input, the buffer output current can be derived as IINV ¼

VIN  VOUT VIN þ RF RG

(17:7)

Equation 17.7 indicates that the current available to charge=discharge the compensation capacitor is proportional to the input step regardless of its size, that is, there is no upper limit. The rate of change of the output voltage is thus   dVOUT IINV RF ¼ ) VOUT (t) ¼ DVIN  1 þ  (1  et=Rf C ) dt C RG

(17:8)

Equation 17.8 indicates an exponential output transition with time constant t ¼ RF  C. Similar to the small-signal frequency response, the large-signal transient response is governed by RF alone, regardless of the magnitude of the closed-loop gain. The absence of slew rate limiting allows for faster settling times and eliminates slew rate-related nonlinearities.

High-Frequency Amplifiers

17-5

In most practical bipolar realizations, Darlington-pair transistors are used in the input stage to reduce input bias currents, which makes the op-amp somewhat noisier and increases the IB input offset voltage. This is not necessary in CMOS realizations due to the inherently high MOSFET input impedance. However, S in a closed-loop CFOA, RG should be much larger than the output G impedance of the buffer. In bipolar realizations, it is fairly simple M1 to obtain a buffer with low output resistance, but this becomes more of a problem in CMOS due to the inherently lower gain of MOSFET devices. As a result, RG typically needs to be higher in a CMOS CFOA than in a bipolar realization, and consequently, RF needs to be increased above the value required for optimum FIGURE 17.4 Simple PMOS source HF performance. Additionally, the fact that the input buffer is follower. not in the feedback loop imposes linearity limitations on the structure, especially if the impedance at the gain node is not very high. Regardless of these problems, CFOAs exhibit excellent HF characteristics and are increasingly popular in video and communications applications [13]. The following sections outline the development of a novel low output impedance CMOS buffer, which is then employed in a CMOS CFOA to reduce the minimum allowable value of RG.

17.2.2 CMOS Compound Device A simple PMOS source follower is shown in Figure 17.4. The output impedance seen looking into the source of M1 is approximately Zout ¼ 1=gm, where gm is the small-signal transconductance of M1. To increase gm, the drain current of M1 could be increased, which leads to an increased power dissipation. Alternatively, the dimensions of M1 can be increased, resulting in additional parasitic capacitance and hence an inferior frequency response. Figure 17.5 shows a configuration that achieves a higher transconductance than the simple follower of Figure 17.3 for the same bias current [11]. The current of M2 is fed back to M1 through the a:1 current mirror. This configuration can be viewed as a compound transistor whose gate is the gate of M1 and whose source is the source of M2. The impedance looking into the compound source can be approximated as Zout ¼ (gm1  a  gm2)=(gm1  gm2), where gm1 and gm2 represent the IB small-signal transconductance of M1 and M2, respectively. The output impedance can be made small by G M1 setting the current mirror transfer ratio a ¼ gm1=gm2. The p-compound device is practically implemented S as in Figure 17.6. In order to obtain a linear voltage transfer function from node 1 to 2, the gate-source M2 voltages of M1 and M3 must cancel. The current mirror (M4–M2) acts as an NMOS–PMOS gatesource voltage matching circuit [14] and compensates a:1 for the difference in the gate-source voltages of M1 and M3, which would normally appear as an output offset. DC analysis, assuming a square law model for the MOSFETs, shows that the output voltage exactly follows the input voltage. However, in practice, channel length modulation and body effects preclude exact FIGURE 17.5 Compound MOS device. cancellation [15].

Fundamentals of Circuits and Filters

17-6

17.2.3 Buffer and CFOA Implementation IB 2

M1

Vout

1 3 M3

M2

4

M4

FIGURE 17.6 Actual p-compound device implementation.

vdd3

vdd2

vdd1

m8 m9

m7

m6

Bias1

m10

n-compound transistor

Vin

The CFOA op-amp shown in Figure 17.7 has been implemented in a single-well 0.6 mm digital CMOS process [11]; the corresponding layout plot is shown in Figure 17.8. The chip has an area of 280 mm by 330 mm and a power dissipation of 12 mW. The amplifier comprises two voltage followers (input and output) connected by cascoded current mirrors to enhance the gain node impedance. A compensation capacitor (Cc ¼ 0.5 pF) at the gain node ensures adequate phase margin and thus closed-loop stability. The voltage followers have been implemented with two compound transistors, p-type and n-type, in a push–pull arrangement. Two such compound transistors in the output stage are shown shaded in Figure 17.7. The input voltage follower of the CFOA was initially tested open-loop, and measured results are summarized in Table 17.1. The load is set to 10 kV=10 pF, except where mentioned otherwise, 10 kV being a limit imposed by overall power dissipation of the chip. Intermodulation distortion was

vdd4

in–

Cc

m13

m3

m11

m12 m4

CFOA schematic.

vss2

Bias2 p-compound transistor

m2

vss1

out

vdd5

m1

Voltage follower

m18

vss5

vss4

FIGURE 17.7

m19

m17

m5

in+

m20

m14

m15 m16

vss3

High-Frequency Amplifiers

17-7

measured with 2 tons separated by 200 kHz. The measured output impedance of the buffer is given in Figure 17.9. It remains below 80 V up to a frequency of about 60 MHz, Capacitor when it enters an inductive region. A maximum impedance of 140 V is reached around 160 MHz. Beyond this frequency, the output impedance is dominated by parasitic capacitances. The inductive behavior is characteristic of Output buffer the use of feedback to reduce output impedance, and can cause stability problems when driving capacitive loads. Current mirror Small-signal analysis (summarized in Table 17.2) predicts a double zero in the output impedance [15]. Making factor G in Table 17.2 small will reduce the Input output impedance, but also moves the double zero to buffer lower frequencies and intensifies the inductive behavior. The principal trade-off in this configuration is between output impedance magnitude and inductive behavior. In FIGURE 17.8 CFOA layout plot. practice, the output impedance can be reduced by a factor of 3 while still maintaining good stability when driving capacitive loads. Figure 17.10 shows the measured frequency response of the buffer. Given the low power dissipation, excellent slew rates have been achieved (Table 17.2). After the characterization of the input buffer stage, the entire CFOA was tested to confirm the suitability of the compound transistors for the implementation of more complex building blocks. Open-loop transimpedance measurements are shown in Figure 17.11. The bandwidth of the amplifier was measured at gain settings of 1, 2, 5, and 10 in a noninverting configuration, and the feedback resistor was trimmed to achieve maximum bandwidth at each gain setting separately. CFOA measurements are summarized in Table 17.3, loading conditions are again 10 kV=10 pF. Figure 17.12 shows the measured frequency response for various gain settings. The bandwidth remains constant at 110 MHz for gains of 1, 2, and 5, consistent with the expected behavior of a CFOA. The bandwidth falls to 42 MHz for a gain of 10 due to the finite output impedance of the input buffer stage which series as the CFOA inverting input. Figure 17.13 illustrates the step response of the CFOA driving a 10 kV=10 pF load at a voltage gain of 2. It can be seen that the inductive behavior of the buffers has little effect on the step response. Finally, distortion measurements were carried out for the entire CFOA for gain settings 2, 5, and 10 and are summarized in Table 17.3. HD2 levels can be further improved by employing a double-balanced topology. A distortion spectrum is shown in Figure 17.14; the onset of HD3 is due to clipping at the test conditions.

TABLE 17.1

Voltage Buffer Performance

Power Supply

5V

Dissipation

5 mW

DC gain (no load)

3.3 dB

Bandwidth

140 MHz

Output impedance HD2 (Vin ¼ 200 mVrms)

75 V 1 MHz

Min. load resistance 50 dB

10 kV

10 MHz

49 dB

20 MHz

45 dB

IM3 (Vin ¼ 200 mVrms)

20 MHz, Df ¼ 200 kHz

53 dB

Slew rate

(Load ¼ 10 pF) pffiffiffiffiffiffi 10 nV Hz

þ130 V=ms

Input-referred noise

Note: Load ¼ 10 kV=10 pF, except for slew rate measurement.

72 V=ms

Fundamentals of Circuits and Filters

17-8

Buffer output impedance

Output impedance (Ohm)

150

100

50 105

FIGURE 17.9

106

107 Frequency (Hz)

108

Measured buffer output impedance characteristics. TABLE 17.2 Voltage Transfer Function and Output Impedance of Compound Device G (gm1 þ gds1 þ gds2 )  (gm3 þ gds3 )  (gm4 þ gds4 ) gm1  gm3  (gm4 þ gds4 ) ¼ (gm1 þ gds1 þ gds2 )  (gm3 þ gds3 )  (gm4 þ gds4 ) þ gL  G

Zout ¼ Vout Vin

G ¼ (gm1 þ gds1 þ gds2 )  (gm4 þ gds4 þ gds3 )  gm2  gm3

17.3 RF Low-Noise Amplifiers This section reviews the important performance criteria demanded of the front-end amplifier in a wireless communication receiver. The design of CMOS LNAs for front-end wireless communication receiver applications is then addressed. Section 17.4 considers the related topic of LNAs for optical receiver front-ends.

17.3.1 Specifications The front-end amplifier in a wireless receiver must satisfy demanding requirements in terms of noise, gain, impedance matching, and linearity. 17.3.1.1 Noise Since the incoming signal is usually weak, the front-end circuits of the receiver must possess very low noise characteristics so that the original signal can be recovered. Provided that the gain of the front-end amplifier is sufficient so as to suppress noise from the subsequent stages, the receiver noise performance is determined predominantly by the front-end amplifier. Hence, the front-end amplifier should be an LNA.

High-Frequency Amplifiers

0

17-9 Voltage follower frequency response

–1 –2 –3

Gain (dB)

–4 –5 –6 –7 –8 –9 –10 107

108 Frequency (Hz)

FIGURE 17.10 Measured buffer frequency response.

Open-loop transimpedance gain, Z(s)

20 log(Z)

90 85 80 75 70 105

106 Frequency (Hz)

107

106 Frequency (Hz)

107

0

Phase (°)

–50

–100

–150 105

FIGURE 17.11 Measured CFOA open-loop transimpedance gain.

Fundamentals of Circuits and Filters

17-10 TABLE 17.3 Power Supply Gain

CFOA Measurement Summary 5V

Power Dissipation

12 mW

Input (mV rms)

Gain

HD2 (dB)

140

2

51

40

5

50

10

10

49

80

2

42

40

5

42

13

10

43

Bandwidth (MHz)

1

117

2

118

5

113

10

42

Frequency 1 MHz

10 MHz

Frequency response, gain = 1, 2, 5, 10 30 25 20

Gain (dB)

15 10 5 0 –5 –10 107

108 Frequency (Hz)

FIGURE 17.12 Measured CFOA closed-loop frequency response.

17.3.1.2 Gain The voltage gain of the LNA must be high enough to ensure that noise contributions from the following stages can be safely neglected. As an example, Figure 17.15 shows the first three stages in a generic frontend receiver, where the gain and output-referred noise of each stage are represented by Gi and Ni (i ¼ 1, 2, 3), respectively. The total noise at the third stage output is given by Nout ¼ Nin G1 G2 G3 þ N1 G2 G3 þ N2 G3 þ N3

(17:9)

High-Frequency Amplifiers

17-11 Step response, gain = 2

0.25 0.2 0.15

Output voltage (V)

0.1 0.05 0 –0.05 –0.1 –0.15 –0.2 –0.25 –5

0

5

10

15

20 ×10–8

Time (s)

FIGURE 17.13 Measured CFOA step response. Harmonic distortion, gain = 10 –10 –20

Output (dBm @ 50 ohm)

–30 –40 –50 –60 –70 –80 –90 0

0.5

1

1.5

2

2.5

3

3.5

4

Frequency (Hz)

4.5

5 ×107

FIGURE 17.14 CFOA harmonic distortion measurements.

Nin

G1

N1

First stage

G2

N2

Second stage

G3

N3

Third stage

FIGURE 17.15 Three-stage building block with gain Gi and noise Ni per stage.

Nout

Fundamentals of Circuits and Filters

17-12

This output noise (Nout) can be referred to the input to derive an equivalent input noise (Neq): Neq ¼

Nout Nout N1 N2 N3 ¼ ¼ Nin þ þ þ Gain G1 G2 G3 G1 G1 G2 G1 G2 G3

(17:10)

According to Equation 23.10, the gain of the first stage should be high in order to reduce noise contributions from subsequent stages. However, if the gain is too high, a large input signal may saturate the subsequent stages, yielding intermodulation products which corrupt the desired signal. Thus, optimization is inevitable. 17.3.1.3 Input Impedance Matching The input impedance of the LNA must be matched to the antenna impedance over the frequency range of interest, in order to transfer the maximum available power to the receiver. 17.3.1.4 Linearity Unwanted signals at frequencies fairly near the frequency band of interest may reach the LNA with signal strengths many times higher than that of the wanted signal. The LNA must be sufficiently linear to prevent these out-of-band signals from generating intermodulation products within the wanted frequency band, and thus degrading the reception of the desired signal. Since third-order mixing products are usually dominant, the linearity of the LNA is related to the ‘‘third-order intercept point’’ (IP3), which is defined as the input power level that results in equal power levels for the output fundamental frequency component and the third-order intermodulation components. The dynamic range (DR) of a wireless receiver is limited at the lower bound by noise and at the upper band by nonlinearity.

17.3.2 CMOS Common-Source LNA: Simplified Analysis 17.3.2.1 Input Impedance Matching by Source Degeneration For maximum power transfer, the input impedance of the LNA must be matched to the source resistance, which is normally 50 V. Impedance-matching circuits consist of reactive components and therefore are (ideally) lossless and noiseless. Figure 17.16 shows the small-signal equivalent circuit of a commonsource (CS) LNA input stage with impedance-matching circuit, where the gate-drain capacitance Cgd is

Rs

Lg

iout

+ Cgs

vgs

gm



Vs

Zin

Ls

FIGURE 17.16 Simplified small-signal equivalent circuit of the CS stage.

High-Frequency Amplifiers

17-13

assumed to have negligible effect and is thus neglected [16,17]. The input impedance of this CS input stage is given by Zin ¼ jv(Lg þ Ls ) þ

1 gm þ Ls jvCgs Cgs

(17:11)

Thus, for matching, the two conditions below must be satisfied: (i) v2o ¼

1 (Lg þ Ls )Cgs

and

(ii)

gm L s ¼ Rs Cgs

(17:12)

17.3.2.2 Noise Figure of CS Input Stage Two main noise sources exist in a CS input stage as shown in Figure 17.17; thermal noise from the source 2 ) and channel thermal noise from the input transistor (denoted i2 ). The output resistor Rs (denoted vRs d 2 can be determined from Figure 17.17 as noise current due to vRs

i2nout1 ¼

2 2 2 gm vRs gm ¼ v2 2 2 Rs 4v2 R2s Cgs v2 (gm Ls þ Rs Cgs )

(17:13)

while the output noise current due to i2d can be evaluated as inout2 ¼ 

id

1  ¼ id 2 1 þ Rgms CLgss

1 ; i2nout2 ¼ i2d 4

(17:14)

From Equations 17.13 and 17.14, the noise figure (NF) of the CS input stage is determined as 2 v2o Rs Cgs i2 NF ¼ 1 þ nout2 ¼ 1 þ G i2nout1 gm

!



Ls ¼1þG Ls þ Lg

 (17:15)

In practice, any inductor (especially a fully integrated inductor) has an associated resistance that will contribute thermal noise, degrading the NF in Equation 17.15.

Rs

Lg

iout

+ Cgs

vgs –

gm

i2d

v2Rs

Ls

2 ¼ 4kT ; id 2 ¼ KTGg . FIGURE 17.17 Simplified noise equivalent circuit of the CS stage. VRs Rs dc

Fundamentals of Circuits and Filters

17-14

17.3.2.3 Voltage Amplifier with Inductive Load Referring to Figure 17.15, the small-signal current output is given by iout ¼

[1 

v2 Cgs (Lg

gm vs þ Ls )] þ jv(gm Ls þ Rs Cgs )

(17:16)

For an inductive load (L1) with a series internal resistance rL1, the output voltage is thus vout ¼ iout (rL1 þ jvL1 ) ¼

[1 

(rL1 þ jvL1 )gm vs þ Ls )] þ jv(gm Ls þ Rs Cgs )

v2 Cgs (Lg

(17:17)

Assuming that the input is impedance matched, the voltage gain at the output is given by sffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffi ffi   pffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffi      2 2 2 vout  (r ) þ (v ) (L ) r vo L1 2 1 L1 L1 L1 o 1 L1  ¼ ¼ 1þ ffi vo v  2vo Ls 2vo Ls rL1 Ls rL1 2 s

(17:18)

17.3.3 CMOS Common-Source LNA: Effect of Cgd In the analysis so far, the gate-drain capacitance (Cgd) has been assumed to be negligible. However, at very high frequencies, this component cannot be neglected. Figure 17.18 shows the modified input stage of a CS LNA including Cgd and an input ac-coupling capacitance Cin. Small-signal analysis shows that the input impedance is now given by gm Ls



Zin ¼



Cgs þ Cgd jvLs gm þ

(17:19)

gm (1v2 Ls Cgd ) 1 Z þjvCgd L

Equation 17.19 exhibits resonance frequencies that occur when 1  v2 Ls Cgs ¼ 0

and

1  v2 Lg Cin ¼ 0

(17:20)

Equation 17.19 indicates that the input impedance matching is degraded by the load ZL when Cgd is included in the analysis.

vin

Cgd

v1 iin

Cin

Lg

iout

+ Cgs

vgs –

gm ZL

v2 Zin Ls

FIGURE 17.18 Noise equivalent circuit of the CS stage, including effects of Cgd.

vout

High-Frequency Amplifiers

17-15

17.3.3.1 Input Impedance with Capacitive Load If the load ZL is purely capacitive, that is, ZL ¼

1 jvCL

(17:21)

then the input impedance can be easily matched to the source resistor Rs. Substituting Equation 17.21 for ZL, the bracketed term in the denominator of Equation 17.19 becomes d1 ¼ jvLs gm þ

gm (1  v2 Ls Cgd ) ¼0 jv(Cgd þ CL )

(17:22)

under the condition that 1  v2 Ls (2Cgd þ CL ) ¼ 0

(17:23)

The three conditions in Equations 17.20 and 17.23 should be met to ensure input impedance matching. However, in practice, we are unlikely to be in the situation of using a load capacitor. 17.3.3.2 Input Impedance with Inductive Load If ZL ¼ jvLL, the CS LNA input impedance is given by Zin ¼

g L hm s  2 i 1v L C Cgs þ jvCgd gm Ls þ LL 1v2 LLs Cgdgd

(17:24)

In order to match to a purely resistive input, the value of the reactive term in Equation 17.24 must be negligible, which is difficult to achieve.

17.3.4 Cascode CS LNA 17.3.4.1 Input Matching As outlined in the paragraph above, the gate-drain capacitance (Cgd) degrades the input impedance matching and therefore reduces the power transfer efficiency. In order to reduce the effect of Cgd, a cascoded structure can be used [18–20]. Figure 17.19 shows a cascode CS LNA. Since the voltage gain from the gate to the drain of M1 is unity, the gate-drain capacitance (Cgd1) no longer sees the full input–output voltage swing which greatly improves the input–output isolation. The input impedance can be approximated by Equation 17.11, thus allowing a simple matching circuit to be employed [18]. 17.3.4.2 Voltage Gain Figure 17.20 shows the small-signal equivalent circuit of the cascode CS LNA. Assuming that input is fully matched to the source, the voltage gain of the amplifier is given by

Vdd L1 vo

Zin

VG

M2

Lg M1 Rs Vs

Cin

FIGURE 17.19 Cascode CS LNA.

Ls

Fundamentals of Circuits and Filters

17-16

Cgd2

Cgs2

Cgd1

Rs Cin

L1

Lg Cgsl

Vs

vout gm2

gm1 Ls

FIGURE 17.20 Equivalent circuit of cascode CS LNA.

   vout 1 jvL1 gm2 gm1 ¼ vs gm2 þ jvCgs2 (1  v2 Ls Cgs1 ) þ jvLs gm1 2 1  v2 L1 Cgd2

(17:25)

At the resonant frequency, the voltage gain is given by      vout 1 L1 1 1 1 L1 1     (vo ) ¼    2 C gs1 vs 2 Ls 1  vo L1 Cgd2 2 Ls 1 þ jvo 1 þ j vo

(17:26)

vT

gm2

From Equation 17.26, the voltage gain is dependent on the ratio of the load and source inductance values. Therefore, high gain accuracy can be achieved since this ratio is largely process independent. 17.3.4.3 Noise Figure Figure 17.21 shows an equivalent circuit of the cascode CS LNA for noise calculations. Three main noise sources can be identified: the thermal noise voltage from Rs, and the channel thermal noise currents from M1 and M2. Assuming that the input impedance is matched to the sources, the output noise current due 2 can be derived as to vRs iout1

  1 gm2 ¼ vRs 2jvo Ls (1  v2o L1 Cgd2 ) gm2 þ jvo Cgs2

(17:27)

Cgd2 vout Cgs2

Cgd1

Rs

gm2

i 2d2 L1

Cgsl

gm1

i 2d1

2 VRs

Ls

FIGURE 17.21 Noise equivalent circuit of cascode CS LNA.

High-Frequency Amplifiers

17-17

The output noise current contribution due to i2d1 of M1 is given by iout2

  1 gm2 ¼ id1 2(1  v2o L1 Cgd2 ) gm2 þ jvo Cds2

(17:28)

The output noise current due to i2d2 of M2 is given by iout3 ¼

jvo Cgs2 id2 (1  v2o L1 Cgd2 )(gm2 þ jvo Cgs2 )

(17:29)

The NF of the cascode CS LNA can thus be derived as NF ¼ 1 þ

i2out2 i2out1

þ

i2out3 i2out1

¼1þG 1þ

2 4v2o Cgs2

!

gm1 gm2

(17:30)

In order to improve the NF, the transconductance values (gm) of M1 and M2 should be increased. Since the gate-source capacitance (Cgs2) of M2 is directly proportional to the gate width, the gate width of M2 cannot be enlarged to increase the transconductance. Instead, this increase should be realized by increasing the gate bias voltage.

17.4 Optical Low-Noise Preamplifiers Figure 17.22 shows a simple schematic diagram of an optical receiver, consisting of a photodetector, a preamplifier, a wide-band voltage amplifier, and a predetection filter. Since the front-end transimpedance preamplifier is critical in determining the overall receiver performance, it should possess a wide bandwidth so as not to distort the received signal, high gain to reject noise from subsequent stages, low noise to achieve high sensitivity, wide DR, and low intersymbol interference (ISI).

17.4.1 Front-End Noise Sources Receiver noise is dominated by two main noise sources: the detector (PIN photodiode) noise and the amplifier noise. Figure 17.23 illustrates the noise equivalent circuit of the optical receiver. 17.4.1.1 PIN Photodiode Noise The noise generated by a PIN photodiode arises mainly from three shot noise contributions: quantum noise Sq( f ), thermally generated dark-current shot noise SD( f ), and surface leakage-current shot noise SL( f ). Other noise sources in a PIN photodiode, such as series resistor noise, are negligible in comparison. The quantum noise Sq( f ), also called signal-dependent shot noise, is produced by the light-generating

Photodiode

Pre-AMP

FIGURE 17.22 Front-end optical receiver.

Wideband AMP

Predetection filter

Fundamentals of Circuits and Filters

17-18

Sv(f ) A C

Si(f )

R Sq(f )

SR(f )

SL(f )

FIGURE 17.23 Noise equivalent circuit of the front-end optical receiver.

nature of photonic detection and has a spectral density Sq( f ) ¼ 2qIpdDf, where Ipd is the mean signal current arising from the Poisson statistics. The dark-current shot noise SD( f ) arises in the photodiode bulk material. Even when there is no incident optical power, a small reverse leakage current still flows, resulting in shot noise with a spectral density SD( f ) ¼ 2qIDBDf, where IDB is the mean thermally generated dark current. The leakage shot noise SL( f ) occurs because of surface effects around the active region, and is described by SL( f ) ¼ 2qISLDf, where ISL is the mean surface leakage current. 17.4.1.2 Amplifier Noise For a simple noise analysis, the pre- and postamplifiers in Figure 17.22 are merged to a single amplifier with a transfer function of Av(v). The input impedance of the amplifier is modeled as a parallel combination of Rin and Cin. If the photodiode noise is negligibly small, the amplifier noise will dominate the whole receiver noise performance, as can be inferred from Figure 17.23. The equivalent noise current and voltage spectral densities of the amplifier are represented as Si (A2=Hz) and Sv (V2=Hz), respectively. 17.4.1.3 Resistor Noise The thermal noise generated by a resistor is directly proportional to the absolute temperature T and is represented by a series noise voltage generator or by a shunt noise current generator [21] of value: vR2 ¼ 4kTRDf

or i2R ¼ 4kT

1 Df R

(17:31)

where k is Boltzmann’s constant R is the resistance

17.4.2 Receiver Performance Criteria

D E 17.4.2.1 Equivalent Input Noise Current i2eq The transfer function from the current input to the amplifier output voltage is given by ZT (v) ¼

Vout Rin Av (v) ¼ Zin Av (v) ¼ Ipd 1jvRin (Cpd þ Cin )

where Cpd is the photodiode capacitance Rin and Cin are the input resistance and capacitance of the amplifier, respectively

(17:32)

High-Frequency Amplifiers

17-19

Assuming that the photodiode noise contributions are negligible and that the amplifier noise sources are uncorrelated, the equivalent input noise current spectral density can be derived from Figure 17.23 as  Sv 1 2 2 ¼ Si þ Sv 2 þ (2pf ) (Cpd þ Cin ) Seq (f ) ¼ Si þ Rin [Zin ]2

(17:33)

2 The total mean-square noise output voltage vno is calculated by combining Equations 17.32 and 17.33 as follows:

2 vno ¼

1 ð

Seq (f )jZT (f )j2 df

(17:34)

0

This total noise voltage can be referred to the input of the amplifier by dividing it by the squared dc gain jZT(0)j2 of the receiver, to give an equivalent input mean-square noise current: 1

 1 ð ð 2 2 D E 2 v S jZ (f )j v T no 2 2 jZT (f )j 2 ieq ¼ ¼ Si þ 2 df þ Sv [2p(Cpd þ Cin )] f df Rin jZT (0)j2 jZT (0)j2 jZT (0)j2 0 0   Sv ¼ Si þ 2 I2 B þ [2p(Cpd þ Cin )]2 I3 B3 Sv Rin

(17:35)

where B is the operating bit-rate I2( ¼ 0.56) and I3( ¼ 0.083) are the Personick second and third integrals, respectively, as given in Ref. [22] According to Morikuni et al. [23], the Personick integral in Equation 17.35 is correct only if a receiver produces a raised-cosine output response from a rectangular input signal at the cut-off bit-rate above which the frequency response of the receiver is zero. However, the Personick integration method is generally preferred when comparing the noise (or sensitivity) performance of different amplifiers. 17.4.2.2 Optical Sensitivity Optical sensitivity is defined as the minimum received optical power incident on a perfectly efficient photodiode connected to the amplifier, such that the presence of the amplifier noise corrupts on average only 1 bit per 109 bits of incoming data. Therefore, a detected power greater than the sensitivity level guarantees system operation at the desired performance. The optical sensitivity is predicted theoretically by calculating the equivalent input noise spectral density of the receiver, and is calculated [24] via Equation 17.36: rffiffiffiffiffiffiffiffiffiffiffi   hc D 2 E 1 S ¼ 10 log10 Q ieq  (dBm) ql 1mW where h is Planck’s constant c is the speed of light q is the electronic charge l (mm) is the wavelength of light in an optical fiber pffiffiffiffiffiffiffiffiffi Q ¼ SNR, where SNR represents the required signal-to-noise ratio (SNR)

(17:36)

Fundamentals of Circuits and Filters

17-20

The value of Q should be 6 for a bit error rate (BER) of 109, and 7.04 for a BER of 1012. The relation between Q and BER is given by BER ¼

exp (Q2 =2) pffiffiffiffiffiffi 2pQ

(17:37)

Since the number of photogenerated electrons in a single bit is very large (more than 104) for optoelectronic integrated receivers [25], Gaussian statistics of the above BER equation can be used to describe the detection probability in PIN photodiodes. 17.4.2.3 SNR at the Photodiode Terminal [22] Among the photodiode noise sources, quantum noise is generally dominant and can be estimated as

i2n q ¼ 2qIpd Beq

(17:38)

where Ipd is the mean signal current Beq is the equivalent noise bandwidth The SNR referred to the photodiode terminal is thus given by SNR ¼ D E i2n

2 Ipd pd

þ

4kTBeq RB

D E þ i2eq

(17:39) amp

where all noise contributions due to the amplifier are represented by the equivalent noise current D E i2eq . It is often convenient to combine the noise contributions from the amplifier and the photoamp

diode with the thermal noise from the bias resistor, by defining an NF: D E i2n

pd

þ

4kTBeq D 2 E 4kTBeq NF þ ieq ¼ amp RB RB

(17:40)

The SNR at the photodiode input is thus given by SNR ffi

2 Ipd RB

4kTBeq NF

(17:41)

17.4.2.4 Intersymbol Interference When a pulse passes through a band-limited channel, it gradually disperses. When the channel bandwidth is close to the signal bandwidth, the expanded rise and fall times of the pulse signal will cause successive pulses to overlap, deteriorating the system performance and giving higher error rates. This pulse overlapping is known as ISI. Even with raised signal power levels, the error performance cannot be improved [26]. In digital optical communication systems, sampling at the output must occur at the point of maximum signal in order to achieve the minimum error rate. The output pulse shape should therefore be chosen to maximize the pulse amplitude at the sampling instant and give a zero at other sampling points; that is, at multiples of 1=B, where B is the data-rate. Although the best choice for this purpose is the sinc-function

High-Frequency Amplifiers

17-21

pulse, in practice a raised-cosine spectrum pulse is used instead. This is because the sinc-function pulse is very sensitive to changes in the input pulse shape and variations in component values, and because it is impossible to generate an ideal sinc-function. 17.4.2.5 Dynamic Range The DR of an optical receiver quantifies the range of detected power levels within which correct system operation is guaranteed. DR is conventionally defined as the difference between the minimum input power (which determines sensitivity) and the maximum input power (limited by overload level). Above the overload level, the bit-error-rate (BER) rises due to the distortion of the received signal.

17.4.3 Transimpedance (TZ) Amplifiers High-impedance (HZ) amplifiers are effectively open-loop architectures, and exhibit a high gain but a relatively low bandwidth. The frequency response is similar to that of an integrator, and thus HZ amplifiers require an output equalizer to extend their frequency capabilities. In contrast, the transimpedance (TZ) configuration exploits resistive negative feedback, providing an inherently wider bandwidth and eliminating the need for an output equalizer. In addition, the use of negative feedback provides a relatively low input resistance and thus the architecture is less sensitive to the photodiode parameters. In a TZ amplifier, the photodiode bias resistor RB can be omitted, since bias current is now supplied through the feedback resistor. In addition to wider bandwidth, TZ amplifiers offer a larger DR because the transimpedance gain is determined by a linear feedback resistor, and not by a non-linear open-loop amplifier as is the case for HZ amplifiers. The DR of TZ amplifiers is set by the maximum voltage swing available at the amplifier output, provided no integration of the received signal occurs at the front end. Since the TZ output stage is a voltage buffer, the voltage swing at the output can be increased with high current operation. The improvement in DR in comparison to the HZ architecture is approximately equal to the ratio of openloop to closed-loop gain [27]. Conclusively, the TZ configuration offers the better performance compromise compared to the HZ topology, and hence this architecture is preferred in optical receiver applications. A schematic diagram of a TZ amplifier with PIN photodiode is shown in Figure 17.24. With an openloop, high-gain amplifier and a feedback resistor, the closed-loop transfer function of the TZ amplifier is given by

Rf

ipd –A

Vo

RB

FIGURE 17.24 Schematic diagram of a transimpedance amplifier with photodiode.

Fundamentals of Circuits and Filters

17-22

ZT (s) ¼ 1þA A

Rf R Cf

Cin þ(1þA)Cf  ffi in 1 þ sR þ C þ sRf f f A A

(17:42)

where A is the open-loop mid-band gain of the amplifier which is assumed to be greater than unity Rf is the feedback resistance Cin is the total input capacitance of the amplifier including the photodiode and the parasitic capacitance Cf represents the stray feedback capacitance The 3 dB bandwidth of the TZ amplifier is approximately given by f3d ¼

(1 þ A) 2pRf CT

(17:43)

where CT is the total input capacitance including the photodiode capacitance. The TZ amplifier can thus have wider bandwidth by increasing the open-loop gain, although the open-loop gain cannot be increased indefinitely without stability problems. However, a trade-off between low noise and wide bandwidth exists, since the equivalent input noise current spectral density of TZ amplifier is given by 4kT 4kT þ þ Si (f ) þ Sv (f ) Seq (f ) ¼ Rf RB

"

1 1 þ Rf RB

#

2 þ(2pf )2 (Cpd þ Cin )2

(17:44)

where Cin is the input capacitance of the input transistor. Increasing the value of Rf reduces the noise current in Equation 17.44 but also shrinks the bandwidth in Equation 17.43. This conflict can be mitigated by making A in Equation 17.43 as large as the closed-loop stability allows [28]. However, the feedback resistance Rf cannot be increased indefinitely due to the DR requirements of the amplifier, since too large a feedback resistance causes the amplifier to be overloaded at high signal levels. This overloading can be avoided by using automatic gain control (AGC) circuitry, which automatically reduces the transimpedance gain in discrete steps to keep the peak output signal constant [27]. The upper limit of Rf is set by the peak amplitude of the input signal. Since the dc transimpedance gain is approximately equal to the feedback resistance Rf, the output voltage is given by Ipd 3 Rf, where Ipd is the signal photocurrent. If this output voltage exceeds the maximum voltage swing at the output, the amplifier will be saturated and the output will be distorted, yielding bit errors. The minimum value of Rf is determined by the output signal level at which the performance of the receiver is degraded due to noise and offsets. For typical fiber-optic communication systems, the input signal power is unknown, and may vary from just above the noise floor to a large value enough to generate 0.5 mA at the detector diode [29]. The TZ configuration has some disadvantages over HZ amplifiers. The power consumption is fairly high, partly due to the broadband operation provided by negative feedback. A propagation delay exists in the closed-loop of the feedback amplifier that may reduce the phase margin of the amplifier and cause peaking in the frequency response. Additionally, any stray feedback capacitance Cf will further deteriorate the ac performance. Among three types of TZ configuration in CMOS technology (common-source, common-drain, and common-gate TZ amplifiers), the common-gate configuration has potentially the highest bandwidth due to its inherently lower input resistance. Using a common-gate input configuration, the resulting amplifier bandwidth can be made independent of the photodiode capacitance (which is usually the limiting factor in achieving GHz preamplifier designs). Recently, a novel common-gate TZ amplifier has been demonstrated, which shows superior performance compared to various other configurations [30,31].

High-Frequency Amplifiers

17-23

17.4.4 Layout for HF Operation Wideband high-gain amplifiers have isolation problems irrespective of the choice of technology. Couplings from output to input, from the power supply rails, and from the substrate are all possible. Therefore, careful layout is necessary, and special attention must be given to stray capacitance, both on the integrated circuit and associated with the package [32]. 17.4.4.1 Input=Output Isolation For stable operation, a high level of isolation between I=O is necessary. Three main factors degrade the I=O isolation [33,34]: (1) capacitive coupling between I=O signal paths through the air and through the substrate; (2) feedback through the dc power supply rails and ground-line inductance; and (3) the package cavity resonance since at the cavity resonant frequency, the coupling between I=O can become very large. In order to reduce the unwanted coupling (or to provide good isolation, typically more than 60 dB) between I=O, the I=O pads should be laid out to be diagonally opposite to each other on the chip with a thin ‘‘left-to-right’’ geometry between I=O. The small input signal enters on the left-hand side of the chip, while the large output signal exits on the far right-hand side. This helps to isolate the sensitive input stages from the larger signal output stages [35,36]. The use of fine line-widths and shielding are effective techniques to reduce coupling through the air. Substrate coupling can be reduced by shielding and by using a thin and low-dielectric substrate. Akazawa et al. [33] suggest a structure for effective isolation: a coaxial-like signal-line for high shielding, and a very thin dielectric dc feed-line structure for low characteristic impedance. 17.4.4.2 Reduction of Feedback through the Power Supply Rails Careful attention should be given to the layout of power supply rails for stable operation and gain flatness. Power lines are generally inductive; thus, on-chip capacitive decoupling is necessary to reduce the HF power line impedance. However, a resonance between these inductive and capacitive components may occur at frequencies as low as several hundred megahertz, causing a serious dip in the gain– frequency response and an upward peaking in the isolation-frequency characteristics. One way to reduce this resonance is to add a series damping resistor to the power supply line, making the Q factor of the LC resonance small. Additionally, the power supply line should be widened to reduce the characteristic impedance=inductance. In practice, if the characteristic impedance is as small as several ohms, the dip and peaking do not occur, even without resistive termination [33]. Resonance also occurs between the IC pad capacitance (Cpd) and the bond-wire inductance (Lbond). This resonance frequency is typically above 2 GHz in miniature RF packages. Also in layout, the power supply rails of each IC chip stage should be split from the other stages in order to reduce the parasitic feedback (or coupling effect through wire-bonding inductance), which causes oscillation [34]. This helps to minimize crosstalk through power supply rail. The IC is powered through several pads and each pad is individually bonded to the power supply line. 17.4.4.3 I=O Pads The bond pads on the critical signal path (e.g., input pad and output pads) should be made as small as possible to minimize the pad-to-substrate capacitance [35]. A floating n-well placed underneath the pad will further reduce the pad capacitance since the well capacitance will appear in series with the pad capacitance. This floating well also prevents the pad metal from spiking into the substrate. 17.4.4.4 High-Frequency (HF) Ground The best possible HF grounds to the sources of the driver devices (and hence the minimization of interstage crosstalk) can be obtained by separate bonding of each source pad of the driver MOSFETs to the ground plane that is very close to the chip [36]. A typical bond-wire has a self-inductance of a few nH,

17-24

Fundamentals of Circuits and Filters

which can cause serious peaking within the bandwidth of amplifiers or even instability. By using multiple bond-wires in parallel, the ground-line inductance can be reduced to less than 1 nH. 17.4.4.5 Flip-Chip Connection In noisy environments, the noise-insensitive benefits of optical fibers may be lost at the receiver connection between the photodiode and the preamplifier. Therefore, proper shielding, or the integration of both components onto the same substrate, is necessary to prevent this problem. However, proper shielding is costly, while integration restricts the design to GaAs technologies. As an alternative, the flip-chip interconnection technique using solder bumps has been used [37,38]. Small solder bumps minimize the parasitics due to the short interconnection lengths and avoid damages by mechanical stress. Also, it needs relatively low-temperature bonding and hence further reduces damage to the devices. Easy alignment and precise positioning of the bonding can be obtained by a self-alignment effect. Loose chip alignment is sufficient because the surface tension of the molten solder during reflow produces precise self-alignment of the pads [34]. Solder bumps are fabricated onto the photodiode junction area to reduce parasitic inductance between the photodiode and the preamplifier.

17.5 Fundamentals of RF Power Amplifier Design 17.5.1 PA Requirements An important functional block in wireless communication transceivers is the power amplifier (PA). The transceiver PA takes as input the modulated signal to be transmitted, and amplifies this to the power level required to drive the antenna. Because the levels of power required to transmit the signal reliably are often fairly high, the PA is one of the major sources of power consumption in the transceiver. In many systems, power consumption may not be a major concern, as long as the signal can be transmitted with adequate power. For battery-powered systems, however, the limited amount of available energy means that the power consumed by all devices must be minimized so as to extend the transmit time. Therefore, power efficiency is one of the most important factors when evaluating the performance of a wireless system. The basic requirement for a PA is the ability to work at low supply voltages as well as high operating frequencies, and the design becomes especially difficult due to the trade-offs between supply voltage, output power, distortion, and power efficiency that can be made. Moreover, since the PA deals with large signals, small-signal analysis methods cannot be applied directly. As a result, both the analysis and the design of PAs are challenging tasks. This section will first present a study of various configurations employed in the design of state-of-theart nonlinear RF PAs. Practical considerations toward achieving full integration of PAs in CMOS technology will also be highlighted.

17.5.2 Power Amplifier Classification PAs currently employed for wireless communication applications can be classified into two categories: linear PAs and nonlinear PAs. For linear PAs, the output signal is controlled by the amplitude, frequency, and phase of the input signal. Conversely, for nonlinear PAs, the output signal is only controlled by the frequency of input signal. Conventionally, linear PAs can be classified as Class A, Class B, or Class AB. These PAs produce a magnified replica of the input signal voltage or current waveform, and are typically used where accurate reproduction of both the envelope and the phase of the signal is required. However, either poor power efficiency or large distortion prevents them from being extensively employed in wireless communications. Many applications do not require linear RF amplification. Gaussian minimum shift keying (GMSK) [39], the modulation scheme used in the European standard for mobile communications (GSM), is an

High-Frequency Amplifiers

17-25 Vdd

example of constant envelope modulation. In this case, the system can make use of the greater efficiency and simplicity offered by nonlinear PAs. The increased efficiency of nonlinear PAs, such as Class C, Class D, and Class E, results from techniques that reduce the average collector voltage–current product (i.e., power dissipation) in the switching device. Theoretically, these switching-mode PAs have 100% power efficiency since, ideally, there is no power loss in the switching device.

RFC Cb

L0

C0

R vo(θ)

vi(θ)

17.5.2.1 Linear Power Amplifiers 17.5.2.1.1 Class A

The basic structure of the Class A PA is shown in Figure 17.25 [40]. For Class A amplification, the FIGURE 17.25 Single-ended Class A PA. conduction angle of the device is 3608, that is, the transistor is in its active region for the entire input cycle. The serious shortcoming with Class A PAs is their inherently poor power efficiency, since the transistor is always dissipating power. The efficiency of a single-ended Class A PA is ideally limited to 50%. However, in practice, few designs can reach this ideal efficiency due to additional power loss in the passive components. In an inductorless configuration, the efficiency is only about 25% [41]. 17.5.2.1.2 Class B A PA is defined as Class B when the conduction angle for each transistor of a push–pull pair is 1808 during any one cycle. Figure 17.26 shows an inductorless Class B PA. Since each transistor only conducts for half of the cycle, the output suffers crossover distortion due to the finite threshold voltage of each transistor. When no signal is applied, there is no current flowing; as a result, any current through either device flows directly to the load, thereby maximizing the efficiency. The ideal efficiency can reach 78% [41], allowing this architecture to be of use in applications where linearity is not the main concern. 17.5.2.1.3 Class AB The basic idea of Class AB amplification is to preserve the Class B push–pull configuration while improving the linearity by biasing each device slightly above threshold. The implementation of Class AB PAs is similar to Class B configurations. By allowing the two devices to conduct current for +Vdd a short period, the output voltage waveform during the crossover period can be smoothed, which thus reduces the crossover distortion of the output signal. 17.5.2.2 Nonlinear Power Amplifiers 17.5.2.2.1 Class C A Class C PA is the most popular nonlinear PA used in the RF band. The conduction angle is less than 1808 since the switching transistor is biased on the verge of conduction. A portion of the input signal will make the transistor operate in the amplifying region, and thus the drain current of the transistor is a pulsed signal.

R

vi(θ)

–Vdd

FIGURE 17.26 Inductorless Class B PA.

vo(θ)

Fundamentals of Circuits and Filters

17-26 Vin VT DC bias Vdd Co

Lo

t

RL iD

vout vin

t (a)

(b)

FIGURE 17.27 (a) Class C PA and (b) Class C waveforms.

Figure 17.27a and b shows the basic configuration of a Class C PA and its corresponding waveforms; clearly, the input and output voltages are not linearly related. The efficiency of an ideal Class C amplifier is 100% since at any point in time, either the voltage or the current waveforms are zero. In practice, this ideal situation cannot be achieved, and the power efficiency should be maximized by reducing the power loss in the transistor. That is, minimize the current through the transistor when the voltage across the output is high, and minimize the voltage across the output when the current flows through the device. 17.5.2.2.2 Class D A Class D amplifier employs a pair of transistors and a tuned output circuit, where the transistors are driven to act as a two-pole switch and the output circuit is tuned to the switching frequency. The theoretical power efficiency is 100%. Figure 17.28 shows the voltage-switching configuration of a Class D amplifier. The input signals of transistors Q1 and Q2 are out of phase, and consequently when Q1 is on, Q2 is off, and vice versa. Since the load network is a tuned circuit, we can assume that it provides little

VDD

Q1 L0

C0

vD v΄in

Q2 Vin

FIGURE 17.28 Class D PA.

RL

Vout

High-Frequency Amplifiers

17-27

impedance to the operating frequency of the voltage vd and high impedance to other harmonics. Since vd is a square wave, its Fourier expansion is given by  vd (vt) ¼ Vdc

1 2 2 þ sin (vt) þ sin (3vt) . . . 2 p 3p

(17:45)

The impedance of the RLC series load at resonance is equal to RL, and thus the current is given by iL (vt) ¼

2Vdc sin (vt) pRL

(17:46)

Each of the devices carries the current during one half of the switching cycle. Therefore, the output power is given by Po ¼

2 2 Vdc p2 RL

(17:47)

Design efforts should focus on reducing the switching loss of both transistors as well as generating the input driving signals. 17.5.2.2.3 Class E The idea behind the Class E PA is to employ nonoverlapping output voltage and output current waveforms. Several criteria for optimizing the performance can be found in Ref. [42]. Following these guidelines, Class E PAs have high power efficiency, simplicity, and relatively high tolerance to circuit variations [43]. Since there is no power loss in the transistor as well as in the other passive components, the ideal power efficiency is 100%. Figure 17.29 shows a Class E PA, and the corresponding waveforms are given in Figure 17.30. The Class E waveforms indicate that the transistor should be completely off before the voltage across it changes, and that the device should be completely on before it starts to allow current to flow through it. Refs. [44,45] demonstrate practical Class E operation at RF frequencies using a GaAs process.

17.5.3 Practical Considerations for RF Power Amplifiers More recently, single-chip solutions for RF transceivers have become a goal for modern wireless communications due to potential savings in power, size, and cost. CMOS must clearly be the technology

VDD

Ldc vD iD

C2 Cp

vin

FIGURE 17.29 Class E PA.

L2 RL

vout

Fundamentals of Circuits and Filters

17-28 iD

0 0

t1

π

t2

ω t



vD

0 0

π



ω t

FIGURE 17.30 Waveforms of Class E operation.

of choice for a single-chip transceiver due to the large amount of digital baseband processing required. However, the PA design presents a bottleneck toward full integration, since CMOS PAs are still not available. The requirements of low supply voltage, gigahertz-band operation, and high output power make the implementation of CMOS PAs very demanding. The proposal of ‘‘microcell’’ communications may lead to a relaxed demand for output power levels that can be met by designs such as that described in Ref. [46], where a CMOS Class C PA has demonstrated up to 50% power efficiency with 20 mW output power. Nonlinear PAs seem to be popular for modern wireless communications due to their inherent high power efficiency. Since significant power losses occur in the passive inductors as well as the switching devices, the availability of on-chip, low-loss passive inductors is important. The implementation of CMOS on-chip spiral inductors has therefore become an active research topic [47]. Due to the poor spectral efficiency of a constant envelope modulation scheme, the high power efficiency benefit of nonlinear PAs is eliminated. A recently proposed linear transmitter using a nonlinear PA may prove to be an alternative solution [48]. The development of high mobility devices such as SiGe HBTs has led to the design of PAs demonstrating output power levels up to 23 dBm at 1.9 GHz with power-added efficiency of 37% [49]. Practical PA designs require that much attention be paid to issues of package and harmonic terminations. Power losses in the matching networks must be absolutely minimized, and trade-offs between power-added efficiency and linearity are usually achieved through impedance matching. Although GaAs processes provide low-loss impedance matching structures on the semi-insulating substrate, good shielding techniques for CMOS may prove to be another alternative.

17.5.4 Conclusions Although linear PAs provide conventional ‘‘easy-design’’ characteristics and linearity for modulation schemes such as p=4-DQPSK, modern wireless transceivers are more likely to employ nonlinear PAs due to their much higher power efficiency. As the development of high-quality on-chip passive components makes progress, the trend toward full integration of the PA is becoming increasingly plausible. The rapid development of CMOS technology seems to be the most promising choice for PA integration, and vast improvements in frequency performance have been gained through device scaling. These improvements are expected to continue as silicon CMOS technologies scale further, driven by the

High-Frequency Amplifiers

17-29

demand for high-performance microprocessors. The further development of high mobility devices such as SiGe HBTs may finally see GaAs MOSFETs being replaced by wireless communication applications, since SiGe technology is compatible with CMOS.

17.6 Applications of High-Q Resonators in IF-Sampling Receiver Architectures Transconductance-C (gm-C) filters are currently the most popular design approach for realizing continuous-time filters in the intermediate frequency range in telecommunications systems. This section will consider the special application area of high-Q resonators for receiver architectures employing IF sampling.

17.6.1 IF Sampling A design approach for contemporary receiver architectures that is currently gaining popularity is IF digitization, whereby low-frequency operations such as second mixing and filtering can be performed more efficiently in the digital domain. A typical architecture is shown in Figure 17.31. The IF signal is digitized, multiplied with the quadrature phases of a digital sinusoid, and lowpass filtered to yield the quadrature baseband signals. Since processing takes place in the digital domain, I=Q mismatch problems are eliminated. The principal issue in this approach, however, is the performance required from the A=D converter (ADC). Noise referred to the input of the ADC must be very low so that selectivity remains high. At the same time, the linearity of the ADC must be high to minimize corruption of the wanted signal through intermodulation effects. Both the above requirements should be achieved at an input bandwidth commensurate with the value of the IF frequency, and at an acceptable power budget. Oversampling has become popular in recent years because it avoids many of the difficulties encountered with conventional methods for A=D and D=A conversion. Conventional converters are often difficult to implement in fine-line, very large-scale integration (VLSI) technology, because they require precise analog components and are very sensitive to noise and interference. In contrast, oversampling converters trade off resolution in time for resolution in amplitude, in such a way that the imprecise nature of the analog circuits can be tolerated. At the same time, they make extensive use of digital signal processing power, taking advantage of the fact that fine-line VLSI is better suited for providing fast digital circuits than for providing precise analog circuits. Therefore, IF-digitization techniques utilizing oversampling Sigma-Delta modulators are very well suited to modern submicron CMOS technologies, and their potential has made them the subject of active research. Most Delta-Sigma modulators are implemented with discrete-time circuits, switched-capacitor (SC) implementations being by far the most common. This is mainly due to the ease with which monolithic SC filters can be designed, as well as the high linearity which they offer. The demand for high-speed SD oversampling ADCs, especially for converting bandpass signals, makes it necessary to look for a

MUL LNA Mixer IF filter antialiasing

RF filter Local oscillator

FIGURE 17.31 IF-sampling receiver.

LPF

Q

SIN fs

Dig. sinewave gen.

A/D COS MUL

LPF

I

Fundamentals of Circuits and Filters

17-30

MUL LNA

Mixer fs

COS

Local oscillator

Continuous-time resonator –

Dig. sinewave gen.

A/D

antialiasing

+

Q

SIN

IF filter

RF filter

LPF

MUL

LPF

I

Continuous-time resonator

+ –

DAC

Most diffcult to implement block

FIGURE 17.32 Continuous-time SD A=D in IF-sampling receiver.

technique that is faster than SC. This demand has stimulated researchers to develop a method for designing continuous-time SD ADCs (not checked with MS to identify that there is an missing symbol). Although continuous-time modulators are not easy to integrate, they possess a key advantage over their discrete-time counterparts. The sampling operation takes place inside the modulator loop, making it is possible to ‘‘noise-shape’’ the errors introduced by sampling, and provide a certain amount of antialiasing filtering at no cost. On the other hand, they are sensitive to memory effects in the DACs and are very sensitive to jitter. They must also process continuous-time signals with high linearity. In communications applications, meeting the latter requirement is complicated by the fact that the signals are located at very high frequencies. As shown in Figure 17.32, integrated bandpass implementations of continuous-time modulators require integrated continuous-time resonators to provide the noise shaping function. The gm-C approach of realizing continuous-time resonators offers advantages of complete system integration and total design freedom. However, the design of CMOS high-Q high-linearity resonators at the tens of megahertz is very challenging. Since the linearity of the modulator is limited by the linearity of the resonators utilized, the continuous-time resonator is considered to be the most demanding analog subblock of a bandpass continuous-time Sigma-Delta modulator. Typical specifications for a gm-C resonator used to provide the noise-shaping function in a SD modulator in a mobile receiver (see Figure 17.32) are summarized in Table 17.4.

17.6.2 Linear Region Transconductor Implementation The implementation of fully integrated, high-selectivity filters operating at tens to hundreds of megahertz provides benefits for wireless transceiver design, including chip area economy and cost reduction. The main disadvantages of on-chip active filter implementations when compared to off-chip passives include

High-Frequency Amplifiers

17-31

TABLE 17.4 Fully Integrated Continuous-Time Resonator Specifications Resonator Specifications Center frequency

50 MHz

Quality factor

50

SFDR

>30 dB

Power dissipation

Minimal

increased power dissipation, deterioration in the available DR with increasing Q, and Q and resonant frequency integrity (because of process variations, temperature drifts, and aging, automatic tuning is often unavoidable, especially in high-Q applications). The transconductor-capacitor (gm-C) technique is a popular technique for implementing high-speed continuous-time filters and is widely used in many industrial applications [52]. Because gm-C filters are based on integrators built from an open-loop transconductance amplifier driving a capacitor, they are typically very fast but have limited linear DR. Linearization techniques that reduce distortion levels can be used, but often lead to a compromise between speed, DR, and power consumption. As an example of the trade-offs in design, consider the transconductor shown in Figure 17.33. This design consists of a main transconductor cell (M1, M2, M3, M4, M10, M11, and M14) with a negative resistance load (M5, M6, M7, M8, M9, M12, and M13). Transistors M1 and M2 are biased in the triode region of operation using cascode devices M3 and M4 and determine the transconductance gain of the cell. In the triode region of operation, the drain current versus terminal voltage relation can be approximated (for simple hand calVdd 2 culations) as ID ¼ K[2(VGS  VT)VDS  VDS ], Vbias4 where K and VT are the transconductance parM9 ameter and the threshold voltage, respectively. M12 Assuming that VDS is constant for both M1 and M2, both the differential mode and the M5 M6 common-mode transconductance gains can be derived as GDM ¼ GCM ¼ 2KVDS, which can thus be tuned by varying VDS. M7 M8 The high value of common-mode transconductance is undesirable since it may result in Vbias3 M13 regenerative feedback loops in high-order filters. To improve the CMRR transistor and Out(–) Out(+) avoid the formation of such loops, M10 is Vbias2 M14 used to bias the transconductor, thus transforming it from a pseudo differential to a M3 M4 fully differential transconductor [53]. Transistors M11 and M14 constitute a floating voltage source, thus maintaining a constant drainIn(+) In(–) M1 M2 source voltage for M1 and M2. The nonlinearities in the voltage-to-current M11 transfer of this stage are mainly due to three Vbias1 M10 effects. The first is the finite impedance levels at the sources of the cascode devices, which Vss cause a signal-dependent variation of the corresponding drain-source voltages of M1 and M2. A fast floating voltage source and large FIGURE 17.33 Triode region transconductor.

Fundamentals of Circuits and Filters

17-32

cascode transistors therefore need to be used to minimize this nonlinearity. The second cause of nonlinearity is the variation of carrier mobility m of the input devices M1 and M2 with VGS  VT, which becomes more apparent when short-channel devices are used (K ¼ m  Cox  W=2  L) . A simple first-order model for transverse-field mobility degradation is given by m ¼ m0=(1 þ u  (VGS  VT)), where m0 and u are the zero-field mobility and the mobility reduction parameter, respectively. Using this model, the third-order distortion can be determined by a Maclaurin series expansion as u2=4(1 þ u(VCM  VT)) [54]. This expression cannot be regarded as exact, although it is useful to obtain insight. Furthermore, it is valid only at low frequencies, where reactive effects can be ignored and the coefficients of the Maclaurin series expansion are frequency independent. At high frequencies or when very low values of distortion are predicted by the Maclaurin series method, a generalized power series method (Volterra series) must be employed [55,56]. Finally, a further cause of nonlinearity is a mismatch between M1 and M2, which can be minimized by good layout. A detailed linearity analysis of this transconductance stage is presented in Ref. [15]. To provide a load for the main transconductor cell, a similar cell implemented by p-devices is used. The gates of the linear devices M5 and M6 are now cross-coupled with the drains of the cascode devices M7 and M8. In this way, weak positive feedback is introduced. The differential-mode output resistance can now become negative and is tuned by the VDS of M5 and M6 (M12 and M13 form a floating voltage source), while the common-mode output resistance attains a small value. When connected to the output of the main transconductor cell as shown in Figure 17.33, the crosscoupled p-cell forms a high-ohmic load for differential signals and a low-ohmic load for common-mode signals, resulting in a controlled common-mode voltage at the output [54,57]. CMRR can be increased even further using M10, as described previously. Transistor M9 is biased in the triode region of operation and is used to compensate the offset common-mode voltage at the output. The key performance parameter of an integrator is the phase shift at its unity-gain frequency. Deviations from the ideal 908 phase include phase lead due to finite dc gain and phase lag due to HF parasitic poles. In the transconductor design of Figure 17.33, dc gain is traded for phase accuracy, thus compensating the phase lag introduced by the parasitic poles. The reduction in dc gain for increased phase accuracy is not a major problem for bandpass filter applications, since phase accuracy at the center frequency is extremely important while dc gain has to be adequate to ensure that attenuation specifications are met at frequencies below the passband. From simulation results using parameters from a 0.8 mm CMOS process, with the transconductor unitygain frequency set at 50 MHz, third-order intermodulation components were observed at 78 dB with respect to the fundamental signals (two input signals at 49.9 and 50.1 MHz were applied, each at 50 mVpp).

17.6.3 gm-C Bandpass Biquad 17.6.3.1 Filter Implementation The implementation of on-chip high-Q resonant circuits presents a difficult challenge. Integrated passive inductors have generally poor quality factors, which limits the Q of any resonant network in which they are employed. For applications in the hundreds of megahertz to a few gigahertz, one approach is to implement the resonant circuit using low-Q passive on-chip inductors with additional Q-enhancing circuitry. However, for lower frequencies (tens of megahertz), on-chip inductors occupy a huge area and this approach is not attractive. As disscussed above, an alternative method is to use active circuitry to eliminate the need for inductors. gm-C-based implementations are attractive due to their high-speed potential and good tunability. A bandpass biquadratic section based upon the transconductor of Figure 17.33 is shown in Figure 17.34. The transfer function of Figure 17.34 is given by Vo gmi  Ro (1 þ s  Ro  C) n o ¼ 2 R2 R 2 R2 2 2Ro þgm 1þgm Vi (Ro  C) o o 2 s þs þ 2 2 2 Ro C

Ro C

(17:48)

High-Frequency Amplifiers

17-33

+ –

In

+ –

+ –

gmi

+ –

gm

+

– +

Out –

– +

– +

gm

– +

gmi

FIGURE 17.34 Biquad bandpass.

Ro represents the total resistance at the nodes due to the finite output resistance of the transconductors. R represents the effective resistance of the linear region transistors in the transconductor (see Figure 17.33), and is used here to introduce damping and control the Q. From Equation 17.48, it can be shown that vo  2 ), Qmax ¼ Q jr ¼ 0 ¼ (gm  Ro)=2 and Ao ¼ gmi  Q. Thus, gm is used to set gm=C, Q  gm  Ro=(2 þ Ro  R  gm the central frequency, R is used to control the Q, and gmi controls the bandpass gain Ao. A dummy gmi is used to provide symmetry and thus better stability due to process variations, temperature, and aging. One of the main problems when implementing high-Q HF resonators is maintaining the stability of the center frequency vo and the quality factor Q. This problem calls for very careful layout and the implementation of an automatic tuning system. Another fundamental limitation regarding available DR occurs: namely, that the DR of high-Q gm-C filters has been found to be inversely proportional to the filter Q [57]. The maximum DR is given by DR ¼

2 2 Vmax Vmax C ¼ 2 Vnoise 4  k  T  j  Q

(17:49)

where Vmax is the maximum rms voltage across the filter capacitors C is the total capacitance k is Boltzman’s constant T is the absolute temperature j is the noise factor of the active circuitry (j ¼ 1 corresponds to output noise equal to the thermal noise of a resistor of value R ¼ 1=gm, where gm is the transconductor value used in the filter) In practice, the DR achieved will be less than this maximum value due to the amplification of both noise and intermodulation components around the resonant frequency. This is a fundamental limitation, and the only solution is to design the transconductors for low noise and high linearity. The linearity performance in narrowband systems is characterized by the spurious-free dynamic range (SFDR). SFDR is defined as the SNR when the power of the third-order intermodulation products equals the noise power. As shown in Ref. [15], the SFDR of the resonator in Figure 17.34 is given by

SFDR ¼

2 3  Vo,peak C

1 2(k  T)

2=3

4  j  IM3,int

!2=3

1 Q2

(17:50)

where IM3,int is the third-order intermodulation point of the integrator used to implement the resonator. The SFDR of the resonator thus deteriorates by 6 dB if the quality factor is doubled, assuming that the output swing remains the same. In contrast, implementing a resonant circuit using low-Q passive on-chip

Fundamentals of Circuits and Filters

17-34

Frequency response

5 0

Amplitude (dB)

–5 –10 –15 –20 –25 –30

4

4.2

4.4

4.6

4.8

5

5.2

Frequency (Hz)

5.4

5.6

5.8

6 ×107

FIGURE 17.35 Simulated bandpass frequency response.

inductors with additional Q-enhancing circuitry leads to a DR amplified by a factor Qo, where Qo is the quality factor of the on-chip inductor itself [59]. However, as stated above, for frequencies in the tens of megahertz, on-chip inductors occupy a huge area and thus the Qo improvement in DR is not high enough to justify the area increase. 17.6.3.2 Simulation Results To confirm operation, the filter shown in Figure 17.34 has been simulated in HSPICE using process parameters from a commercial 0.8 mm CMOS process. Figure 17.35 shows the simulated frequency and phase response of the filter for a center frequency of 50 MHz and a quality factor of 50. Figure 17.36 shows the simulated output of the filter when the input consists of 2 tons at 49.9 and 50.1 MHz, respectively, each at 40 mVpp. At this level of input signal, the third-order intermodulation components were found to be at the same level as the noise. Thus, the predicted SFDR is about 34 dB with Q ¼ 50. Table 17.5 summarizes the simulation results.

17.7 Log-Domain Processing 17.7.1 Instantaneous Companding The concept of instantaneous companding is an emerging area of interest within the field of analog integrated circuit design. Currently, the main area of application for this technique is the implementation of continuous-time, fully integrated filters with wide DR, HF potential, and wide tunability. With the drive toward lower supply voltages and higher operating frequencies, traditional analog integrated circuit design methodologies are proving inadequate. Conventional techniques to linearize inherently nonlinear devices require an overhead in terms of increased power consumption or reduced operating speed. Recently, the use of companding, originally developed for audio transmission, has been proposed as an elegant solution to the problem of maintaining DR and HF operation under low supply

High-Frequency Amplifiers

17-35 Two tone test

0 –10

Spectral density (dB)

–20 –30 –40 –50 –60 –70 –80 –90 –100 4.9

4.92

4.94

4.96

4.98

5

5.02

5.04

5.06

5.08

5.1

×107

Frequency (Hz)

FIGURE 17.36 Simulated two-tone intermodulation test.

TABLE 17.5

Simulation Results

Power dissipation (supply voltage ¼ 5 V)

12.5 mW

Common-mode output offset

> 1=ft. Thus, it would seem that the usable cut-off frequency of the basic log-domain first-order filter is limited by the actual ft of the transistors. The second pole time constant tp2 (assuming that tp1 ¼ C=gm2) is     1 1 Cp1 þ Ccs1 þ (Cm2 þ Cp2 ) rb2 þ þ tp2 ¼ (Cm4 þ Cp4 ) rb4 þ gm1 gm3 gm1 Cp13 þ Ccs3 þ þ Cm1 rb1 þ Cm4 rb4 gm3

(17:77)

This corresponds approximately to the ft of the transistors, although Equation 17.77 shows that the collector–substrate capacitance also contributes toward limiting the maximum operating frequency. The zero time constant tz is given by tz ¼ (Cp1 þ Cm1 )rb1 þ

Cp2 Cp4 þ þ Cm4 rb4 gm2 gm4

(17:78)

This is of the same order of magnitude as the second pole. This means that the first zero and the second pole will be close together, and will compensate to a certain degree. However, in reality, there are more poles and zeros than Equation 17.75 would suggest, and it is likely that others will also occur around the actual ft of the transistors. 17.7.4.6 Noise Noise in companding and log-domain circuits is discussed in some detail in Refs. [68–70], and a complete treatment is beyond the scope of this discussion. For linear (noncompanding) circuits, noise is generally assumed to be independent of signal level, and the SNR will increase with increasing input signal level. This is not true for log-domain systems. At small input signal levels, the noise value can be assumed approximately constant, and an increase in signal level will give an increase in SNR. At high signal levels, the instantaneous value of noise will increase, and thus the SNR levels out at a constant value. This can be considered as an intermodulation of signal and noise power. For the Class A circuits discussed above, the peak signal level is limited by the dc bias current. In this case, the large-signal noise is found to be of the same order of magnitude as the quiescent noise level, and thus a linear approximation is generally acceptable (this is not the case for Class AB circuits).

High-Frequency Amplifiers

17-45

17.7.5 Synthesis of Higher-Order Log-Domain Filters The state-space synthesis technique outlined above proves difficult if implementation of high-order filters is required, since it becomes difficult to define and manipulate a large set of state equations. One solution is to use the signal flow graph (SFG) synthesis method proposed by Perry and Roberts [71] to simulate LC ladder filters using log-domain building blocks. The interested reader is also referred to Refs. [72–74], which present modular and transistor-level synthesis techniques that can be easily extended to higherorder filters.

References 1. A. Sedra and K. Smith, Microelectronic Circuits, Oxford University Press, Oxford, 1998. 2. P. R. Gray and R. G. Meyer, Analysis and Design of Analog Integrated Circuits, Wiley, New York, 1993. 3. W. H. Gross, New high speed amplifier designs, design techniques and layout problems, in Analog Circuit Design, Eds. J. S. Huijsing, R. J. van der Plassche, and W. Sansen, Kluwer Academic, Norwell, MA, 1993. 4. D. F. Bowers, The impact of new architectures on the ubiquitous operational amplifier, in Analog Circuit Design, Eds. J. S. Huijsing, R. J. van der Plassche, and W. Sansen, Kluwer Academic, Norwell, MA, 1993. 5. J. Fonderie and J. H. Huijsing, Design of low-voltage bipolar opamps, in Analog Circuit Design, Eds. J. S. Huijsing, R. J. van der Plassche, and W. Sansen, Kluwer Academic, Norwell, MA, 1993. 6. M. Steyaert and W. Sansen, Opamp design towards maximum gain-bandwidth, in Analog Circuit Design, Eds. J. S. Huijsing, R. J. van der Plassche, and W. Sansen, Kluwer Academic, Norwell, MA, 1993. 7. K. Bult and G. Geelen, The CMOS gain-boosting technique, in Analog Circuit Design, Eds. J. S. Huijsing, R. J. van der Plassche, and W. Sansen, Kluwer Academic, Norwell, MA, 1993. 8. J. Bales, A low power, high-speed, current feedback opamp with a novel class AB high current output stage, IEEE Journal Solid-State Circuits, 32(9), 1470, Sep. 1997. 9. C. Toumazou, Analogue signal processing: The ‘current way’ of thinking, International Journal of High-Speed Electronics, 32(3–4), 297, 1992. 10. K. Manetakis and C. Toumazou, A new CMOS CFOA suitable for VLSI technology, Electronics Letters, 32(12), June 1996. 11. K. Manetakis, C. Toumazou, and C. Papavassiliou, A 120 MHz, 12 mW CMOS current feedback opamp, Proceedings of IEEE Custom Integrated Circuits Conference, Santa Clara, CA, p. 365, 1998. 12. D. A. Johns and K. Martin, Analog Integrated Circuit Design, Wiley, Hoboken, NJ, 1997. 13. C. Toumazou, J. Lidgey, and A. Payne, Emerging techniques for high-frequency BJT amplifier design: A current-mode perspective, Parchment Press for International Conference on Electronics, Circuits and Systems, Cairo, 1994. 14. M. C. H. Cheng and C. Toumazou, 3V MOS current conveyor cell for VLSI technology, Electronics Letters, 29, 317, 1993. 15. K. Manetakis, Intermediate frequency CMOS analogue cells for wireless communications, PhD thesis, Imperial College, London, 1998. 16. R. A. Johnson et al., A 2.4 GHz silicon-on-sapphire CMOS low-noise amplifier, IEEE Microwave and Guided Wave Letters, 7(10), 350–352, Oct. 1997. 17. A. N. Karanicolas, A 2.7 V 900 MHz CMOS LNA and mixer, IEEE Digest of International Solid-State Circuits Conference, San Francisco, pp. 50–51, 1996. 18. D. K. Shaffer and T. H. Lee, A 1.5-V, 1.5-GHz CMOS low noise amplifier, IEEE Journal of the SolidState Circuits, 32 (5), 745–759, May 1997. 19. J. C. Rudell et al., A 1.9 GHz wide-band if double conversion CMOS integrated receiver for cordless telephone applications, Digest of IEEE International Solid-State Circuits Conference., pp. 304–305, 1997.

17-46

Fundamentals of Circuits and Filters

20. E. Abou-Allam et al., CMOS front end RF amplifier with on-chip tuning, Proceedings of IEEE ISCAS96, Atlanta, pp. 148–151, 1996. 21. P. R. Gray and R. G. Meyer, Analysis and Design of Analogue Integrated Circuits and Systems, Chap. 11, 3rd edn., John Wiley & Sons, New York, 1993. 22. M. J. N. Sibley, Optical Communications, Chaps. 4–6, Macmillan, UK, 1995. 23. J. J. Morikuni et al., Improvements to the standard theory for photoreceiver noise, Journal of Lightwave Technology, 12(4), 1174–1184, July 1994. 24. A. A. Abidi, Gigahertz transresistance amplifiers in fine line NMOS, IEEE Journal of the Solid-State Circuits, SC-19(6), 986–994, Dec. 1984. 25. M. B. Das, J. Chen, and E. John, Designing optoelectronic integrated circuit (OEIC) receivers for high sensitivity and maximally flat frequency response, Journal of Lightwave Technology, 13(9), 1876– 1884, Sep. 1995. 26. B. Sklar, Digital Communication: Fundamentals and Applications, Prentice-Hall, Englewood Cliffs, NJ, 1988. 27. S. D. Personick, Receiver design for optical fiber systems, Proceedings of the IEEE, 65(12), 1670–1678, Dec. 1977. 28. J. M. Senior, Optical Fiber Communications: Principles and Practice, Chaps. 8–10, Prentice Hall International, UK, 1985. 29. N. Scheinberg et al., Monolithic GaAs transimpedance amplifiers for fiber-optic receivers, IEEE Journal of the Solid-State Circuits, 26(12), 1834–1839, Dec. 1991. 30. C. Toumazou and S. M. Park, Wide-band low noise CMOS transimpedance amplifier for gigahertz operation, Electronics Letters, 32(13), 1194–1196, June 1996. 31. S. M. Park and C. Toumazou, Giga-hertz low noise CMOS transimpedance amplifier, Proceedings of the IEEE ISCAS, 1, 209–212, June 1997. 32. D. M. Pietruszynski et al., A 50-Mbit=s CMOS monolithic optical receiver, IEEE Journal of the SolidState Circuits, 23, 6, 1426–1432, Dec. 1988. 33. Y. Akazawa et al., A design and packaging technique for a high-gain, gigahertz-band single-chip amplifier, IEEE Journal of the Solid-State Circuits, SC-21(3), 417–423, June 1986. 34. N. Ishihara et al., A design technique for a high-gain, 10-GHz class-bandwidth GaAs MESFET amplifier IC module, IEEE Journal of the Solid-State Circuits, 27(4), 554–561, Apr. 1992. 35. M. Lee and M. A. Brooke, Design, fabrication, and test of a 125Mb=s transimpedance amplifier using MOSIS 1.2 mm standard digital CMOS process, Proceedings of the 37th Midwest Symposium, Circuits and Systems, 1, 155–157, Aug. 1994. 36. R. P. Jindal, Gigahertz-band high-gain low-noise AGC amplifiers in fine-line NMOS, IEEE Journal of the Solid-State Circuits, SC-22(4), 512–520, Aug. 1987. 37. N. Takachio et al., A 10Gb=s optical heterodyne detection experiment using a 23 GHz bandwidth balanced receiver, IEEE Transactions on Microwave Theory and Techniques, 38(12), 1900–1904, Dec. 1990. 38. K. Katsura et al., A novel flip-chip interconnection technique using solder bumps for high-speed photoreceivers, Journal of Lightwave Technology, 8(9), 1323–1326, Sep. 1990. 39. K. Murota and K. Hirade, GMSK modulation for digital mobile radio telephony, IEEE Transactions on Communications, 29, 1044–1050, 1981. 40. H. Krauss, C. W. Bostian, and F. H. Raab, Solid State Radio Engineering, Wiley, New York, 1980. 41. A. S. Sedra and K. C. Smith, Microelectronic Circuits, 4th edn., Oxford University Press, UK, 1998. 42. N. O. Sokal and A. D. Sokal, Class E, a new class of high efficiency tuned single-ended switching power amplifiers, IEEE Journal of Solid-State Circuits, SC-10, 168–176, June 1975. 43. F. H. Raab, Effects of circuit variations on the class E tuned power amplifier, IEEE Journal of SolidState Circuits, SC-13, 239–247, 1978. 44. T. Sowlati, C. A. T. Salama, J. Sitch, G. Robjohn, and D. Smith, Low voltage, high efficiency class E GaAs power amplifiers for mobile communications, 1994 GaAs IC Symposium Digest, pp. 171–174, Philadelphia, PA, October 1994.

High-Frequency Amplifiers

17-47

45. T. Sowlati, C. A. T. Salama, J. Sitch, G. Robjohn, and D. Smith, Low voltage, high efficiency GaAs class E power amplifiers for wireless transmitters, IEEE Journal of Solid-State Circuits, SC-13(10), 1074–1080, 1995. 46. A. Rofougaran et al., A single-chip 900 MHz spread-spectrum wireless transceiver in 1-mm CMOS. Part I: Architecture and transmitter design, IEEE Journal of Solid-State Circuits, SC-33(4), 515–534, 1998. 47. J. Chang, A. A. Abidi, and M. Gaitan, Large suspended inductors on silicon and their use in a 2mm CMOS RF amplifier, IEEE Electron Device Letters, 14(5), 246–248, May 1993. 48. T. Sowlati et al., Linearized high efficiency class E power amplifier for wireless communications, Proceedings of the IEEE 1996 Custom Integrated Circuits Conference, San Diego, California, May 5–8, pp. 201–204, 1996. 49. G. N. Henderson, M. F. OKeefe, T.E. Boless, P. Noonan, et al., SiGe bipolar junction transistors for microwave power applications, IEEE MTT-S International Microwave Symposium Digest, pp. 1299– 1302, 1997. 50. O. Shoaei and W. M. Snelgrove, A wide-range tunable 25 MHz–110 MHz BiCMOS continuous-time filter, Proceedings of the IEEE ISCAS, Atlanta, 1996. 51. P.-H. Lu, C.-Y. Wu, and M.-K. Tsai, Design techniques for VHF=UHF high-Q tunable bandpass filters using simple CMOS inverter-based transresistance amplifiers, IEEE Journal of Solid-State Circuits, 31(5), May 1996. 52. Y. Tsividis, Integrated continuous-time filter design—An overview, IEEE Journal of Solid-State Circuits, 29(3), 166–176, Mar. 1994. 53. F. Rezzi, A. Baschirotto, and R. Castello, A 3V 12–55MHz BiCMOS pseudo-differential continuoustime filter, IEEE Transactions on Circuits and Systems-I, 42(11), Nov. 1995. 54. B. Nauta, Analog CMOS Filters for Very High Frequencies, Kluwer Academic Publishers, Norwell, MA, 1992. 55. C. Toumazou, F. Lidgey, and D. Haigh, Analogue IC Design: The Current-Mode Approach, Peter Peregrinus Ltd., for IEEE Press, Herts, UK, 1990. 56. S. Szczepanski and R. Schauman, Nonlinearity-induced distortion of the transfer function shape in high-order filters, Kluwer Journal of Analog Integrated Circuits and Signal Processing, 3, 143–151, 1993. 57. S. Szczepanski, VHF fully-differential linearized CMOS transconductance element and its applications, Proceedings of the IEEE International Symposium on Circuits Systems (ISCAS), London 1994. 58. A. A. Abidi, Noise in active resonators and the available dynamic range, IEEE Transactions on Circuits and Systems-I, 39(4), 296–299, Apr. 1992. 59. S. Pipilos and Y. Tsividis, RLC active filters with electronically tunable center frequency and quality factor, Electronics Letters, 30(6), 472–474, Mar. 1994. 60. K. Manetakis and C. Toumazou, A 50 MHz high-Q bandpass CMOS filter, Proceedings of the IEEE International Symposium on Circuits Systems (ISCAS), Hong Kong, 1997. 61. Y. Tsividis, Externally linear time invariant systems and their application to companding signal processors, IEEE Transactions on CAS-II, 44(2), 65–85, Feb. 1997. 62. D. Frey, Log-domain filtering: An approach to current-mode filtering, IEE Proceedings-G, 140, 406–416, 1993. 63. B. Gilbert, Translinear circuits: A proposed classification, 1975, Electronics Letters, 11(1), 1416, 1975. 64. P. Grey and R. Meyer, Analysis and Design of Analog Integrated Circuits, 3rd edn., John Wiley & Sons Inc., New York, 1993. 65. E. M. Drakakis, A. Payne, and C. Toumazou, Log-domain state-space: A systematic transistorlevel approach for log-domain filtering, accepted for publication in IEEE Transactions on CAS-II, 1998. 66. V. Leung, M. El-Gamal, and G. Roberts, Effects of transistor non-idealities on log-domain filters, Proceedings of the IEEE International Symposium on Circuits Systems, Hong Kong, pp. 109–112, 1997.

17-48

Fundamentals of Circuits and Filters

67. D. Perry and G. Roberts, Log domain filters based on LC-ladder synthesis, Proceedings of the 1997 IEEE International Symposium on Circuits and Systems (ISCAS), pp. 311–314, Seattle, 1995. 68. J. Mulder, M. Kouwenhoven, and A. van Roermund, Signal 3 noise intermodulation in translinear filters, Electronics Letters, 33(14), 1205–1207. 69. M. Punzenberger and C. Enz, Noise in instantaneous companding filters, Proceedings of the 1997 IEEE International Symposium on Circuits and Systems, Hong Kong, pp. 337–340, June 1997. 70. M. Punzenberger and C. Enz, A 1.2V low-power BiCMOS class-AB log-domain filter, IEEE Journal of Solid-State Circuits, SC-32(12), 1968–1978, Dec. 1997. 71. D. Perry and G. Roberts, Log-domain filters based on LC ladder synthesis, Proceedings of the 1995 IEEE International Symposium on Circuits and Systems, Seattle, pp. 311–314, 1995. 72. E. Drakakis, A. Payne, and C. Toumazou, Bernoulli operator: A low-level approach to log-domain processing, Electronics Letters, 33(12), 1008–1009, 1997. 73. F. Yang, C. Enz, and G. Ruymbeke, Design of low-power and low-voltage log-domain filters, Proceedings of the 1996 IEEE International Symposium on Circuits and Systems, Atlanta, pp. 125–128, 1996. 74. J. Mahattanakul and C. Toumazou, Modular log-domain filters, Electronics Letters, 33(12), 1130– 1131, 1997. 75. D. Frey, A 3.3 V electronically tuneable active filter useable to beyond 1 GHz, Proceedings of the 1994 IEEE International Symposium on Circuits and Systems, London, pp. 493–496, 1994. 76. M. El-Gamal, V. Leung, and G. Roberts, Balanced log-domain filters for VHF applications, Proceedings of the 1997 IEEE International Symposium on Circuits and Systems, Monterey, pp. 493–496, 1997.

18 Fundamental Circuit Concepts 18.1

Electrical Circuit .................................................................... 18-1 Current and Current Polarity

John Choma, Jr.

University of Southern California

18.2

.

Energy and Voltage

.

Power

Circuit Classifications ......................................................... 18-10 Linear vs. Nonlinear . Active vs. Passive . Time-Varying vs. Time-Invariant . Lumped vs. Distributed

18.1 Electrical Circuit An electrical circuit or electrical network is an array of interconnected elements wired so as to be capable of conducting current. As discussed earlier, the fundamental two-terminal elements of an electrical circuit are the resistor, capacitor, inductor, voltage source, and current source. The circuit schematic symbols of these elements, together with the algebraic symbols used to denote their respective general values, shown in Figure 18.1. As suggested in Figure 18.1, the value of a resistor is known as its resistance, R, and its dimensional units are ohms. The case of a wire used to interconnect the terminals of two electrical elements corresponds to the special case of a resistor whose resistance is ideally zero ohms; that is, R ¼ 0. For the capacitor in Figure 18.1b, the capacitance, C, has units of farads, and from Figure 18.1c, the value of an inductor is its inductance, L, the dimensions of which are henries. In the case of the voltage sources depicted in Figure 18.1d, a constant, time-invariant source of voltage, or battery, is distinguished from a voltage source that varies with time. The latter type of voltage source is often referred to as a time-varying signal or simply, a signal. In either case, the value of the battery voltage, E, and the time-varying signal, v(t), is in units of volts. Finally, the current source of Figure 18.1e has a value, I, in units of amperes, which is typically abbreviated as amps. Elements having three, four, or more than four terminals can also appear in practical electrical networks. The discrete component bipolar junction transistor (BJT), which is schematically portrayed in Figure 18.2a, is an example of a three-terminal element, in which the three terminals are the collector, base, and emitter. On the other hand, the monolithic metal-oxide-semiconductor field-effect transistor (MOSFET) depicted in Figure 18.2b has four terminals: drain, gate, source, and bulk substrate. Multiterminal elements appearing in circuits identified for systematic mathematical analyses are routinely represented, or modeled, by equivalent subcircuits formed of only interconnected two-terminal elements. Such a representation is always possible, provided that the list of two-terminal elements itemized in Figure 18.1 is appended by an additional type of two-terminal element known as the controlled source, or dependent generator. Two of the four types of controlled sources are voltage sources and two are current sources. In Figure 18.3a, the dependent generator is a voltage-controlled

18-1

Fundamentals of Circuits and Filters

18-2 R

Resistor: Resistance = R (in Ohms)

(a) C

Capacitor: Capacitance = C (in Farads)

(b) L

Inductor: Inductance = L (in Henries)

(c) E –

+

Constant voltage (Battery): Voltage = E (in Volts)

v(t) – (d)

+

Time-varying voltage: Voltage = V (in Volts)

I

Current source: Current = I (in Amperes)

(e)

FIGURE 18.1 Circuit schematic symbol and corresponding value notation for (a) resistor, (b) capacitor, (c) inductor, (d) voltage source, and (e) current source. Note that a constant voltage source, or battery, is distinguished from a voltage source that varies with time.

Collector (C ) Bipolar junction transistor (BJT )

Base (b)

(a)

Emitter (E ) Drain (D)

Gate (G)

(b)

FIGURE 18.2

Substrate (B)

Metal-oxidesemiconductor field-effect transistor (MOSFET)

Source (S)

Circuit schematic symbol for (a) discrete component BJT and (b) MOSFET.

voltage source (VCVS) in that the voltage, vo(t), developed from terminal 3 to terminal 4 is a function of, and is therefore dependent on, the voltage, vi(t), established elsewhere in the considered network from terminal 1 to terminal 2. The controlled voltage, vo(t), as well as the controlling voltage, vi(t), can be constant or time-varying. Regardless of the time-domain nature of these two voltage, the value of vo(t) is

Fundamental Circuit Concepts 1

+ vi (t)

18-3

+

+ f [vi(t)]



2

+

+ ii(t)

r[ii(t)]

4

3

vo(t) –

(a)



2

4

(b) io(t)

1

1

vo(t) –



3

+ vi(t)

io(t) 3

3

1 ii(t)

g[vi(t)]

a[ii(t)]

– 2

4

(c)

2

4

(d)

FIGURE 18.3 Circuit schematic symbol for (a) VCVS, (b) CCVS, (c) VCCS, and (d) CCCS.

not an independent number. Instead, its value is determined by vi(t) in accordance with a prescribed functional relationship, for example, vo (t) ¼ f [vi (t)]

(18:1)

If the function, f(), is linearly related to its argument, Equation 18.1 collapses to the form vo (t) ¼ fm vi (t)

(18:2)

where fm is a constant, independent of either vo(t) or vi(t). When the function on the right-hand side of Equation 18.1 is linear, the subject VCVS becomes known as a linear voltage-controlled voltage source. The second type of controlled voltage source is the current-controlled voltage source (CCVS) depicted in Figure 18.3b. In this dependent generator, the controlled voltage, vo(t), developed from terminal 3 to terminal 4 is a function of the controlling current, ii(t), flowing elsewhere in the network between terminals 1 and 2, as indicated. In this case, the generalized functional dependence of vo(t) on ii(t) is expressible as vo (t) ¼ r[ii (t)]

(18:3)

vo (t) ¼ rm ii (t)

(18:4)

which reduces to

when r() is a linear function of its argument. The two types of dependent current sources are diagrammed symbolically in Figure 18.3c and d. Figure 18.3c depicts a voltage-controlled current source (VCCS), for which the controlled current io(t), flowing in the electrical path from terminal 3 to terminal 4, is determined by the controlling voltage, vi(t), established across terminals 1 and 2. Therefore, the controlled current can be written as io (t) ¼ g[vi (t)]

(18:5)

Fundamentals of Circuits and Filters

18-4

In the current-controlled current source (CCCS) of Figure 18.3d io (t) ¼ a[ii (t)]

(18:6)

where the controlled current, io(t), flowing from terminal 3 to terminal 4 is a function of the controlling current, ii(t), flowing elsewhere in the circuit from terminal 1 to terminal 2. As is the case with the two controlled voltage sources studied earlier, the preceding two equations collapse to the linear relationships io (t) ¼ gm vi (t)

(18:7)

io (t) ¼ aa ii (t)

(18:8)

and

when g() and a(), respectively, are linear functions of their arguments. The immediate implication of the controlled source concept is that the definition for an electrical circuit given at the beginning of this section can be revised to read ‘‘an electrical circuit or electrical network is an array of interconnected two-terminal elements wired in such a way as to be capable of conducting current.’’ Implicit in this revised definition is the understanding that the two-terminal elements allowed in an electrical circuit are the resistor, capacitor, inductor, voltage source, current source, and any of the four possible types of dependent generators. In, an attempt to reinforce the engineering utility of the foregoing definition, consider the voltagemode operational amplifier, or op-amp, whose circuit schematic symbol is submitted in Figure 18.4a. Observe that the op-amp is a five-terminal element. Two terminals, labeled 1 and 2, are provided to receive input signals that derive either from external signal sources or from the output terminals of subcircuits that feedback a designable fraction of the output signal established between terminal 3 and the system ground. Battery voltages, identified as ECC and EBB in the figure, are applied to the remaining two op-amp terminals (terminals 4 and 5) with respect to ground to bias or activate the op-amp for its intended application. When ECC and EBB are selected to ensure that the subject op-amp behaves as a linear circuit element, the voltages, ECC and EBB, along with the corresponding terminals at which they are incident, are inconsequential. In this event the op-amp of Figure 18.4a can be modeled by the electrical circuit appearing in Figure 18.4b, which exploits a linear VCVS. Thus, the voltage amplifier of Figure 18.4c, which interconnects two batteries, a signal source voltage, three resistors, a capacitor, and an op-amp, can be represented by the network given in Figure 18.4d. Note that the latter configuration uses only two terminal elements, one of which is a VCVS.

18.1.1 Current and Current Polarity The concept of an electrical current is implicit to the definition of an electrical circuit in that a circuit is said to be an array of two-terminal elements that are connected in such a way as to permit the condition of current. Current flow through an element that is capable of current conduction requires that the net charge observed at any elemental cross-section change with time. Equivalently, a net nonzero charge, q(t), must be transferred over finite time across any cross-sectional area of the element. The current, i(t), that actually flows is the time rate of change of this transferred charge: i(t) ¼

dq(t) dt

(18:9)

Fundamental Circuit Concepts

+

ECC

18-5



+

EBB – 5

4

1 + vi(t) – 2

1 +

vo(t)

(b)

1

+

– 2

+

vs(t)

aovi(t)



(a)

RS

+

vi(t)

3

Op-amp

3 vo(t)

+ vi(t) – 2

– R1

ECC



4 Op-amp

+

EBB – 5

+ 3

vo(t)

RS vs(t)

R2

+

vi(t) –

3 vo(t)

aovi(t)

2



– R2

R1

C

(c)

+

1

C

(d)

FIGURE 18.4 (a) Circuit schematic symbol for a voltage-mode operational amplifier. (b) First-order linear model of the op-amp. (c) A voltage amplifier realized with the op-amp functioning as the gain element. (d) Equivalent circuit of the voltage amplifier in (c).

where MKS unit of charge is the coulomb time t is measured in seconds resultant current is measured in units of amperes Note that zero current does not necessarily imply a lack of charge at a given cross-section of a conductive element. Instead, zero current implies only that the subject charge is not changing with time, that is, the charge is not moving through the elemental cross-section. Electrical charge can be negative, as in the case of electrons transported through a cross-section of a conductive element such as aluminum or copper. A single electron has a charge of (1.6021 3 1019) C. Thus, Equation 18.9 implies a need to transport an average of (6.242 3 1018) electrons in 1 s through a cross-section of aluminum if the aluminum element is to conduct a constant current of 1 A. Charge can also be positive, as in the case of holes transported through a cross-section of a semiconductor such as germanium or silicon. Hole transport in a semiconductor is actually electron transport at an energy level that is smaller than the energy required to effect electron transport in that semiconductor. To first order, therefore, the electrical charge of a hole is the negative of the charge of an electron, which implies that the charge of a hole is þ(1.602 3 1019) C. A positive charge, q(t), transported from the left of the cross-section to the right of the cross-section in the element abstracted in Figure 18.5a gives rise to a positive current, i(t), which also flows from left to right across the indicated cross-section. Assume that, prior to the transport of such charge, the volumes to the left and to the right of the cross-section are electrically neutral, that is, these volumes have zero

Fundamentals of Circuits and Filters

18-6 Arbitrary cross section

i(t)



q(t) + qo

+

(a)

i(t)



q(t)

+ – q o

(b)

i(t)



q1(t)

+ q2(t)

(c)

FIGURE 18.5 (a) Transport of a positive charge from the left-hand side to the right-hand side of an arbitrary crosssection of a conductive element. (b) Transport of a negative charge from the right-hand side to the left-hand side of an arbitrary cross-section of a conductive element. (c) Transport of positive or negative charges from either side to the other side of an arbitrary cross-section of a conductive element.

initial net charge. Then, the transport of a positive charge, q0, from the left side to the right side of the element charges the right side to þ1q0 and the left side to 1q0. Alternatively, suppose a negative charge in the amount of q0 is transported from the right side of the element to its left side, as suggested in Figure 18.5b. Then, the left side charges to q0, and the right side charges to þq0, which is identical to the electrostatic condition incurred by the transport of a positive charge in the amount of q0 from left- to right-hand sides. As a result, the transport of a net negative charge from right to left produces a positive current, i(t), flowing from left to right, just as positive charge transported from left- to right-hand sides induces a current flow from left to right. Assume, as portrayed in Figure 18.5c, that a positive or a negative charge, say, q1(t), is transported from the left side of the indicated cross-section to the right side. Simultaneously, a positive or a negative charge in the amount of q2(t) is directed through the cross-section from right to left. If i1(t) is the current arising from the transport of the charge q1(t), and if i2(t) denotes the current corresponding to the transport of the charge, q2(t), the net effective current ie(t), flowing from the left side of the cross-section to the right side of the cross-section is ie (t) ¼

d ½q1 (t)  q2 (t) ¼ i1 (t)  i2 (t) dt

(18:10)

where the charge difference, [q1(t)  q2(t)], represents the net charge transported from left to right. Observe that if q1(t) þ q2(t), the net effective current is zero, even though conceivably large numbers of charges are transported back and forth across the junction.

Fundamental Circuit Concepts

18-7

18.1.2 Energy and Voltage The preceding section highlights the fundamental physical fact that the flow of current through a conductive electrical element mandates that a net charge be transported over finite time across any arbitrary cross-section of that element. The electrical effect of this charge transport is a net positive charge induced on one side of the element in question and a net negative charge (equal in magnitude to the aforementioned positive charge) mirrored on the other side of the element. This ramification conflicts with the observable electrical properties of an element in equilibrium. In particular, an element sitting in free space, without any electrical connection to a source of energy, is necessarily in equilibrium in the sense that the net positive charge in any volume of the element is precisely counteracted by an equal amount of charge of opposite sign in said volume. Thus, if none of the elements abstracted in Figure 18.5 is connected to an external source of energy, it is physically impossible to achieve the indicated electrical charge differential that materializes across an arbitrary cross-section of the element when charge is transferred from one side of the cross-section to the other. The energy commensurate with sustaining current flow through an electrical element derives from the application of a voltage, v(t), across the element in question. Equivalently, the application of electrical energy to an element manifests itself as a voltage developed across the terminals of an element to which energy is supplied. The amount of applied voltage, v(t), required to sustain the flow of current, i(t), as diagrammed in Figure 18.6a, is precisely the voltage required to offset the electrostatic implications of the differential charge induced across the element through which i(t) flows. This is to say that without the connection of the voltage, v(t), to the element in Figure 18.6a, the element cannot be in equilibrium. With v(t) connected, equilibrium for the entire system comprised of element and voltage source is reestablished by allowing for the conduction of the current, i(t). Instead of viewing the delivery of energy to an electrical element as the ramification of a voltage source applied to the element, the energy delivery may be interpreted as the upshot of a current source used to excite the element, as depicted in Figure 18.6b. This interpretation follows from the fact that energy must be applied in an amount that effects charge transport at a desired time rate of change. It follows that the application of a current source in the amount of the desired current is necessarily in one-to-one

i(t)

q(t)



+

(a)



v(t)



+

q(t)

+

(b)

+

v(t)



i(t)

FIGURE 18.6 (a) The application of energy in the form of a voltage applied to an element that is made to conduct a specified current. The applied voltage, v(t), causes the current, i(t), to flow. (b) The application of energy in the form of a current applied to an element that is made to establish a specified terminal voltage. The applied current, i(t), causes the voltage, v(t), to be developed across the terminals of the electrical element.

Fundamentals of Circuits and Filters

18-8

correspondence with the voltage required to offset the charge differential manifested by the charge transport that yields the subject current. To be sure, a voltage source is a physical entity, while current source is not, but the mathematical modeling of energy delivery to an electrical element can nonetheless be accomplished through either a voltage source or a current source. In Figure 18.6, the terminal voltage, v(t), corresponding to the energy, w(t), required to transfer an amount of charge, q(t), across an arbitrary cross-section of the element is v(t) ¼

dw(t) dq(t)

(18:11)

where v(t) is in units of volts when q(t) is expressed in coulombs w(t) is specified in joules Thus, if 1 J of applied energy results in the transport of 1 C of charge through an element, the elemental terminal voltage manifested by the 1 J of applied energy is 1 V. It should be understood that the derivative on the right-hand side of Equation 18.11, and thus the terminal voltage demanded of an element that is transporting a certain amount of charge through its cross-section, is a function of the properties of the type of material from which the element undergoing study is fabricated. For example, an insulator such as paper, air, or silicon dioxide is ideally incapable of current conduction and hence, intrinsic charge transport. Thus, q(t) is essentially zero in an insulator and by Equation 18.11, an infinitely large terminal voltage is required for even the smallest possible current. In a conductor such as aluminum, iron, or copper, large amounts of charge can be transported for very small applied energies. Accordingly, the requisite terminal voltage for even very large currents approaches zero in ideal conductors. The electrical properties of semiconductors such as germanium, silicon, and gallium arsenide lie between the extremes of those for an insulator and a conductor. In particular, semiconductor elements behave as insulators when their terminals are subjected to small voltages, while progressively larger terminal voltages render the electrical behavior of semiconductors akin to conductors. This conditional conductive property of a semiconductor explains why semiconductor devices and circuits generally must be biased to appropriate voltage levels before these devices and circuits can function in accordance with their requirements.

18.1.3 Power The foregoing material underscores the fact that the flow of current through a two-terminal element, or more generally, through any two terminals of an electrical network, requires that charge be transported over time across any cross-section of that element or network. In turn, such charge transport requires that energy be supplied to the network, usually through the application of an external voltage source. The time rate of change of this applied energy is the power delivered by the external voltage or current source to the network in question. If p(t) denotes this power in units of watts p(t) ¼

dw(t) dq

(18:12)

where, of course, w(t) is the energy supplied to the network in joules. By rewriting Equation 18.12 in the form p(t) ¼

dw(t) dq(t) dq(t) dt

(18:13)

Fundamental Circuit Concepts

18-9

and applying Equations 18.9 and 18.11, the power supplied to the two terminals of an element or a network becomes the more expedient relationship p(t) ¼ v(t)i(t)

(18:14)

Equation 18.14 expresses the power delivered to an element as a simple product of the voltage applied across the terminals of the element and the resultant current conducted by that element. However, care must be exercised with respect to relative voltage and current polarity, when applying Equation 18.14 to practical circuits. To the foregoing end, it is useful to revisit the simple abstraction of Figure 18.6a, which is redrawn as the slightly modified form in Figure 18.7. In this circuit, a signal source voltage, vs(t), is applied across the two terminals, 1 and 2, of an element, which responds by conducting a current i(t), from terminal 1 to terminal 2 and developing a corresponding terminal voltage v(t), as illustrated. If the wires (zero resistance conductors, as might be approximated by either aluminum or copper interconnects) that connect the signal source to the element are ideal, the voltage, v(t), is identical to vs(t). Moreover, because the current is manifested by the application of the signal source, which thereby establishes a closed electrical path for current conduction, the element current, i(t), is necessarily the same as the current, is(t), that flows through vs(t). If attention is focused on only the element in Figure 18.7, it is natural to presume that the current conducted by the element actually flows from terminal 1 to terminal 2 when (as shown) the voltage developed across the element is positive at terminal 1 with respect to terminal 2. This assertion may be rationalized qualitatively by noting that the positive voltage nature at terminal 1 acts to repel positive charges from terminal 1 to terminal 2, where the negative nature of the developed voltage, v(t), tends to attract the repulsed positive charges. Similarly, the positive nature of the voltage at terminal 1 serves to attract negative charges from terminal 2, where the negative nature of v(t) tends to repel such negative charges. Because current flows in the direction of transported positive charge and opposite to the direction of transported nega1 tive charge, either interpretation gives rise to an elemental current, i(t), which flows from terminal 1 to terminal 2. In general, if is (t) current is indicated as flowing from the ‘‘high’’ (þ) voltage teri(t) minal to the ‘‘low’’ () voltage terminal of an element, the current + conducted by the element and the voltage developed across the element to cause this flow of current are said to be in associated reference polarity. When the element current, i(t), and the corresponding element voltage, v(t), as exploited in the defining power + relationship of Equation 18.14, are in associated reference polarity, Element the resulting computed power is a positive number and is said to vs (t) v(t) represent the power delivered to the element. In contrast, v(t) and – i(t) are said to be in disassociated reference polarity when i(t) flows from the ‘‘low’’ voltage terminal of the element to its ‘‘high’’ voltage terminal. In this case the voltage-current product in Equation 18.14 is a negative number. Instead of stating that the resulting negative – power is delivered to the element, it is more meaningful to assert that the computed negative power is a positive power that is i(t) generated by the element in question. At first glance, it may appear as though the latter polarity 2 disassociation between element voltage and current variables is FIGURE 18.7 Circuit used to illus- an impossible circumstance. Not only is polarity disassociation trate power calculations and the asso- possible, it is absolutely necessary if electrical circuits are to subciated reference polarity convention. scribe to the fundamental principle of conversation of power. This

Fundamentals of Circuits and Filters

18-10

principle states that the net power dissipated by a circuit must be identical to the net power supplied to that circuit. A confirmation of this basic principle derives from a further consideration of the topology in Figure 18.7. The electrical variables, v(t) and i(t), pertinent to the element delineated in this circuit, are in associated reference polarity. Accordingly, the power, pe(t), dissipated by this element is positive and given by Equation 18.14: pe (t) ¼ v(t)i(t)

(18:15)

However, the voltage and current variables, vs(t) and is(t), relative to the signal source voltage are in disassociated polarity. It follows that the power, ps(t), delivered to the signal source is ps (t) ¼ vs (t)is (t)

(18:16)

Because, as stated previously, vs(t) ¼ v(t) and is(t) ¼ i(t), for the circuit at hand, Equation 18.16 can be written as ps (t) ¼ v(t)i(t)

(18:17)

power delivered by the signal source ¼ þv(t)i(t)  pe (t)

(18:18)

The last result implies that the

that is, the power delivered to the element by the signal source is equal to the power dissipated by the element. An alternative statement to conservation of power, as applied to the circuit in Figure 18.7 derives from combining Equations 18.15 and 18.17 to arrive at ps (t) þ pe (t) ¼ 0

(18:19)

The foregoing result may be generalized to the case of a more complex circuit comprised of an electrical interconnection of N elements, some of which may be voltage and current sources. Let the voltage across the kth element by vk(t), and let the current flowing through this kth element, in associated reference polarity with vk(t), be ik(t). Then, the power, pk(t), delivered to the kth electrical element is vk(t) ik(t). By conservation of power, N X

pk (t) ¼

k¼1

N X

vk (t) ¼ ik (t) ¼ 0

(18:20)

k¼1

The satisfaction of the expression requires that at least one of the pk(t) be negative, or equivalently, at least one of the N elements embedded in the circuit at hand must be a source of energy.

18.2 Circuit Classifications It was pointed out earlier that the relationship between the current that is made to flow through an electrical element and the applied energy, and thus voltage, that is required to sustain such current flow is dictated by the material from which the subject element is fabricated. The element material and the associated manufacturing methods exploited to realize a particular type of circuit element determine the mathematical nature between the voltage applied across the terminals of the element and the resultant

Fundamental Circuit Concepts

18-11

current flowing through the element. To this end, electrical elements and circuits in which they are embedded are generally codified as linear or nonlinear, active or passive, time-varying or time-invariant, and lumped or distributed.

18.2.1 Linear vs. Nonlinear A linear two-terminal circuit element is one for which the voltage developed across, and the current flowing through, are related to one another by a linear algebraic or a linear integro-differential equation. If the relationship between terminal voltage and corresponding current is nonlinear, the element is said to be nonlinear. A linear circuit contains only linear circuit elements, while a circuit is said to be nonlinear if a least one of its embedded electrical elements is nonlinear. All practical circuit elements, and thus all practical electrical networks, are inherently nonlinear. However, over suitably restricted ranges of applied voltages and corresponding currents, the volt–ampere characteristics of these elements and networks emulate idealized linear relationships. In the design of an electronic linear signal processor, such as an amplifier, an implicit engineering task is the implementation of biasing subcircuits that constrain the voltages and currents of internal semiconductor elements to ranges that ensure linear elemental behavior over all possible operating conditions. The voltage–current relationship for the linear resistor offered in Figure 18.8a is v(t) ¼ Ri(t)

(18:21)

where the voltage, v(t), appearing across the terminals of the resistor and the resultant current, i(t), conducted by the resistor are in associated reference polarity. The resistance, R, is independent of either v(t) or i(t). From Equation 18.14, the dissipated resistor power, which is mainfested in the form of heat is pr (t) ¼ i2 (t)R ¼

v2 (t) R

(18:22)

The linear capacitor and the linear inductor, with schematic symbols that appear, respectively, in Figure 18.8b and c, store energy as opposed to dissipating power. Their volt–ampere equations are the linear relationships i(t) ¼ C

dv(t) dt

(18:23)

for the capacitor, whereas for the inductor in Figure 18.8c, v(t) ¼ L i(t)

(18:24)

i(t)

+ v(t)

di(t) dt i(t) +

+ R

v(t)

C

v(t)







(a)

(b)

(c)

L

FIGURE 18.8 Circuit schematic symbol and corresponding voltage and current notation for (a) linear resistor, (b) linear capacitor, and (c) linear inductor.

Fundamentals of Circuits and Filters

18-12

Observe from Equations 18.23 and 18.14 that the power, pc(t), delivered to the linear capacitor is pc (t) ¼ v(t)i(t) ¼ Cv(t)

dv(t) dt

(18:25)

From Equation 18.12, this power is related to the energy, for example, wc(t), stored in the form of charge deposited on the plates of the capacitor by Cv(t)dv(t) ¼ dwc (t)

(18:26)

It follows that the energy delivered to, and hence stored in, the capacitor from time t ¼ 0 to time t is 1 wc (t) ¼ Cv2 (t) 2

(18:27)

It should be noted that this stored energy, like the energy associated with a signal source or a battery voltage, is available to supply power to other elements in the network in which the capacitor is embedded. For example, if very little current is conducted by the capacitor in question, Equation 18.23 implies that the voltage across the capacitor is essentially constant. However, an element whose terminal voltage is a constant and in which energy is stored and therefore available for use behaves as a battery. If the preceding analysis is repeated for the inductor of Figure 18.8c, it can be shown that the energy, wl(t), stored in the inductive element form time t ¼ 0 to time t is 1 wl (t) ¼ Li2 (t) 2

(18:28)

Although an energized capacitor conducting almost zero current functions as a voltage source, an energized inductor supporting almost zero terminal voltage emulates a constant current source.

18.2.2 Active vs. Passive An electrical element or network is said to be passive if the power delivered to it, defined in accordance with Equation 18.14, is positive. This definition exploits the requirement that the terminal voltage, v(t), and the element current i(t), appearing in Equation 18.14 be in associated reference polarity. In contrast, an element or network to which the delivered power is negative is said to be active, that is, an active element or network generates power instead of dissipating it. Conventional two-terminal resistors, capacitors, and inductors are passive elements. It follows that networks formed of interconnected two-terminal resistors, capacitors, and inductors are passive networks. Two-terminal voltage and current sources generally behave as active elements. However, when more than one source of externally applied energy is present in an electrical network, it is possible for one more of these sources to behave as passive elements. Comments similar to those made in conjunction with two-terminal voltage and current sources apply equally well to each of the four possible dependent generators. Accordingly, multiterminal configurations, whose models exploit dependent sources, can behave as either passive or active networks.

18.2.3 Time-Varying vs. Time-Invariant The elements of a circuit are defined electrically by an identifying parameter, such as resistance, capacitance, inductance, and the gain factors associated with dependent voltage or current sources. An

Fundamental Circuit Concepts

18-13

element whose identifying parameter changes as a function of time is said to be a time-varying element. If said parameter is a constant over time, the element in question is time-invariant. A network containing at least one time-varying electrical element it is said to be a time-varying network. Otherwise, the network is time-invariant. Excluded from the list of elements whose electrical character establishes the time variance or time invariance of a considered network are externally applied voltage and current sources. Thus, for example, a network with internal elements that are exclusively time-invariant resistors, capacitors, inductors, and dependent sources, but which is excited by a sinusoidal signal source, is nonetheless a time-invariant network. Although some circuits, and particularly electromechanical networks, are purposely designed to exhibit time-varying volt–ampere characteristics, parametric time variance is generally viewed as a parasitic phenomena in the majority of practical circuits. Unfortunately, a degree of parametric time variance is unavoidable in even those circuits that are specifically designed to achieve input–output response properties that closely approximate time-invariant characteristics. For example, the best of network elements exhibit a slow aging phenomenon that shifts the values of its intrinsic physical parameters. The upshot of these shifts is electrical circuits where overall performance deteriorates with time.

18.2.4 Lumped vs. Distributed Electrons in conventional conductive elements are not transported instantaneously across elemental cross sections, but their transport velocities are very high. In fact, these velocities approach the speed of light, say c, which is (3 3 108) m=s or about 982 ft.=ms. Electrons and holes in semiconductors are transported at somewhat slower speeds, but generally no less than an order of magnitude or so smaller than the speed of light. The time required to transport charge from one terminal of a two-terminal electrical element to its other terminal, compared with the time required to propagate energy uniformly through the element, determines whether an element is lumped or distributed. In particular, if the time required to transport charge through an element is significantly smaller than the time required to propagate the energy through the element that is required to incur such charge transport, the element in question is said to be lumped. On the other hand, if the charge transport time is comparable to the energy propagation time, the element is said to be distributed. The concept of a lumped, as opposed to a distributed, circuit element can be qualitatively understood through a reconsideration of the circuit provided in Figure 18.7. As argued, the indicated element current, i(t), is identical to the indicated source current, is(t). This equality implies that i(t), is effectively circulating around the loop that is electrically formed by the interconnection of the signal source voltage, vs(t), to the element. Equivalently, the subject equality implies that i(t) is entering terminal 1 of the element and simultaneously is exiting at terminal 2, as illustrated. Assuming that the element at hand is not a semiconductor, the current, i(t), arises from the transport of electrons through the element in a direction opposite to that of the indicated polarity of i(t). Specifically, electrons must be transported from terminal 2, at the bottom of the element, to terminal 1, at the top of the element, and in turn the requisite amount of energy must be applied in the immediate neighborhoods of both terminals. The implication of presuming that the element at hand is lumped is that i(t) is entering terminal 1 at precisely the same time that it is leaving terminal 2. Such a situation is clearly impossible, for it mandates that electrons be transported through the entire length of the element in zero time. However, given that electrons are transported at a nominal velocity of 982 ft.=ms, a very small physical elemental length renders the approximation of zero electron transport time reasonable. For example, if the element is 12 in. long (a typical size for an off-the-shelf resistor), the average transport time for electrons in this unit is only about 42.4 ps. As long as the period of the applied excitation, vs(t), is significantly larger than 42.4 ps, the electron transport time is significantly smaller than the time commensurate with the propagation of this energy through the entire element. A period of 42.4 ps corresponds to a signal whose frequency of approximately 23.6 GHz. Thus, a 12 in. resistive element excited by a signal whose frequency is significantly smaller than 23.6 GHz can be viewed as a lumped circuit element.

Fundamentals of Circuits and Filters

18-14 a

b

c

+ v(t) –

To receiver

Lab Lac

FIGURE 18.9

Schematic abstraction of a dipole antenna for an FM receiver application.

In the vast majority of electrical and electronic networks it is difficult not to satisfy the lumped circuit approximation. Nevertheless, several practical electrical systems cannot be viewed as lumped entities. For example, consider the lead-in wire that connects the antenna input terminals of a frequency-modulated (FM) radio receiver to an antenna, as diagrammed in Figure 18.9. Let the signal voltage, va(t), across the lead-in wires at point ‘‘a’’ be the sinusoid va (t) ¼ VM cos (vt)

(18:29)

where VM represents the amplitude of the signal v is its frequency in units of radians per second Consider the case in which v ¼ 2p(103.5 3 106) rad=s, which is a carrier frequency lying within the commercial FM broadcast band. This high signal frequency makes the length of antenna lead-in wire critically important for proper signal reception. In an attempt to verify the preceding contention, let the voltage developed across the lead-in lines at point ‘‘b’’ in Figure 18.9 be denoted as vb(t), and let point ‘‘b’’ be 1 ft. displaced from point ‘‘a’’; that is, Lab ¼ 1 ft. The time, pab required to transport electrons over the indicated length, Lab, is tab ¼

Lab ¼ 1:018 ns c

(18:30)

Thus, assuming an idealized line in the sense of zero effective resistance, capacitance, and inductance, the signal, vb(t), at point ‘‘b’’ is seen as the signal appearing at ‘‘a,’’ delayed by approximately 1.02 ns. It follows that vb (t) ¼ VM cos [v(t  tab ) ] ¼ VM cos (vt  0:662)

(18:31)

where the phase angle associated with vb(t) is 0.662 rad, or almost 388. Obviously, the signal established at point ‘‘b’’ is a significantly phase-shifted version of the signal presumed at point ‘‘a.’’

Fundamental Circuit Concepts

18-15

An FM receiver can effectively retrieve the signal voltage, va(t), by detecting a phase-inverted version of va(t) at its input terminals. To this end, it is of interest to determine the length, Lac, such that the signal, vc(t), established at point ‘‘c’’ in Figure 18.9 is vc (t) ¼ VM cos (vt  p)

(18:32)

The required phase shift of 1808, or p radians, corresponds to a time delay, tac, of tac ¼

p ¼ 4:831 ns v

(18:33)

In turn, a time delay of tac implies a required line length, Lac of Lac ¼ ctac ¼ 4:744 ft:

(18:34)

A parenthetically important point is the observation that the carrier frequency of 103.5 MHz corresponds to a wavelength, l, of l¼

2pc ¼ 9:489 ft: v

Accordingly, the lead-in length computed in Equation 18.34 is l=2; that is, a half-wavelength.

(18:35)

19 Network Laws and Theorems Ray R. Chen

San Jose State University

Artice M. Davis

San Jose State University

Marwan A. Simaan University of Pittsburgh

19.1

Kirchhoff ’s Voltage and Current Laws ............................ 19-1 Nodal Analysis . Mesh Analysis Cutset-Loop Circuit Analysis

.

Fundamental

References .......................................................................................... 19-41 19.2 Network Theorems.............................................................. 19-42 Superposition Theorem . Thévenin Theorem Norton Theorem . Maximum Power Transfer Theorem . Reciprocity Theorem

.

19.1 Kirchhoff’s Voltage and Current Laws Circuit analysis, like Euclidean geometry, can be treated as a mathematical system, that is, the entire theory can be constructed upon a foundation consisting of a few fundamental concepts and several axioms relating these concepts. As it happens, important advantages accrue from this approach—it is not simply a desire for mathematical rigor, but a pragmatic need for simplification that prompts us to adopt such a mathematical attitude. The basic concepts are conductor, element, time, voltage, and current. Conductor and element are axiomatic, thus, they cannot be defined, only explained. A conductor is the idealization of a piece of copper wire; an element is a region of space penetrated by two conductors of finite length termed leads and pronounced ‘‘leeds.’’ The ends of these leads are called terminals and are often drawn with small circles as in Figure 19.1. Conductors and elements are the basic objects of circuit theory; we will take time, voltage, and current as the basic variables. The time variable is measured with a clock (or, in more picturesque language, a chronometer). Its unit is the second, s. Thus, we will say that time, like voltage and current, is defined operationally, that is, by means of a measuring instrument and a procedure for measurement. Our view of reality in this context is consonant with that branch of philosophy termed operationalism [1]. Voltage is measured with an instrument called a voltmeter, as illustrated in Figure 19.2. In Figure 19.2, a voltmeter consists of a readout device and two long, flexible conductors terminated in points called probes that can be held against other conductors, thereby making electrical contact with them. These conductors are usually covered with an insulating material. One is often colored red and the other black. The one colored red defines the positive polarity of voltage, and the other the negative polarity. Thus, voltage is always measured between two conductors. If these two conductors are element leads, the voltage is that across the corresponding element. Figure 19.3 is the symbolic description of such a measurement;

19-1

Fundamentals of Circuits and Filters

19-2

(a) Conductor

FIGURE 19.1

(b) Element

Conductors and elements.

Red

+

VM

Black

Red Black

FIGURE 19.2

+

VM

Operational definition of voltage.

+ V – +

FIGURE 19.3



Symbolic description of the voltage measurement.

Red

Black Red

AM

FIGURE 19.4

V

Black

AM

Operational definition of current.

the variable v, along with the corresponding plus and minus signs, means exactly the experimental procedure depicted in Figure 19.2, neither more nor less. The outcome of the measurement, incidentally, can be either positive or negative. Thus, a reading of v ¼ 12 V, for example, has meaning only when viewed within the context of the measurement. If the meter leads are simply reversed after the measurement just described, a reading of v0 ¼ þ12 V will result. The latter, however, is a different variable; hence, we have changed the symbol to v0 . The V after the numerical value is the unit of voltage, the volt, V. Although voltage is measured across an element (or between conductors), current is measured through a conductor or element. Figure 19.4 provides an operational definition of current. One cuts the conductor or element lead and touches one meter lead against one terminal thus formed and the other against the second. A shorthand symbol for the meter connection is an arrow close to one lead of the ammeter. This arrow, along with the meter reading, defines the current. We show the shorthand symbol for a current in Figure 19.5. The reference arrow and the symbol i are shorthand for the complete measurement in Figure 19.4—merely this and nothing more. The variable i can be either positive or negative; for example, one possible

Network Laws and Theorems

19-3

i

i

FIGURE 19.5 Symbolic representation of a current measurement.

TABLE 19.1

Summary of the Basic Concepts of Circuit Theory

Objects

Variables

Conductor

Element





Time

Voltage

Current

Seconds, s

Volt, V

Ampere, A

outcome of the measurement might be i ¼ 5 A. The A signifies the unit of current, the ampere. If the red and black leads in Figure 19.4 were reversed, the reading sign would change. Table 19.1 provides a summary of the basic concepts of circuit theory: the two basic objects and the three fundamental variables. Notice that we are a bit at variance with the SI system here because although time and current are considered fundamental in that system, voltage is not. Our approach simplifies things, however, for one does not require any of the other SI units or dimensions. All other quantities are derived. For instance, charge is the integral of current and its unit is the ampere-second, or the coulomb, C. Power is the product of voltage and current. Its unit is the watt, W. Energy is the integral power, and has the unit of the watt-second, or joule, J. In this manner one avoids the necessity of introducing mechanical concepts, such as mechanical work, as being the product of force and distance. In the applications of circuit theory, of course, one has need of the other concepts of physics. If one is to use circuit analysis to determine the efficiency of an electric motor, for example, the concept of mechanical work is necessary. However—and this is the main point of our approach—the introduction of such concepts is not essential in the analysis of a circuit itself. This idea is tied in to the concept of modeling. The basic catalog of elements used here does not include such things as temperature effects or radiation of electromagnetic energy. Furthermore, a ‘‘real’’ element such as resistor is not ‘‘pure.’’ A real resistor is more accurately modeled, for many purposes, as a resistor plus series inductance and shunt capacitance. The point is this: In order to adequately model the ‘‘real world’’ one must often use complicated combinations of the basic elements. Additionally, to incorporate the influence of variables such as temperature, one must assume that certain parameters (such as resistance or capacitance) are functions of that variable. It is the determination of the more complicated model or the functional relationship of a given parameter to, for example, temperatures that fall within the realm of the practitioner. Such ideas were discussed more fully in Chapter 18. Circuit analysis merely provides the tools for analyzing the end result. The radiation of electromagnetic energy is, on the other hand, a quite different aspect of circuit theory. As will be seen, circuit analysis falls within a regime in which such behavior can be neglected. Thus, the theory of circuit analysis we will expound has a limited range of application: to low frequencies or, what is the same in the light of Fourier analysis, to waveforms that do not vary too rapidly. We are now in a position to state two basic axioms, which we will assume all circuits obey: Axiom 1: The behavior of an element is completely determined by its v–i characteristic, which can be determined by tests made on the element in isolation from the other elements in the circuit in which it is connected. Axiom 2: The behavior of a circuit is independent of the size or the shape or the orientation of its elements, the conductors that interconnect them, and the element leads.

Fundamentals of Circuits and Filters

19-4

At this point, we loosely consider a circuit to be any collection of elements and conductors, although we will sharpen our definition a bit later. Axiom 1 means that we can run tests on an element in the laboratory, then wire it into a circuit and have the assurance that it will not exhibit any new and different behavior. Axiom 2 means that it is only the topology of a circuit that matters, not the way the circuit is stretched or bent or rearranged, so long as we do not change the listing of which element leads are connected to which others or to which conductors. Another way of saying this is that the circuit graph, described in Chapter 7, give all the necessary information about the way a circuit is wired. The remaining two axioms are somewhat more involved and require some discussion of circuit topology. Consider, for a moment, the collection of elements in Figure 19.6. We labeled each element with a letter to distinguish it from the others. First, notice the two solid dots. We refer to them as joints. The idea is that they represent ‘‘solder joints,’’ where the ends of two or more leads or conductors were connected. If only two ends are connected we do not show the joints explicitly; where three or more are connected, however, they are drawn. We temporarily (as a test) erase all of the element bodies and replace them with open space. The result is given in Figure 19.7. We refer to each of the interconnected ‘‘islands’’ of a conductor as a node. This example circuit has six nodes, and we labeled them with the numbers one through six for identification purposes. Axiom 3 (Kirchhoff’s current law): The charge on a node or in an element is identically zero at all instants of time. Kirchhoff’s current law (KCL) is not usually phrased in quite this manner. Thus, let us consider the closed (or ‘‘Gaussian’’) surface S in Figure 19.8. We assume that it is penetrated only by conductors. The elements, of course, are there; we simply do not show them so that we can concentrate on the conductors. We have arbitrarily defined the currents in the conductors penetrating S. Now, recalling that charge is the time integral of the current and thus has the same direction as the current from which it is derived, one can phrase Axiom 3 as follows: X

qin ¼ qenclosed ¼ 0

(19:1)

S

at each instant of time. This equation is simply one form of conservation of charge. Because current is the time derivative of voltage, one can also state that

c

b

g

a

e

f

FIGURE 19.6

Example circuit.

3

2

FIGURE 19.7

d

Nodes of the example circuit.

4

1

5

6

Network Laws and Theorems

19-5 i2

i1 3

S

5

4 i3

1

2

6

FIGURE 19.8 Illustration of KCL.

X

iin ¼ 0

(19:2)

S

at each and every time instant. This last equation is the usual phrasing of KCL. The subscript ‘‘in’’ means that a current reference pointed inward is to be considered positive; by default, therefore, a current with its reference pointed outward is to have a negative sign affixed. This sign is in addition to any negative sign that might be present in the value of each variable. For node 4 in Figure 19.8, KCL in its current form, therefore, reads i1  i2 þ i3 ¼ 0

(19:3)

Two other ways of expressing KCL (in current form) are X

iout ¼ 0

(19:4)

S

and X

iin ¼

S

X

iout

(19:5)

S

The equivalent charge forms are also clearly valid. We emphasize the latter to a greater extent than is usual in the classical treatment because of the current interest in charge distribution and transfer circuits. The Gaussian surface used to express KCL is not constrained to enclose only conductors. It can enclose elements as well, although it still can be penetrated by only conductors (which can be element leads). Thus, consider Figure 19.9, which illustrates the same circuit with which we have been working. Now, however, the elements are given and the Gaussian surface encloses three elements, as well as conductors carrying the currents previously defined. Because these currents are not carried in the conductors ix 3

i1

b

i2 i3

4

c

iy 5

S a

g 2

f

FIGURE 19.9 KCL for a more general surface.

1

d iz

ee

6

Fundamentals of Circuits and Filters

19-6 i1

ix

i2

b

3

4 S

a 2

f

c

iy 5

i3 g

d iz

1

6

ee

FIGURE 19.10 KCL for a single element.

penetrating the surface under consideration, they do not enter into KCL for that surface. Instead, KCL becomes ix þ iy þ iz ¼ 0

(19:6)

As a special case let us look once more at the preceding figure, but use a different surface, one enclosing only the element b. This is depicted in Figure 19.10. If we refer to Axiom 3, which notes that charge cannot accumulate inside an element, and apply charge conservation, we find that ix ¼ i1

(19:7)

This states that the current into any element in one of its leads is the same as the current leaving in the other lead. In addition, we see that KCL for nodes and KCL for elements (both of which are implied by Axiom 3) imply that KCL holds for any general closed surface penetrated only by conductors such as the one used in connection with Figure 19.9. In order to phrase our last axiom, we must discuss circuit topology a bit more, and we will continue to use the circuit just considered previously. We define a path to be an ordered sequence of elements having the property that any two consecutive elements in the sequence share a common node. Thus, referring for convenience back to Figure 19.10, we see that {f, a, b} is a path. The elements f and a share node 2 and a and b share node 3. One lead of the last element in a path is connected to a node that is not shared with the preceding element. Such a node is called the terminal node of the path. Similarly, one lead of the first element in the sequence is connected to a node that is not shared with the preceding element.* It is called the initial node of the path. Thus, in the example just cited, node 1 is the initial node and node 4 is the final node. Thus, a direction is associated with a path, and we can indicate it diagrammatically by means of an arrow on the circuit. This is illustrated in Figure 19.11 for the path P1 ¼ {f, a, b} and P2 ¼ {g, c, d, e}.

b

3

i3

P1

a 2

c

4

P2

g

f

1

iz

ee

5 d 6

FIGURE 19.11 Circuit paths.

* We assume that no element has its two leads connected together and that more than two elements are in the path in this definition.

Network Laws and Theorems

19-7 L

+

– Vy

Vx

+



FIGURE 19.12 Voltage rise and drop.

If the initial node is identical to the terminal node, then the corresponding path is called a loop. An example is { f, a, b, g}. The patch P2 is a loop. An alternate definition of a loop is as a collection of branches having the property that each node connected to a patch branch is connected to precisely two path branches, that is, it has degree two relative to the path branches. We can define the voltage across each element in our circuit in exactly two ways, corresponding to the choices of which lead is designated plus and which is designated minus. Figure 19.12 presents two voltages and a loop L in a highly stylized manner. We have purposely not drawn the circuit itself so that we can concentrate on the essentials in our discussion. If the path enters the given element on the lead carrying the minus and exits on the one carrying the positive, its voltage will be called a voltage rise; however, if it enters on the positive and exits on the minus, the voltage will be called a voltage drop. If the signs of a voltage are reversed and a negative sign is affixed to the voltage variable, the value of that variable remains unchanged; thus, note that a negative rise is a drop, and vice versa. We are now in a position to state our fourth and final axiom: Axiom 4 (Kirchhoff’s voltage law): The sum of the voltage rises around any loop is identically zero at all instants of time. We refer to this law as Kirchhoff’s voltage law (KVL) for the sake of economy of space. Just as KCL was phrased in terms of charge, KVL could just as well be phrased in terms of flux linkage. Flux linkage is the time integral of voltage, so it can be said that the sum of the flux linkages around a loop is zero. In voltage form, we write X

vrises ¼ 0

(19:8)

vdrops ¼ 0

(19:9)

loop

We observed that a negative rise is a drop, so X loop

or X loop

vrises ¼

X

vdrops

(19:10)

loop

Thus, in Figure 19.13, we could write (should we choose to use the form of Equation 19.8) vx  vy  va þ vb ¼ 0

(19:11)

Fundamentals of Circuits and Filters

19-8

vy

+ 3

b

a

vx 2

5 L

g



f

c

4

+



1

+ d

ee +

vb



+

vy



va

6



5

+

FIGURE 19.13 Illustration of KVL.

3

b

a

vx 2

g



f

c

4

+

P2

P1 1

6

ee +

vb

d

va –



FIGURE 19.14 Path form of KVL.

Clearly, one can rearrange KVL into many different algebraic forms that are equivalent to those just stated; one form, however, is more useful in circuit computations than many others. It is known as the path form of KVL. To better appreciate this form, review Figure 19.13. This time, however, the paths are defined a bit differently. As illustrated in Figure 19.14, we consider two paths, P1 and P2, having the same initial and terminal nodes, 1 and 4, respectively.* We can rearrange Equation 19.11 into the form vx ¼ vb þ va þ vy

(19:12)

This form is often useful for finding one unknown voltage in terms of known voltages along some given path. In general, if P1 and P2 are two paths having the same initial and final nodes, X P1

vrises ¼

X

vrises ¼ 0

(19:13)

P2

Be careful to distinguish this equation from Equation 19.10. In the present case two paths are involved; in the former we find only a single loop, and drops are located on one side of the equation and rises on the other. One might call the path form the ‘‘all roads lead to Rome’’ form. We covered four basic axioms, and these are all that are needed to construct a mathematical theory of circuit analysis. The first axiom is often referred to by means of the phrase ‘‘lumped circuit analysis,’’ for we assume that all the physics of a given element are internal to that element and are of no concern to us; we are only interested in the vi characteristic. That is, we are treating all the elements as lumps of matter that interact with the other elements in a circuit by means of the voltage and current at their leads. * If one defines the negative of a path as a listing of the same elements as the original path in the reverse order and summation of two paths as a concatenation of the two listings, one sees that P1  P2 ¼ L, the loop in Figure 19.13.

Network Laws and Theorems

19-9

FIGURE 19.15 Noncircuit.

The second axiom says that the physical construction is irrelevant and that the interconnections are completely described by means of the circuit graph. KCL is an expression of conservation of charge, plus the assumption that neither conductors nor elements can maintain a net charge. In this connection, observe that a capacitor maintains a charge separation internally, but it is a separation of two charges of opposite sign; thus, the total algebraic charge within it is zero. Finally, KVL is an expression of Ðt conservation of flux linkage. If l(t) ¼ 1 v(a)da is the flux linkage, then one can write* (using one form of KVL) X

(t) lrises ¼0

(19:14)

loop

In the theory of electromagnetics, one finds that this equation does not hold exactly; in fact, the righthand side is equal to the negative of the derivative of the magnetic flux contained within the loop (this is the Faraday–Lenz law). If, however, the time variation of all signals in the circuit are slow, then the righthand side is approximately zero and KVL can be assumed to hold. A similar result holds also for KCL. For extremely short instants of time, a conductor can support an unbalanced charge. One finds, however, that the ‘‘relaxation’’ time of such unbalanced charge is quite short in comparison with the time variations of interest in the circuits considered in this text. Finally, we tie up a loose end left hanging at the beginning of this section. We consider a circuit to be, not just any collection of elements that are interconnected, but a collection having the property that each element is contained in at least one loop. Thus, the circuit in Figure 19.15 is not a circuit; instead, it must be treated as a subcircuit, that is, as part of a larger circuit in which it is to be imbedded. The remainder of this section develops the application of the axioms presented here to the analysis of circuits. The reader is referred to Refs. [2–4] for a more detailed treatment.

19.1.1 Nodal Analysis Nodal analysis of electric circuits, although using all four of the fundamental axioms presented in the introduction, concentrates upon KCL explicitly. KVL is also satisfied automatically in view of the way the basic equations are formulated. This effective method uses the concept of a node voltage. Figure 19.16 illustrates the concept. Observe a voltmeter, with its black probe attached to a single node, called the reference node, which remains fixed during the course of the investigation. In the case shown node 1 is the reference node. The red probe is shown being touched to node 4; therefore, we call the resulting voltage v4. The subscript denotes the node and the result is always assumed to have its positive reference * One might anticipate a constant on the right side of Equation 19.14; however, a closer investigation reveals that it is more realistic and pragmatic to assume that all signals are one-sided and that all elements are causal. This implies that the constant is zero. Two-sided signals only arise legitimately within the context of steady-state behavior of stable circuits and systems.

Fundamentals of Circuits and Filters

19-10

+ vy – 3

+ VM

b

Red

a

vx 2

4

+



f

c

5

L

g 1 Black

e v + b –

+ d va

6



FIGURE 19.16 Node voltages.

(a)

(b)

(c)

FIGURE 19.17 Reference node symbols.

on the given node. In the present instance v4 is identical to the element voltage because element g (across which vg is defined) is connected between node 4 and the reference node. Note that the voltage of such an element is always either the node voltage or its negative, depending upon the reference polarities of its associated element voltage. If we were to touch the red probe to node 5, however, no element voltage would have this relationship to the resulting node voltage v5 because no elements are connected directly between nodes 5 and 1. The concept of reference node is used so often that a special symbol is used for it (see Figure 19.17a); alternate symbols often seen on circuit diagrams are shown in the figure as well. Often one hears the terms ‘‘ground’’ or ‘‘ground reference’’ used. This is commonly accepted argot for the reference node; however, one should be aware that a safety issue is involved in the process of grounding a circuit or appliance. In such cases, sometimes one symbol specifically means ‘‘earth ground’’ and one or more other symbols are used for such things as ‘‘signal ground’’ or ‘‘floating ground,’’ although the last term is something of an oxymoron. Here, we use the terms ‘‘reference’’ or ‘‘reference node.’’ The reference symbol is quite often used to simplify the drawing of a circuit. The circuit in Figure 19.16, for instance, can be redrawn as in Figure 19.18; circuit operation will be unaffected. Note that all four of the reference symbols refer to a single node, node 1, although they are shown separated from one another. In fact, the circuit is not changed electrically if one bends the elements around and thereby separates the ground symbols even more, as we have done in Figure 19.19. Notice that the loop L shown in the original figure, Figure 19.16, remains a loop, as in Figures 19.18 and 19.19. Redrawing a circuit using ground reference symbols does not alter the circuit topology, the circuit graph. Suppose the red probe were moved to node 5. As described previously, no element is directly connected between nodes 5 and 1; hence, node voltage v5 is not an element voltage. However, the element voltages and the node voltages are directly related in a one-to-one fashion. To see how, look at Figure 19.20. This figure shows a ‘‘floating element,’’ e, which is connected between two nodes, k and j,

Network Laws and Theorems

19-11

+ vy

2

Black

f



1

5

L

g

vx



c

4

+

a

VM 1

b

3

+

Red

1 1

+ d va

6

e



+ v – b

FIGURE 19.18 Alternate drawing.

3

b

4

+

+ a

VM 1

+

Red

vx 2

Black

vy



c

5 + d v a – – 6 vb e 1 +

g



1

L

f 1

FIGURE 19.19 Equivalent drawing.

Vx

+



e

Vk

Vy



Vj +

FIGURE 19.20 Floating element and its voltage.

neither of which is the reference node. It is vital here to remember that all node voltages are assumed to have their positive reference polarities on the nodes themselves and their negative reference on the reference node. Now, we can define the element voltage in either of two possible ways, as illustrated in the figure. KVL (the simplest form perhaps being the path form) shows at once that vx ¼ vk  v j

(19:15)

vy ¼ v j  v k

(19:16)

and

An easy mnemonic for this result is the following: vfloating element ¼ vþ  v

(19:17)

Fundamentals of Circuits and Filters

19-12

where vþ is the node voltage of the node to which the element lead associated with the positive reference for the element voltage is connected, and v is the node voltage of the node to which the lead carrying the negative reference for the element voltage is connected. We refer to an element that is not floating, by the way, as being ‘‘grounded.’’ It is easy to see that a circuit having N nodes has N  1 node voltages; further, if one uses Equation 19.17, any element voltage can be expressed in terms of these N  1 node voltages. Then, for any invertible element,* one can determine the element current. The nodal analysis method uses this fact and considers the node voltages to be the unknown variables. To illustrate the method, first consider a resistive circuit that contains only resistors and=or independent sources. Furthermore, we initially limit our investigation to circuits whose only independent sources (if any) are current sources. Such a circuit is depicted in Figure 19.21. Because nodal analysis relies upon the node voltages as unknowns, one must first select an arbitrary node for the reference. For circuits that contain voltage sources, one can achieve some simplification for hand analysis by choosing the reference wisely; however, if current sources are the only type of independent source present, one can choose it arbitrarily. As it happens, physical intuition is almost always better served if one chooses the bottom node. Such is done here and the circuit is redrawn using reference symbols as in Figure 19.22. Here, we have arbitrarily assigned node voltages to the N  1 ¼ 2 nonreference nodes. In performing these two steps, we have ‘‘prepared the circuit for nodal analysis.’’ The next step involves writing one KCL equation at each of the nonreference nodes. As it happens, the resulting equations are nice and compact if the form

3A 6Ω 18 A





6A

FIGURE 19.21 Example circuit.

3A

v1

v2

6Ω 18 A





6A

FIGURE 19.22 Example circuit prepared for nodal analysis.

* For instance, resistors, capacitors, and inductors are invertible in the sense that one can determine their element currents if their element voltages are known.

Network Laws and Theorems

19-13

X

iout (R0 s) ¼

node

X

iin (I  Sources)

(19:18)

node

is used. Here, we mean that the currents leaving a node through the resistors must sum up to be equal to the current being supplied to that node from current sources. Because these two types of elements are exhaustive for the circuits we are considering, this form is exactly equivalent to the other forms presented in the introduction. Furthermore, for a current leaving a node through a resistor, the floating element KVL result in Equation 19.17 is used along with Ohm’s law: N1 X vk  v j

Rkj

j¼1

¼

Mk X

isq (node k):

(19:19)

q¼1

In this equation for node k, Rkj is the resistance between nodes k and j (or the equivalent resistance of the parallel combination if more than one are found), isq is the value of the qth current source connected to node k (positive if its reference is toward node k), and Mk is the number of such sources. Clearly, one can simply omit the j ¼ k term on the left side because vk  vk ¼ 0. The nodal equations for our example circuit are v1 v1  v 2 þ ¼ 18 þ 3 2 6

(19:20)

v2  v 1 v2 þ ¼63 6 3

(19:21)

and

Notice, by the way, that we are using units of A, V, and V. It is a simple matter to show that KVL, KCL, and Ohm’s law remain invariant if we use the consistent units of mA, kV, and V. The latter is often a more practical system of units for filter design work. In the present case the matrix form of these equations is "

2 3  16

 16 1 2

#

v1 v2





21 ¼ 3

 (19:22)

It can be verified easily that the solution is v1 ¼ 36 V and v2 ¼ 18 V. To see that one can compute the value of any desired variable from these two voltages, consider the problem of determining the current i6 (let us call it) through the horizontal 6 V resistor from right to left. One can simply use the equation i6 ¼

v2  v1 18  36 ¼ ¼ 3 A 6 6

(19:23)

The previous procedure works for essentially all circuits encountered in practice. If the coefficient matrix on the left in Equation 19.22 (which will always be symmetric for circuits of the type we are considering) is nonsingular, a solution is always possible. It is surprisingly difficult, however, to determine conditions on the circuit under which solutions do not exist, although this is discussed at greater length in a later section. Suppose, now, that our circuit to be solved contains one or more independent voltage sources in addition to resistors and=or current sources. This constrains the node voltages because a given voltage source value must be equal to the difference between two node voltages if it is floating and to a node

Fundamentals of Circuits and Filters

19-14 12 V



– +

4Ω + – 8V 7A







FIGURE 19.23 Example circuit.

voltage or its negative if it is grounded. One might expect that this complicates matters, but fortunately the converse is true. To explore this more fully, examine the example circuit in Figure 19.23. The algorithm just presented will not work as is because it relies upon balancing the current between resistors and current sources. Thus, it seems that we must account in some fashion for the currents in the voltage sources. In fact, we do not, as the following analysis shows. The key step in our reasoning is this: the analysis procedure should not depend upon the values of the independent circuit variables, that is, on the values of the currents in the current sources and voltages across the voltage sources. This is almost inherent in the definition of an independent source, for it can be adjusted to any value whatsoever. What we are assuming in addition to this is simply that we would not write one given set of equations for a specific set of source values, then change to another set of equations when these values are altered. Thus, let us test the circuit by temporarily deactivating all the independent sources (i.e., by making their values zero). Recalling that a deactivated voltage source is equivalent to a short circuit and a deactivated current source to an open circuit, we have the resulting configuration of Figure 19.24. The resulting nodes are shaded for convenience. Note carefully, however, that the nodes in the circuit under test are not the same as those in the original circuit, although they are related. Notice that, for the circuit under test, all the resistor voltages would be determined by the node voltages as expected; however, the number of nodes has been reduced by one for each voltage source. Hence, we suspect that the required number of KCL equations Nne (and the number of independent node voltages) is Nne ¼ N  1  Nv

(19:24)

where Nv is the number of voltage sources. In the example circuit one can easily compute this required number to be 5  1  2 ¼ 2. This is compatible with the fact that clearly three nodes (3  1 ¼ 2 nonreference nodes) are clearly found in Figure 19.24.



4Ω 8V 3Ω

FIGURE 19.24 Example circuit deactivated.





Network Laws and Theorems

19-15

12 V – +



v1 +12

v1 + –



v1–8

v2

8V 7A







FIGURE 19.25 Example circuit prepared for nodal analysis.

It should also be rather clear that there is only one independent voltage within each of the shaded regions shown in Figure 19.24. We can use KVL to express any other in terms of that one. For example, in Figure 19.25 we have redrawn our example circuit with the bottom node arbitrarily chosen as the reference. We have also arbitrarily chosen a node voltage within the top left surface as the unknown v1. Note how we have used KVL (the path form, again, is perhaps the most effective) to determine the node voltages of all the other nodes within the top left surface. Any set of connected conductors, leads, and voltage sources to which only one independent voltage can be assigned is called a generalized node. If that generalized node does not include the reference node, it is termed a supernode. The node within the shaded surface at the top left in Figure 19.25, however, has no voltage sources; hence, it is called an essential node. As pointed out earlier, the equations that one writes should not depend upon the values of the independent sources. If one were to reduce all the independent sources to zero, each generalized node would reduce to a single node; hence, only one equation should be written for each supernode. One equation should be written for essential node also; it is unaffected by deactivation of the independent sources. Observe that deactivation of the current sources does not reduce the number of nodes in a circuit. Writing one KCL equation for the supernode and one for the essential node in Figure 19.25 results in v1 v1  8 v1  8  v2 v1 þ 12  v2 þ þ ¼7 þ 3 4 8 2

(19:25)

v2 v2  (v1  8) v2  (v1 þ 12) þ þ ¼0 8 4 8

(19:26)

and

In matrix form, one has "

29 24  38

 38 1 2

#

v1 v2

"

 ¼

23 2  12

# (19:27)

The solution is v1 ¼ 12 V and v2 ¼ 8 V. Notice once again that the coefficient matrix on the left-hand side is symmetric. This actually follows from our earlier observation about this property for circuits containing only current sources and resistors because the voltage sources only introduce knowns into the nodal equations, thus modifying the right-hand side of Equation 19.27. The general form for nodal equations in any circuit containing only independent sources and resistors, based upon our foregoing development, is Avn ¼ Fv vs þ FIis

(19:28)

Fundamentals of Circuits and Filters

19-16

i

+

v

kvx

+ v



i



FIGURE 19.26 Dependent source.

where A is a symmetric square matrix of constant coefficients Fv and FI are rectangular matrices of constants vn is the column matrix of independent mode voltages The vectors vs and is are column matrices of independent source values. Clearly, if A is a nonsingular matrix, Equation 19.28 can be solved for the node voltages. Then, using KVL and=or Ohm’s law, one can solve for any element current or voltage desired. Equally clearly, if a solution exists, it is a multilinear function of the independent source values.* Now suppose that the circuit under consideration contains one or more dependent sources. Recall that the two-terminal characteristics of such elements are indistinguishable from those of the corresponding independent sources except for the fact that their value depends upon some other circuit variable. For instance, in Figure 19.26 a voltage-controlled voltage source (VCVS) is shown. Its v–i characteristic is identical to that of an independent source except for the fact that its voltage (the controlled variable) is a constant multipley of another circuit variable (the controlling variable), in this case another voltage. This fact will be relied upon to develop a modification to the nodal analysis procedure. We will adopt the following attitude: We will imagine that the dependent relationship, kvx in Figure 19.26, is a label pasted to the surface of the source in much the same way that a battery is labeled with its voltage. We will imagine ourselves to take a small piece of opaque masking tape and apply it over this label; we will call this process taping the dependent source. This means that we are—temporarily— treating it as an independent source. The usual nodal analysis procedure is then followed, which results in Equation 19.28. Then, we imagine ourselves to remove the tape from the dependent source(s) and note that the relationship is linear, with the controlling variables as the independent ones and the controlled variables the dependent ones. We next express the controlling variables—and thereby the controlled ones as well—in terms of the node voltages using KVL, KCL, and Ohm’s law. The resulting relationships have the forms vc ¼ B0 vn þ C0 ysi þ D0 isi

(19:29)

ic ¼ B00 vn þ C 00vsi þ D00 isi

(19:30)

and

* That is, it is a linear function of the vector consisting of all of the independent source values. y Thus, one should actually refer to such a device as a linear dependent source.

Network Laws and Theorems

19-17

Here, the subscript i refers to the fact that the corresponding sources are the independent ones. Noting thatvc and ic appear on the right-hand side of Equation 19.28 because they are source values, one can use the last two results to express the vectors of all source voltages and all source currents in that equation in the form vc ¼ B000 vsi þ C000 isi þ D000 vn

(19:31)

ic ¼ B0000 vsi þ C0000 isi þ D0000 vn

(19:32)

and

Finally, using the last two equations in Equation 19.28, one has Avn ¼ Fv0 vs þ FI0 is þ Bvn

(19:33)

(A  B)vn ¼ Fv0 vs þ FI0 is

(19:34)

Now,

This equation can be solved for the node voltages, provided that A  B is nonsingular. This is even more problematic than for the case without dependent sources because the matrix B is a function of the gain coefficients of the dependent sources; for some set of such values the solution might exist and for others it might not. In any event if A  B is nonsingular, one obtains once more a response that is linear with respect to the vector of independent source values. Figure 19.27 shows a rather complex example circuit with dependent sources. As pointed out earlier, there are often reasons for preferring one reference node to another. Here, notice that if we choose one of the nodes to which a voltage source is attached it is not necessary to write a nodal equation for the nonreference node because, when the circuit is tested by deactivation of all the sources, the node disappears into the ground reference; thus, it is part of a generalized node including the reference called a nonessential node. For this circuit, choose the node at the bottom of the 2 V independent source. The resulting circuit, prepared for nodal analysis, is shown in Figure 19.28. Surfaces have been drawn around both generalized nodes and the one essential node and they have been shaded for emphasis. Note that we have chosen one node voltage within the one supernode arbitrarily and have expressed the other node



vx

+



1Ω + –

2V iy 2Ω

9A 2vx 1Ω

FIGURE 19.27 Example circuit.

+ –

3iy

Fundamentals of Circuits and Filters

19-18 Nonessential node 2V



2Ω + –

2V

v1 9A

Supernode

+ –

ic 1Ω

v2



vc

v2 – vc

Essential node

FIGURE 19.28 Example circuit prepared for nodal analysis.

voltage within that supernode in terms of the first and the voltage source value; furthermore, we have taped both dependent sources and written in the known value at the one nonessential node. The nodal equations for the supernode and for the essential node are v1  2 v1  ðv2  vc Þ þ ¼ 9 2 1

(essential node)

(19:35)

and v2 v2  2 v2  v c  v 1 þ ¼ ic þ 2 1 1

(supernode)

(19:36)

Now, the two dependent sources are untaped and their values expressed in terms of the unknown node voltages and known values using KVL, KCL, and Ohm’s law. This results in (referring to the original circuit for the definitions) 3 v c ¼  v2 2

(19:37)

ic ¼ 4  2v2

(19:38)

and

Solving these four equations simultaneously gives v1 ¼ 2 V and v2 ¼ 2 V. If the circuit under consideration contains op-amps, one can first replace each op amp by a VCVS, using the above procedure, and then allow the voltage gain to go to infinity. This is a bit unwieldy, so one often models the op-amp in a different way as a circuit element called a nullor. This is explored in more detail elsewhere in the book and is not discussed here. Thus far, this chapter has considered only nondynamic circuits whose independent sources were all constants (DC). If these independent sources are assumed to possess time-varying waveforms, no essential modification ensues. The only difference is that each node voltage, and hence each circuit variable, becomes a time-varying function. If the circuit considered contains capacitors and=or inductors,

Network Laws and Theorems

19-19

v

+ v(t) =

1 Cp



v

+



i(t) = Lp v(t)

i(t)

FIGURE 19.29 Dynamic element relationships.

however, the nodal equations are no longer algebraic; they become differential equations. The method developed above remains applicable, however. We will now show why. Capacitors and inductors have the v–i relationships given in Figure 19.29. The symbols p and 1=p are referred to as operators, differential operators, or Heaviside operators. The last term is in honor of Oliver Heaviside, who first used them in circuit analysis. They are defined by p¼ 1 ¼ p

d dt

(19:39)

ðt ( )da

(19:40)

1

The notation suggests that they are inverses of each other, and this is true; however, one must suitably restrict the signal space in order for this to hold. The most realistic assumption is that the signal space consists of all piecewise continuous functions whose derivatives of all orders exist except on a countable set of points that does not have any finite points of accumulation—plus all generalized derivatives of such functions. In fact, Laurent Schwartz, on the first page of the preface of his important work on the theory of distributions, acknowledges that this work was motivated by that of Heaviside. Thus, we will simply assume that all derivatives of all orders of any waveform under consideration exists in a generalized function sense. Higher order differentiation and integration operators are defined in power notation, as expected: pn ¼ p  p    p ¼

dn dt n

(19:41)

and 1 1 1 1 ¼   ¼ n p p p p

ðg

ðt ðb  1 1

( )da

(19:42)

1

Another fact of the preceding issue often escapes notice, however. Look at any arbitrary function in the above-mentioned signal set, compute its running integral, and differentiate it. This action results in   ðt 1 d x(a)da ¼ x(t) p x(t) ¼ p dt

(19:43)

1

In fact, it is precisely this property that characterizes the set of all generalized functions. It is closed under differentiation. However, suppose the computation is done in the reverse order:

Fundamentals of Circuits and Filters

19-20 4Ω

1H +

vs(t)

+ –

1 F 4

v(t)



is(t)



FIGURE 19.30 Example circuit.

1 [px(t)] ¼ p

ðt

x0 (a)da ¼ x(t) ¼ x(t)  x(1)

(19:44)

1

We have assumed here that the fundamental theorem of calculus holds. This is permissible within the framework of generalized functions, provided that the waveform x(t) has a value in the conventional sense at time t. The problem with the previous result is that one does not regain x(t). If it is assumed, however, that x(t) is one sided, that is, x(t) is identically zero for sufficiently large negative values of (t), x(t) will be regained and p and 1=p will be inverses of one another. Thus, in the following, we will assume that all independent waveforms are one-sided. We will, in fact, interpret this as meaning that they are all zero for t < 0. We will also assume that all circuit elements possess one property in addition to their defining v–i relationship, namely, that they are causal. Thus, all waveforms in any circuit under consideration will be zero for t  0 and the previous two operators are inverses of one another. The only physically reasonable situation in which two-sided waveforms can occur is that of a stable circuit operating in the steady state, which we recognize as being an approximate mode of behavior derived from the previous considerations in the limit as time becomes large. Referring to Figure 19.29 once more, we define 1 Cp

(19:45)

ZL (p) ¼ Lp

(19:46)

Zc (p) ¼

to be the impedance operators (or operator impedances) for the capacitor and the inductor, respectively. With our one-sidedness causality assumptions, we can manipulate these qualities just as we would manipulate algebraic functions of a real or complex variable. The analysis of a dynamic circuit is illustrated by Figure 19.30. The circuit is shown prepared for nodal analysis, with the reference node at the bottom of the circuit and the dynamic elements expressed in terms of their impedance operators, in Figure 19.31. Note that if the circuit were to contain dependent sources, we would have taped them at this step. The nodal equations at the two essential nodes are

v1



+ vs(t) –

4 p

p

v2 + 6Ω

v(t) –

FIGURE 19.31 Example circuit prepared for nodal analysis.

is(t)

Network Laws and Theorems

19-21

v1  v 2 v1 v1  v 2 þ þ ¼0 4 4=p p

(19:47)

v2 v2  v 1 þ ¼ is 6 p

(19:48)

and

In matrix form, merely rationalizing and collecting terms, "1 4

þ p4 þ 1p  1p

#  1p  v1 (t)   1 vs (t)  ¼ 4 1 1 (t) v þ is (t) 2 6 p

(19:49)

Notice that the coefficient matrix is once again symmetric because no dependent sources exist. Multiplying the first row of each side by 4p and the second by 6p, thus clearing fractions, one obtains 

4 p2 þ p þ 4 6 p þ 6



   pvs (t) v1 (t) ¼ v2 (t) 6pis (t)

(19:50)

Now, multiply both sides by the inverse of the 2 3 2 coefficient matrix to get 

v1 (t) v2 (t)

 ¼

 pþ6 1 pðp2 þ 7p þ 10Þ 6

4



p2 þ p þ 4

pvs (t)



6pis (t)

(19:51)

Multiplying the two matrices on the right and canceling the common p factor (legitimate under our assumptions), we finally have v(t) ¼ v2 (t) ¼

6vs (t) þ 6ðp2 þ p þ 4Þis (t) p2 þ 7p þ 10

(19:52)

We can, on the one hand, consider the result of our nodal analysis process to be a differential equation which we obtain by cross-multiplication: 

   p2 þ 7p þ 10 v(t) ¼ 6vs (t) þ 6 p2 þ p þ 4 is (t)

(19:53)

In conventional notation, using the distributive properties of the p operators, one has d2 v(t) dv(t) d 2 is (t) dis (t) þ 7 (t) þ 6 þ6 þ 10v(t) ¼ 6v þ 24is (t) s 2 2 dt dt dt dt

(19:54)

On the other hand, it is possible to interpret Equation 19.52 directly as a solution operator equation. We simply note that the denominator factors, then do a partial fraction expansion to get v(t) ¼ ¼

6 6ðp2 þ p þ 4Þ vs (t) þ is (t) (p þ 2)(p þ 5) (p þ 2)(p þ 5) 2 2 2 8 vs (t)  vs (t) þ 6is (t) þ is (t)  is (t) pþ2 pþ2 pþ2 pþ2

(19:55)

Fundamentals of Circuits and Filters

19-22

Thus, we have expressed the two second-order operators in terms of operators of order one. It is quite easy to show that the first-order operator has the following simple form: 1 1 x(t) ¼ eat ½eat x(t) pþa p

(19:56)

Using this result, one can quickly show that the impulse and step responses of the first-order operator are 1 d(t) ¼ eat u(t) pþa

(19:57)

1 u(t) ¼ ½1  eat u(t) pþa

(19:58)

h(t) ¼ and s(t) ¼

respectively. Thus, if is(t) ¼ d(t) and vs(t) ¼ u(t), one has v(t) ¼ 6d(t) þ

 1 3 þ 5e2t  38e5t u(t) 5

(19:59)

References [5,6] demonstrate that all the usual algebraic results valid for the Laplace transform are also valid for Heaviside operators.

19.1.2 Mesh Analysis The central concept in nodal analysis is, of course, the node. The central idea in the method we will discuss here is the loop. Just as KCL formed the primary set of equations for nodal analysis, KVL will serve a similar function here. We will begin with the idea of a mesh. A mesh is a special kind of loop in a planar circuit (one that can be drawn on a plane) a loop that does not contain any other loop inside it. If one reflects on this definition a bit, one will see that it depends upon how the circuit is drawn. Figure 19.32 illustrates the idea of a mesh. The nodes have been numbered and the elements labeled with letters for clarity. The circuit graph in Figure 19.32 abstracts all of the information about how the elements are connected, but does not show them explicitly. The lines represent the elements and the solid dots represent the nodes. If we apply the definition given in the introduction to this section, we can

3

c

b

4

d

5 e

l 2

9

i

a h

g

(a)

FIGURE 19.32 Circuit (a) and its graph (b).

4

b

d

i

5 e

l

6 f

8

c

2

j

k 1

3

j

6

9 a l

7 (b)

k h

8

f g

7

Network Laws and Theorems

19-23

N=B=6

FIGURE 19.33 One-mesh (series) circuit.

quickly verify that {h, a, i, k} is a loop. It is a simple loop because each of its elements share only one node with any of the other path elements. It is a mesh because no other loops are inside it. It is an important fact that the number of meshes in a circuit is given by Nme ¼ B  N þ 1

(19:60)

where B is the number of branches (elements) N is the number of nodes To see this, just look at the simple one-mesh graph in Figure 19.33. The number of branches is the same as the number of nodes for such a graph (or circuit). Imagine constructing the graph by placing an element on a planar surface, thereby forming two nodes with the one element. B  N þ 1 ¼ 1  2 þ 1 ¼ 0 in this case, and no meshes exist. Now, add another element by connecting one of its leads to one of the leads of the first element. Now, B  N þ 1 ¼ 2  3 þ 1 ¼ 0. This can be done indefinitely (or until you tire). At this point, connect one lead of the last element to the free lead of the one immediately preceding and the other lead of the last element to a node already placed. N nodes and N  1 branches will have been put down, and exactly one mesh will have been formed. Thus, it is true that B  N þ 1 ¼ N  (N  1) þ 1 ¼ 1 mesh and the formula is verified. Now connect a new element to one of the old nodes; the result is that one new element and one new node have been added. A glance at the formula verifies that it remains valid. Again, continue indefinitely, and then connect one new element and no new nodes by connecting the free lead of the last element with one of the nodes in the original one-loop circuit. Clearly, the number of added branches exceeds the number of added nodes by one; once again, the formula is verified. Figure 19.34 shows the new circuit. For the graph shown in the figure, B ¼ 13 and N ¼ 12, so B  N þ 1 ¼ 2, as expected. Induction generalizes the result, and Equation 19.60 has been proved. We now define a fictitious set of currents circulating around the meshes of a circuit. Figure 19.35 illustrates this idea with a circuit graph. All mesh currents are assumed to be circulating in a clockwise

N=B=6

FIGURE 19.34 Two-mesh (series) circuit.

Fundamentals of Circuits and Filters

19-24 ia

i1

i2

i3 ic

ib

FIGURE 19.35 Mesh currents.

direction, although this is not necessary. We see that i1 is the only current flowing in the branch in which the element current ia is defined, therefore, ia ¼ i1; similarly, i3 is the only mesh current flowing in the element carrying element current ib, but the two are defined in opposite directions. Thus, one sees that ib ¼ i3. The third element where the current is indicated, however, is seen to carry two mesh currents in opposite directions. Hence, its element current is ic ¼ i3  i2. In general, an element that is shared between two meshes has an element current which is the algebraic sum or difference* of the two adjacent mesh currents. We used the term ‘‘fictitious’’ in our definition of mesh current. In the last example, however, we see that it is possible to make a physical measurement of each mesh current because each flows in an element that is not shared with any other mesh. Thus, one need only insert an ammeter in that element to measure the associated mesh current. Circuits exist, however, in which one or more mesh currents are impossible to measure. Figure 19.36 plots the graph of such a circuit. Each of the meshes is assumed to be carrying a mesh current, although only one has been drawn explicitly, ik. As readily observed, each of the other mesh currents appears in a nonshared branch. For the mesh where the current is shown, however, it is impossible to find an element or a conductor carrying only that current. For this reason, ik is merely a fiction, though a useful one. It is easy to see that mesh currents automatically satisfy KCL because they form a complete loop. Observe the stylized picture in Figure 19.37, which shows three meshes represented for simplicity as small, closed ovals. M1 lies entirely within the arbitrary closed surface S; thus, its current does not appear in KCL for that surface. M2 lies entirely outside S, so the same thing is true for its current. Finally, we note that, regardless of the shape of S, M3 penetrates it an even number of times. Thus, its current will appear in KCL for S an even number of times, and half of its appearances will carry a positive sign and half a negative sign. Thus, we have shown that any mesh current automatically satisfies KCL for any closed surface. Because KCL is automatically satisfied, we must turn to KVL for the solution of a network in terms of its mesh currents. Figure 19.38 is an example circuit. Just as we assumed at the outset of Section 19.1.1 that any circuit under consideration contained only resistors and current sources, we will assume at first that any circuit under consideration contains only resistors and voltage sources. The one shown in Figure 19.38 has this property.

* Always the difference if all mesh currents are defined in the same direction: clockwise or counterclockwise.

Network Laws and Theorems

19-25

ik

FIGURE 19.36 Fictitious mesh current.

M3 S M1 M2

FIGURE 19.37 Illustration of KCL for mesh currents.



4V

+ −





+

12 V



FIGURE 19.38 Example circuit.

The first step is to identify the meshes and assign a mesh current to each. Identification of the meshes is easy, and this is the primary reason for its effectiveness in hand analysis of circuits. The mesh currents can be assigned in arbitrary directions, but for circuits of the sort considered here, it is more convenient to assign them all in the same direction, as in Figure 19.39. Writing one KVL equation for each mesh results in 3i1 þ 2ði1  i2 Þ ¼ 4

(19:61)

Fundamentals of Circuits and Filters

19-26 3Ω



2Ω 4V

+

+ i2

i1



12 V



FIGURE 19.39 Example circuit prepared for mesh analysis.

and 2ði2  i1 Þ þ 4i2 ¼ 12

(19:62)

In matrix form, 

5 2

2 6

    i1 4 ¼ i2 12

(19:63)

The solution is i1 ¼ 0 A and i2 ¼ 2 A. The same procedure holds for any planar circuit of an arbitrary number of meshes. Suppose, now, that the circuit being considered has one or more current sources, such as the one in Figure 19.40. The meshes are readily determined; one need only to look for the ‘‘window panes,’’ as meshes have been called. The only problem is this: When we write our mesh equations, what values do we use for the voltages across the current sources? These voltages are not known. Thus, we could ascribe their voltages as unknowns, but this would lead to a hybrid form of analysis in which the unknowns are both element voltages and mesh currents; however, a more straightforward way is available. Consider this question: should the variables we use or the loops around which we decide to write KVL change if we alter the values of any of the independent sources? The answer, of course, is no. Thus, let us test the circuit by deactivating it, that is, by reducing all sources to zero. Recalling that a zero-valued voltage source is a short circuit and a zero-valued current source is an open circuit, we obtain the test circuit in Figure 19.41.

3A



6V

− +

FIGURE 19.40 Example with current sources.



1A



Network Laws and Theorems

19-27

Nonessential mesh





Supermesh



FIGURE 19.41 Deactivated current.

Notice what has happened. The two bottom meshes merge, thus forming one larger mesh in the deactivated circuit. The top mesh disappears (as a mesh or loop). For this reason, we refer to the former as a supermesh and the latter as a nonessential mesh. Observe also that it was the deactivation of the current sources that altered the topology; in fact, deactivation of the voltage sources has no effect on the mesh structure at all. Thus, we see that only one KVL equation is required to solve the deactivated circuit. (Reactivation of the source(s) is necessary, otherwise all voltage and currents will have zero values.) The conclusion relative to our example circuit is this: To solve the original circuit in terms of mesh currents, only one equation (KVL around the supermesh) is necessary. The original circuit, with its three mesh currents arbitrarily defined, is redrawn in Figure 19.42. Notice that the isolated (nonshared) current source in the top (nonessential) mesh defines the associated mesh current as having the same value as the source itself. On the other hand, the 1 A current source shared by the bottom two meshes introduces a more general constraint: the difference between the two mesh currents must be the same as the source current. This constraint has been used to label the mesh current in the right-hand mesh with a value such that it, minus the left-hand mesh current, is equal to the source current. The nice feature of this approach is that one can clearly see which mesh currents are unknown and which are dependent upon the unknowns. Exactly one independent mesh current is always associated with a supermesh. Recalling our test circuit in Figure 19.41, we see that we need to write only one KVL equation around the supermesh. It is 3(i  3) þ 4(i þ 1  3) þ 2(i þ 1) ¼ 6

(19:64)

3A 3



4Ω 1A

– 6V

+

i

FIGURE 19.42 Assigning the mesh currents.

i+1



Fundamentals of Circuits and Filters

19-28

or 9i  9  8 þ 2 ¼ 6

(19:65)

The solution is i ¼ 1 A. From this, one can compute the mesh current on the bottom right to be i þ 1 ¼ 2 A and the one in the top loop is already known to be 3 A. With these known mesh currents, we can solve for any circuit variable desired. The development of mesh analysis seems at first glance to be the complete analog of nodal. This is not quite the case, however, because nodal will work for nonplanar circuits, while mesh works only for planar circuits; furthermore, no global reference exists for mesh currents as it does for node voltages. Analyzing the problem, we observe that each current source, when deactivated, reduces the number of meshes by one. (A given element can be shared only by two meshes). Combining this fact with Equation 19.60, we see that the required number of mesh equations is Nme ¼ B  N þ 1  NI ,

(19:66)

where B is the number of branches N is the number of nodes NI is the number of current sources Note that mesh analysis is undertaken for circuits containing dependent sources in exactly the same manner as in nodal analysis, that is, by first taping the dependent sources, writing the mesh equations as above, and then untaping the dependent sources and expressing their controlled variables in terms of the unknown mesh currents. Figure 19.43 shows an example of such a circuit; in fact, it is the same figure investigated with nodal analysis in the preceding section. The first step is to tape the dependent sources, thus placing them on the same footing as their more independent relatives. Then the circuit is tested for complexity by deactivating it as shown in Figure 19.44. All element labels have been removed merely to avoid obscuring the ideas being discussed. We see one supermesh, one essential mesh, and no nonessential mesh. Therefore, two KVL equations must be written in the original circuit, which is shown with the dependent sources taped in Figure 19.45. Notice that only two unknowns exist, and also that the dependent sources have been taped. For the moment, we have turned them into independent sources (albeit with unknown values).

vx



+



1Ω +

2V

– iy



9A 2vx 1Ω

FIGURE 19.43 Example circuit.

+ –

3iy

Network Laws and Theorems

19-29

Essential mesh

Supermesh

FIGURE 19.44 Testing the example circuit.



1Ω 2V

i1 – 9

+

i2

– 2Ω 9A i1

+

i1 – ic

vc



ic 1Ω

FIGURE 19.45 Example circuit prepared for mesh analysis.

We are now in a position to write KVL equations: 2ði1  9Þ þ 2ði1  ic  i2 Þ þ 1i1 ¼ 2  vc

(supermesh)

(19:67)

and 1i2 þ 2ði2  i1 þ ic Þ ¼ 2

(essential mesh)

(19:68)

Observe that ic and vc are not known quantities, as would be the case where they are the values of independent sources. Thus, at this point, we must untape the dependent sources and express their values in terms of the mesh currents. We find that ic ¼ 2vx ¼ 2x2xði1 þ 9Þ ¼ 4i1 þ 36

(19:69)

vc ¼ 3iy ¼ 3ði1  ic  i2 Þ ¼ 15i1  3i2 þ 36

(19:70)

and

Fundamentals of Circuits and Filters

19-30

Inserting the last two results in Equations 19.67 and 19.68 results in the matrix equation 

28 10

5 3

    196 i1 ¼ i2 70

(19:71)

The coefficient matrix is no longer symmetric now that dependent sources have been introduced. (This is also the case with nodal analysis. The example treating this same circuit is found in Section 19.1.3 and should be checked to verify this point.) However, the solution is found, as usual, to be i1 ¼ 7 A and i2 ¼ 0 A. A careful consideration of what we have done up to this point reveals that the mesh equations can be written in the form AiM ¼ Bis þ Cvs

(19:72)

In this general formulation, A is a square nM 3 nM matrix, where M is the number of meshes, and B and C are rectangular matrices whose dimensions depend upon the number of independent voltage and current sources, respectively. The variables vs and is are the column matrices of independent voltage and current source values, respectively. A is symmetric if the circuit contains only resistors and independent sources. As was the case for nodal analysis, the elucidation of conditions under which the A matrix is nonsingular is difficult. Certainly, it can be singular for circuits with dependent sources; surprisingly, circuits also exist with only resistors and independent sources for which A is singular as well. Finally, the mesh analysis procedure for circuits with dynamic elements should be clear. The algebraic process closely follows that for nodal analysis. For this reason, that topic is not discussed here.

19.1.3 Fundamental Cutset-Loop Circuit Analysis As effective as nodal and mesh analysis are in treating circuits by hand, particular circuits exist for which they fail. Consider, for example, the circuit in Figure 19.46. If we were to blindly perform nodal analysis on this circuit, we would perhaps prepare it for analysis as shown in Figure 19.47. We have three nonreference nodes, hence, we have three nodal equations: v1 ¼2 2 v2  v 3 ¼2 2 v3  v 2 ¼ 2 2

(19:73) (19:74) (19:75)

v1

2A

v2





2A v3

2A



2A

FIGURE 19.46 Example circuit.



FIGURE 19.47 Example circuit prepared for nodal analysis.

Network Laws and Theorems

19-31 2Ω 2A

2A







2V



+ –

2V

+ –



2A

FIGURE 19.49 Another example of a singular circuit. FIGURE 19.48 Another example circuit.

The third equation is simply the negative of the second; hence, the set of equations is linearly dependent and does not have a unique solution. The reason is quite obvious: the circuit is not connected.* It actually consists of two circuits considered as one. Therefore, one should actually select two reference nodes rather than one. A bit of reasoning along this line indicates that the number of nodal equations should be Nne ¼ N  1  P

(19:76)

where P is the number of separate parts, and hence the number of reference nodes required. Another way nodal analysis can fail is not quite as obvious. Figure 19.48 illustrates the situation. In this case, we have a cutset of current sources. Therefore, in reality, at least one of the current sources cannot be independent for it must have the same value as the other in the cutset. We will leave the writing of the nodal equations as an exercise for the reader. They are, however, linearly dependent. The problem here clearly becomes evident if one deactivates the circuit, because the resulting test circuit is not connected. Analogous problems can occur with mesh analysis, as the circuit in Figure 19.49 demonstrates. We find a loop of voltage sources and, when the circuit is deactivated, one mesh disappears. Again, it is left as an exercise for the reader to write the mesh equations and show that they are linearly independent (the coefficients of all currents in the KVL equation for the central mesh are zero). One might question the practically of such circuits because clearly no one would design such networks to perform a useful function. In the computer automation of circuit analysis, however, dynamic elements are often modeled over a small time increment in terms of independent sources, and singular behavior can result. Furthermore, one would like to be able to h include more general elements than R, L, C, and g f voltage and current sources. For such reasons, a general method that does not fail is desirable. We develop this method next. It is related to the modified c a nodal analysis technique that is described elsewhere in the book. b To develop this technique, we will examine the e d graph of a specific circuit: the one in Figure 19.50. Graph theory is covered elsewhere in the book, but salient points will be reviewed here [7,8]. The graph, of course, is not concerned at all with the v–i charFIGURE 19.50 Example of a circuit graph. acteristics of the elements themselves—only with

* A circuit is connected if at least one path exists between each pair of nodes.

Fundamentals of Circuits and Filters

19-32 f -loop

how they are interconnected. The lines (or edges or branches) represent the elements and the dots represent the nodes. The arrows represent the h assumed references for voltage and current, the posig f tive voltage at the ‘‘upstream’’ end of the arrow and the current reference in the direction of the a c arrow. We also recall the definition of a tree: for a connected graph of N nodes, a tree is any subset of b edges of the graph that connects all the nodes, but e d which contains no loops. Such a tree is shown by means of the darkened edges in the figure: a, b, and c. The complement of a tree is called a cotree. Thus, S edges d, e, f, g, and h form a cotree in Figure 19.50. If a graph consists of separate parts (that is, it is FIGURE 19.51 Fundamental cutsets and loops. not connected), then one calls a subset of edges that connects all N nodes, but forms no loops, a forest. The complement of a forest is a coforest. The analysis method presented here is applicable to either connected or nonconnected circuits. However, we will use the terms for a graph that is connected for ease of comprehension; one should go through a parallel development for nonconnected circuits to assure oneself that the generalization holds. Each edge contained in a tree is called a twig, and each edge contained in a cotree is called a link. (Remember that the set of all nodes in a connected graph is split into exactly two sets of nodes, each of which is individually connected by twigs, when a tree edge is removed). The set of links having one of its nodes in one set and another in the second, together with the associated twig defining the two sets of nodes, is called a fundamental cutset, of f-cutset. If all links associated with a given tree are removed, then the links replaced one at a time, it can be seen that each link defines a loop called a fundamental loop or f-loop. Figure 19.51 is a fundamental cutset and a fundamental loop for the graph shown in Figure 19.50. The closed surface S is placed around one of the two sets of nodes so defined (in this case consisting of a single node) and is penetrated by the edges in the cutset b, d, and e. A natural orientation of the cutset is provided by the direction of the defining twig, in this case edge b. Thus, a positive sign is assigned to b; then, any link in the fundamental cutset with a direction relative to S agrees with that of the twig receives a positive sign, and each with a direction that is opposite receives a negative sign. Similarly, the fundamental loop is given a positive sense by the direction of the defining link, in the case edge h. It is assigned a positive sign; then, each twig in the f-loop is given a positive sign if its direction coincides in the loop with the defining link, and a negative sign if it does not. The positive and negative signs just defined can be used to write one KCL equation for each f-cutset and one KVL equation for each f-loop, as follows. Consider the example graph with which we are working. The f-cutsets are {d, b, e}, {d, a, f, h}, and {e, c, g, h}. In general, N  1 f-cutsets are associated with each tree—exactly the same as the number of twigs in the tree. Using surfaces similar to S in Figure 19.51 for each of the f-cutsets, we have the following set of KCL equations:

In matrix form, these equations become

ia  id  if  ih ¼ 0

(19:77)

ib þ id þ ie ¼ 0

(19:78)

ic  ie þ ig þ ih ¼ 0

(19:79)

Network Laws and Theorems

19-33

2

2

1 0 0 1 40 1 0 1 0 0 1 0

0 1 1

1 0 0

3 ia 6 ib 7 6 7 36 ic 7 2 3 7 1 6 0 6 id 7 5 6 7 4 0 6 7 ¼ 05 ie 7 1 6 0 6 if 7 6 7 4 ig 5 ih

0 0 1

(19:80)

The coefficient matrix consists of zeroes, and positive and negative ones. It is called the f-cutset matrix. Each row corresponds to the KCL equation for one of the f-cutsets, and has a zero entry for each edge not in that cutset, a þ 1 for any edge in the cutset with the same orientation as the defining twig, and a  1 for each edge in the cutset whose orientation is opposite to the defining twig, and a  1 for each edge in the cutset whose orientation is opposite to the defining twig. One often labels the rows and columns, 2

a a6 Q ¼ 61 b6 40 c 0

b 0 1

c 0 0

d 1 1

0

1

0

3 e f g h 0 1 0 1 7 7 7 1 0 0 05 1

0

1

(19:81)

1

to emphasize the relation between the matrix and the graph. Thus, the first row corresponds to KCL for the f-cutset defined by twig a, the second to that defined by twig b, and the last to the cutset defined by twig c. The columns correspond to each of the edges in the graph, with the twigs occupying the first N  1 columns in the same order as that in which they appear in the rows. Notice that a unit matrix of order N  1 3 N  1 is located in the leftmost N  1 columns. Furthermore, Q has dimensions (N  1) 3 B, where B is the number of branches (edges). Clearly, Q has maximum rank because of the leading unit matrix. More succinctly, one writes KCL in terms of the f-cutset matrix as . Qi ¼ [U ..H]i ¼ 0

(19:82)

where i is the column matrix of all the branch currents. Here, the structure of Q appears explicitly with the unit matrix in the first N  1 columns and, for our example, 2

1 4 H¼ 1 0

3 0 1 0 1 1 0 0 05 1 0 1 1

(19:83)

In general, H will have dimensions (N  1) 3 (b  N þ 1). Each of the links, all B  N þ 1 of them, have an associated KVL equation. In our example, using the same order for these links and equations that occurs in the KCL equations, 2

3 va 2 36 vb 7 2 3 7 0 1 1 0 1 0 0 0 0 6 6 vc 7 6 7 6 6 0 1 7 1 0 1 0 0 0 76 7 6 0 7 7 6 6 vd 7 6 7 61 0 0 0 0 1 0 07 6 76 ve 7 ¼ 6 0 7 7 405 40 0 1 0 0 0 1 0 56 6 vf 7 7 0 1 0 1 0 0 0 0 1 6 4 vg 5 vh

(19:84)

Fundamentals of Circuits and Filters

19-34

We have one row for each link and, therefore, one for each f-loop. If a given edge is in the given loop, a þ 1 is in the corresponding column if its direction agrees with that of the defining link, and a  1 if it disagrees. Notice that a unit matrix of dimensions (B  N þ 1) 3 (B  N þ 1) is located in the last B  N þ 1 columns. Even more important, observe that the matrix in the first N  1 columns has a familiar form; in fact, it is H0 , the negative transpose of the matrix in Equation 19.83. This is no accident. In fact, the entries in this matrix are strictly due to twigs in the tree. Focus on a given twig and a given link. The twig defines two twig-connected sets of nodes, as mentioned above. If the given link has both its terminal nodes in only one of these sets, the given twig voltage does not appear in the KVL equation for that f-loop. If, on the other hand, one of the link nodes is in one of those sets and the other in the alternate set, the given twig voltage will appear in the KVL equation for the given link, with a þ 1 multiplier if the directions of the twig agree relative to the f-loop and a  1 if they do not. However, a little thought shows that the same result holds for the f-cutset equation defined by the twig, except that the signs are reversed. If the link and twig directions agree for the f-loop, they disagree for the f-cutset, and vice versa. Thus, we can write KVL for the f-loops, in general, as . Bf v ¼ [H 0 ..U]v ¼ 0

(19:85)

where Bf is called the fundamental loop matrix v is the column matrix of all branch voltages Suppose that we partition the branch voltages and branch currents according to whether they are associated with twigs or links. Thus, we write  0 v ¼ vT0 vC0

(19:86)

  i ¼ i0Ti0C 0

(19:87)

and

We use transpose notation to conserve space, and the subscripts T and C represent tree and cotree voltages and currents, respectively. We cannot use Equations 19.82 and 19.85 to write the composite circuit variable vector as 2

U   6 H0 v ¼  ¼6 u 4 0 i 0

3 0   07 7 vT H 5 iC U

(19:88)

The coefficient matrix is of dimensions 2B 3 B and has rank B because of the two unit matrices. What we have accomplished is a direct sum decomposition of the 2B-dimensional vector space consisting of all circuit variables in terms of the N  1 dimensional vector space of tree voltages and the B  N þ 1 dimensional vector space of link currents. Furthermore, the tree voltages and link currents form a basis for the vector space of all circuit variables. We have discussed topology enough for our purposes. We now treat the elements. We are looking for a generalized method of circuit analysis that will succeed, not only for circuits containing R, L, C, and source elements, but ideal transformers, gyrators, nullators, and norators (among others) as well. Thus, we turn to a discussion of elements [9].

Network Laws and Theorems

19-35

i e +

V



FIGURE 19.52 Two-terminal element.



a 0

b c

Consider elements having two terminals only, as shown in Figure 19.52. The most general assumption we can make, assuming that we are ruling out ‘‘nonlinear’’ elements, is that the v–i characteristic of each such element be affine, that is, it is defined by an operator equation of the form

    v f (t) i ¼ g(t)

(19:89)

where the parameters a, b, and c are operators. It is more classical to assume a scalar form of this equation; that is, with c and g(t) both zero. In a series of papers in the 1960s, however, Carlin and Youla, Belevitch, and Tellegen [10–12] proposed that the v–i characteristic be interpreted as a multidimensional relationship. Among other things to come out of the approach was the definition of the nullator and the norator. Now, assuming that this defining characteristic is indeed multidimensional, we see at once that it is not necessary to consider operator matrices of a dimension larger than 2 3 2. There must be two columns because there are only two scalar terminal variables. If more than two rows were found, the additional equations would be either redundant or inconsistent, depending upon whether row reductions resulted in additional rows of all zeroes or in an inconsistent equation. Finally, the (2, 1) element in the operator matrix clearly can be chosen to be zero as shown, because otherwise it could be reduced to zero with elementary row operations. That is, one could, unless a ¼ 0; but here, an exchange of rows produces the desired result shown. Note that any or all of a, b, and c can be the zero operator. We pause here to remark that a and b can be rather general operators. If they are differential, or Heaviside, operators, (that is, they are real, rational functions of p), a theory of lumped circuits (differential systems) is obtained. On the other hand, they could be rational functions of the delay operator E.* In this case, one would obtain the theory of distributed (transmission line) circuits. Then, if a common delay parameter is used, one obtains a theory of commensurate transmission line circuits; if not, an incommensurate theory results. If the parameters are functions of both p and d, a mixed theory results. We will assume here that a, b, and c are rational functions of p. Let us suppose that c is the zero operator and that g(t) ¼ 0 is the second equality resulting from the stipulation of existence (consistency). This gives the affine scalar relationship av þ bi ¼ f (t)

(19:90)

Special cases are now examined. For instance, if b ¼ 0 and a 6¼ 0, one has v(t) ¼ f (t)=a ¼ vs (t)

(19:91)

This, of course, is the v–i characteristic for an independent voltage source. If, on the other hand, a ¼ 0 and b 6¼ 0, one has i(t) ¼ f (t)=b ¼ is (t)

(19:92)

This is an ideal current source. If, in addition f(t) is identically zero, one obtains a short circuit and an open circuit, respectively. These results are shown in Figure 19.53. Now suppose that a and b are both zero. Then, f(t) must be identically zero as well; otherwise, the element does not exist. In this case any arbitrary voltage and current are possible. The resulting element, a ‘‘singular one’’ to be sure, is called a norator. Its symbol is shown in Figure 19.54. * Ex(t) ¼ x(t  T) for all t and all waveforms x(t).

Fundamentals of Circuits and Filters

19-36 vs

is

i=0

v=0

+ –

FIGURE 19.53 Conventional two-terminal elements.

Remaining with the same general case, that is, with c ¼ 0 and g(t) ¼ 0, we ask what element results if we also assume that neither a nor b are zero, but that f(t) is identically zero. We can solve for either the voltage or the current. In either case, one obtains a passive element, as shown in Figure 19.55. If b=a is constant, a resistor FIGURE 19.54 Norator. will result; if b=a is a constant times the differential operator p, an inductor will result; and if b=a is reciprocal in p, a capacitor will result. In case the ratio is a more complicated function of p, one would consider the two-terminal object to be a subcircuit, that is, a two-terminal object decomposable into other elements, with b=a being the driving point impedance operator. One can, in fact, derive the Thévenin and Norton equivalents from these considerations. Staying with the general case of c and g(t) both zero, but allowing f(t) to be nonzero, we first assume that a 6¼ 0. Then, we obtain v=?

i=?

v(t) ¼

f (t) b  i(t) ¼ voc (t) þ Zeq (p)i(t) a a

(19:93)

which represents the Thévenin equivalent subcircuit shown in Figure 19.56a. Alternately, if b 6¼ 0 we can write i(t) ¼

f (t) a  i(t) ¼ isc (t) þ Yeq (p)v(t) b b

(19:94)

The latter equation is descriptive of the Norton equivalent shown in Figure 19.56b. The basic assumption is that the two-terminal object has a v–i characteristic (i.e., an affine relationship); if this object contains only elements characterized by affine relationships having a rank property to be given later, one can use the analysis method being presented here to prove that this assumption is true. At this point,

R = –b/a

1 = –b/a Cp

Lp = –b/a

FIGURE 19.55 Passive elements.

Zeq +

Yeq

voc

– (a)

Thévenin

FIGURE 19.56 Two general equivalent subcircuits.

(b)

Norton

isc

Network Laws and Theorems

19-37

however, we are merely assembling a catalog of elements, so we assume that the two-terminal object is, indeed, a single element (it cannot be decomposed farther). We have only one other case to consider: that in which c is a nonzero operator. If this is the situation and if, in addition, a 6¼ 0 as well, one can solve Equation 19.90 by inverting the coefficient matrix to obtain " # v i

" ¼

1=c b=ac 0

#"

f (t) g(t)

1=a

#

" ¼

vs (t)

# (19:95)

is (t)

Therefore, the voltage and current are independently specified. First, suppose that both vs(t) and is(t) are identically zero. Then, one has v(t) ¼ 0 and i(t) ¼ 0 for t. The associated element is called a nullator, and has the symbol shown in Figure 19.57a. Finally, if vs(t) and is(t) are nonzero, one can sketch the equivalent subcircuit as in Figure 19.57b. At this point, we have an exhaustive catalog of two-terminal circuit elements: the independent voltage and current sources, the resistor, the inductor, the capacitor, the norator, and the nullator. We would like to include more complex elements with more than two terminals as well. Figure 19.58a shows a threeterminal element and Figure 19.58b shows a two-port element. For the former, we see at once that only two voltages and two currents can be independently specified because KVL gives the voltage between the left and right terminals in terms of the two shown, while KCL gives the current in the third lead. As for the latter, it is a basic assumption that only the two-port voltages and the two-port currents are required to specify its operation. In fact, one assumes that the currents coming out of the bottom leads are identical to those going into the top leads. We also assume that the v–i characteristic is independent of the voltages between terminals in different ports. Each of the ports will be an edge in the circuit graph that results when such elements are interconnected. Because four variables are associated with a three-terminal or two-port element, the dimensionality of the resulting vector space is four; thus, we assume that the describing v–i characteristic is

+ is(t)

v=i=0 (a)



vs(t)

(b)

FIGURE 19.57 Nullator element (a) and an equivalent subcircuit (b).

i1

i2 +

+ v1

(a)

v2 – – Three-terminal

i1 +

i2 +

v1

v2





(b)

FIGURE 19.58 Three-terminal (a) and two-port elements (b).

Two-port

Fundamentals of Circuits and Filters

19-38

2

a11

6 0 6 6 4 0

a12

a13

a22 0

a23 a33

0

0

0

a14

32

v1

3

2

f1 (f )

3

6 7 6 7 a24 7 76 v2 7 6 f2 (f ) 7 76 7 ¼ 6 7 a34 54 i1 5 4 f3 (f ) 5 a44

i2

(19:96)

f4 (f )

We justify this form exactly as for the case of two-terminal elements. We will not exhaustively catalog all of the possible three-terminal=two-port elements for reasons of space; however, note that the usual case is that in which aij ¼ 0 for i  3. In this case one must insist that f3(t) ¼ f4(t) ¼ 0; then one has the 2 3 2 system of equations 2



a11

a12

a13

0

a22

a23

3 v1  7  f (t)  a14 6 1 6 v2 7 6 7¼ 4 5 a24 i1 f2 (t)

(19:97)

i2 If the two forcing functions on the right are not identically zero, a number of different two-port equivalent circuits can be generated—generalized Thévenin and Norton equivalents. If both of these forcing functions are identically zero and if at least one 2 3 2 submatrix of the coefficient operator matrix on the left side is nonsingular, one can derive a hybrid matrix and a hybrid parameter equivalent circuit. Specialized versions are the impedance parameters, the admittance parameters, and the transmission or chain parameters. Furthermore, one can accommodate controlled sources, transformers, gyrators, and all of the other known two-port elements. To present just one example, assume that the operator Equation 19.97 has the form 2



n

1

0

0

0

1

3 v1  7 0 0 6 6 v2 7 6 7¼ 0 n 4 i1 5

(19:98)

i2 The parameter n, assumed to be a real scalar multiplier, is called the turns ratio, and the element is the ideal transformer. The VCVS obeys 2 

m 1 0 0 0 0 1 0

v1

3

6 7   0 6 v2 7 6 7¼ 4 i1 5 0

(19:99)

i2 Thus, i1 is identically zero and v2 ¼ mv1. The quantity m is the voltage gain. Similarly, for each element with any number of ports,* we can write 0 A0 v þ B0i ¼ C

(19:100)

where the voltage and current vectors are the terminal variables of the element. We can then represent the element equations for any circuit in the same form by forming A0 and B0 as quasidiagonal matrices, * A two-terminal element is a one-port device.

Network Laws and Theorems

19-39 2Ω

12 V – + 2Ω

4V

f



e a

+ –

g

b

d c



1A

(a)

(b)

FIGURE 19.59 Example circuit (a) and its graph (b).

each of whose diagonal terms is the corresponding A0 or B0 for a given element, and stacking up the  0 column matrices to form the overall matrix. We then rewrite Equation 19.100 in the form individual C   v  ½A0 B0   ¼ C i

(19:101)

where the voltage and current vectors are each B 3 1 column matrices of the individual element voltages and currents. We make the assumption that the matrix [A B], which is of dimension B 3 2B is of maximum rank b. This is the only assumption required for the procedure to be outlined to succeed, as will later be demonstrated. An example will clarify things. Figure 19.59 is an example circuit. The correspondence between the edge labels and the circuit elements is obvious; that is, for instance, a is the 4 V voltage source and its voltage is 4 V (minus, because of the definition of positive voltage on edge a in the graph). We have shown a tree on the graph. The f-cutset matrix is 2

b b6 1 d6 Q¼ 6 0 e6 40 f 0

d 0 1 0 0

e 0 0 1 0

f 0 0 0 1

3 a c g 1 1 07 7 .. 0 1 1 7 7 ¼ [U .H] 1 0 15 0 0 1

(19:102)

Thus, 2

3 1 1 0 6 0 1 1 7 7 H¼6 4 1 0 15 0 0 1

(19:103)

Although we could construct it from the Q matrix, we can just as easily read off the f-loop matrix from the graph: 2

b a6 1 B¼ c6 4 1 g 0

d 0 1 1

e 1 0 1

3 f a c g . 0 1 0 07 7 ¼ [H 0 ..U] 5 0 0 1 0 1 0 0 1

(19:104)

Fundamentals of Circuits and Filters

19-40

The element constraint equations are 2

2

0 60 6 60 6 60 6 60 6 40 0

0 1 0 0 0 0 0

0 0 1 0 0 0 0

0 0 0 1 0 0 0

0 0 0 0 1 0 0

0 0 0 0 0 1 0

0 0 0 0 0 0 1

1 0 0 4 0 0 0 0 0 0 0 0 0 0

0 0 2 0 0 0 0

0 0 0 0 0 0 0 0 0 0 0 0 0 0

3 vb 6 vd 7 6 7 6 ve 7 6 7 3 6 vf 7 2 3 7 0 6 1 6 va 7 6 7 6 7 07 7 6 vc 7 6 0 7 7 6 7 6 0 76 7 6 0 7 7 6 vg 7 6 7 07 76 ib 7 ¼ 6 12 7 7 6 7 6 0 76 7 6 4 7 7 id 7 4 5 0 56 0 6 ie 7 6 7 2 6 7 0 6 if 7 6 ia 7 6 7 4 ic 5 ig

0 0 0 0 0 4 0

(19:105)

In this case, both A0 and B0 are diagonal because all the elements are of the two-terminal variety. The vector of all circuit variables is now expressed in terms of the basis in Equation 19.88, the tree voltages and link currents. We then have 2 3 U 0 " # 6 0 7" # 6H v 0 7 vT 7 [AB] ¼ [AB]6 6 0 H 7 i i 4 5 C 2

0 0

6 60 6 60 6 6 ¼6 60 6 60 6 60 4 0 2

U

0

0 0

0

0

0

1

0

0

0

0

0

1

0 0

0

0

0

0

4

0

0

0

0

0

1 0

0

0

0

0

0 2

0

0

0

0

0 1

0

0

0

0

0

0

0

0

0

0

0 0

1

0

0

0

0

0

0

0

0

0

0 0

0

1

0

0

0

0

0

0

4

0

0 0

0

0

1

0

0

0 3

0

0

0

1 6 6 0 6 6 0 6 6 6 0 6 6 6 1 6 6 6 1 6 6 0 6 6 6 0 6 6 6 0 6 6 0 6 6 6 0 6 6 6 0 6 6 0 4 0

0

0

0

0

0

1

0

0

0

0

0

1

0

0

0

0

0

1

0

0

0

1

0

0

0

1

0

0

0

0

1

1

1

0

0

0

0

0

1 1

0

0

0

0

1

0

0

0

1

0

0

0

0

0

0

0

0

0

1

0

0

0

0

0

1

0

0

0

0

0

0

0

3

7 07 7 07 7 7 07 7 7 07 7 07 5 2

7 07 7 07 7 7 07 72 v 3 2 1 3 7 b 0 76 7 6 7 6 vd 7 6 0 7 7 7 7 7 6 0 76 6 6 7 7 6 ve 7 6 0 7 7 7 7 6 07 76 6 6 7 76 vf 7 ¼ 6 12 7 7 07 7 7 6 76 6 7 6 7 v 4 76 a 7 6 7 1 76 7 6 74 ic 5 4 0 7 5 1 7 7 7 ig 0 17 7 7 07 7 07 5 1

(19:106)

Network Laws and Theorems

19-41

After multiplying the two matrices, we have a more compact matrix 3 32 3 2 vb 1 0 0 0 0 1 1 0 6 7 6 7 6 0 1 0 0 0 4 4 7 6 76 vd 7 6 0 7 7 6 76 7 6 6 7 6 7 6 0 0 1 0 2 0 27 6 76 ve 7 6 0 7 7 6 76 7 6 0 0 1 0 0 0 76 vf 7 ¼ 6 12 7 6 0 7 6 76 7 6 6 7 6 7 6 1 0 1 0 0 0 07 6 76 va 7 6 4 7 7 6 76 7 6 0 54 ic 5 4 0 5 4 1 1 0 0 0 4 ig 0 0 1 1 1 0 0 2 2

(19:107)

We leave it to the reader to show that the solution is given by (in transpose notation): 

vb

vd

ve

vf

ia

ic

0 ig ¼ [0

4

4

12

0

1

2]

(19:108)

In general, one must solve the matrix equation 2

U 6 H0 [A B] ¼ 6 4 0 0

3 0   07 7 vT ¼ C H 5 iC U

(19:109)

where H is the nonunit submatrix in the f-cutset matrix and C0 is the B 3 1 column matrix of constants (or independent functions of time). Here is the crucial result: [A0 B0] has dimensions B 3 2B; if it has rank B, then the product of it with the next matrix, which also has rank B, will be square (of dimensions B 3 B) and of rank B by Sylvester’s inequality [13]. In this case, the resulting coefficient matrix will be invertible, and a solution is possible. The procedure just described, although involving more computation than, for example, nodal analysis, is general. If one solves for all element currents and voltages for a general circuit, however, one must anticipate additional complexity. Furthermore, the method outlined is algorithmic and can be computer automated. The element constraint matrices A0 and B0 consist of stylized submatrices corresponding to each type of element. These are referred to as ‘‘stamps’’ in the modified nodal technique described elsewhere in this volume, and the preceding method is quite similar. The major difference is that is uses node voltage as a basis for the branch voltage space of a circuit instead of the tree voltages described previously.

References 1. 2. 3. 4. 5. 6. 7. 8. 9.

P. W. Bridgman, The Logic of Modern Physics, New York: Macmillan, 1927. W.-K. Chen, Linear Networks and Systems, Monterey, CA: Brooks-Cole, 1983. J. Choma, Electrical Networks: Theory and Analysis, New York: Wiley, 1985. L. P. Huelsman, Basic Circuit Theory, Englewood Cliffs, NJ: Prentice Hall, 1927. A. M. Davis, A unified theory of lumped circuits and differential system based on Heaviside operators and causality, IEEE Trans. Circuits Syst., 41(11), 712–727, November, 1990. A. M. Davis, Linear Circuit Analysis, Boston, MA: PWS Publishing Company, 1998. W.-K. Chen, Applied Graph Theory: Graphs and Electrical Networks, New York: North-Holland, 1976. S.-P. Chan, Introductory Topological Analysis of Electrical Networks, New York: Holt, Rinehart, & Winston, 1969. A. M. Davis, unpublished notes.

Fundamentals of Circuits and Filters

19-42

10. H. J. Carlin and D. C. Youla, Network synthesis with negative resistors, Proc. IEEE, 49, 907–920, May 1961. 11. V. Belevitch, Four dimensional transformations of 4-pole matrices with applications to the synthesis of reactance 4-poles, IRE Trans. Circuit Theory, CT-3, 105–111, June 1956. 12. B. D. H. Tellegen, La Recherche pour une Serie Complete d’Elements de Circuit Ideaux NonLineaires, Rendiconti Del Seminario Mathematico e Fisico di Milano, 25, 134–144, April 1954. 13. F. R. Gantmacher, Theory of Matrices, New York: Chelsea, 1959.

19.2 Network Theorems Marwan A. Simaan In Section 19.1, we learned how to determine currents and voltages in a resistive circuit. Methods have been developed, which are based on applying KVL and KCL, to derive a set of mesh or node equations which, when solved, will yield mesh currents or node voltages, respectively. Frequently, and especially if the circuit is complex with many elements, the application of these methods may be considerably simplified if the circuit itself is simplified. For example, we may wish to replace a portion of the circuit consisting of resistors and sources by an equivalent circuit that has fewer elements in order to write fewer mesh or node equations. In this context, we introduce three important and related theorems known as the superposition, the Thévenin and the Norton theorems. The superposition theorem shows how to solve for a variable in a circuit that has many independent sources, by solving simpler circuits, each excited by only one source. The Thévenin and Norton theorems can be used to replace a portion of a circuit at any two terminals by an equivalent circuit which consists of a voltage source in series with a resistor (i.e., a nonideal voltage source) or a current source in parallel with a resistor (i.e., a nonideal current source). Another important result derived in this section concerns the calculation of power dissipated in a load resistor connected to a circuit. This result is known as the maximum power transfer theorem, and is frequently used in circuit design problems. Finally, a result known as the reciprocity theorem is also discussed. An important property of linear resistive circuits is the type of relationship that exists between any variable in the circuit and the independent sources. For linear resistive circuits the solution for a voltage or current variable can always be expressed as a linear combination of the independent sources. Let us elaborate on what we mean by this statement through an example. Consider the circuit in Figure 19.60 and assume that we are interested in the voltage v across R2. We can solve for v by first applying KCL at node a to get the equation 

v  v1 v þ bix þ i1  ¼ 0 R1 R2

R1

+

(19:110)

a +

ix

V1

βix

i1

R2

V

– –

FIGURE 19.60 Example of a linear circuit.

Network Laws and Theorems

19-43

and then by making use of the fact that v1  v R1

(19:111)

(1 þ b)R2 R 1 R2 v1 þ i1 R1 þ (1 þ b)R2 R1 þ (1 þ b)R2

(19:112)

ix ¼ This gives v¼

Here, the voltage v is a linear combination of the independent sources v1 and i1. The preceding observation indeed applies to every linear circuit. In general, if we let y denote a voltage across, or a current in, any element in a linear circuit and if we let {x1, x2, . . . , xN} denote the independent voltage and current sources in that circuit (assuming there is a total of N such sources), then we can write y¼

N X

ak xk

(19:113)

k¼1

where a1, a2, . . . , aN are constants which depend on the circuit parameters. Thus, in the circuit of Figure 19.60, every current or voltage variable can be expressed as a linear combination of the form y ¼ a1 V1 þ a2 i1 where a1 and a2 are constants that depend on R1, R2, and b. For the voltage v across R2, this relationship is given by expression (Equation 19.112). Mathematically, the relationship between y and {x1, x2, . . . , xN} expressed in Equation 19.113 is said to be linear because it satisfies the following two conditions: 1. The superposition condition, which requires that ^y ¼

if

N X

ak ^xk

k¼1

~y ¼

and

N X

ak ~xk

k¼1

then ^y þ ~y ¼

N X

ak ð^xk þ ~xk Þ

k¼1

2. The homogeneity condition, which requires that

if

^y ¼

N X

ak ^xk

k¼1

then c^y(t) ¼

N X

ak {c^xk }

k¼1

for any constant c. Example 19.1 illustrates how these two conditions are satisfied for the circuit of Figure 19.60.

Fundamentals of Circuits and Filters

19-44

Example 19.1 For the circuit of Figure 19.60, let R1 ¼ 2 V, R2 ¼ 1 V, and b ¼ 2. Show that the expression for v in terms of v1 and i1 satisfies the superposition and homogeneity conditions.

Substituting the values of R1, R2, and b in Equation 19.112, the expression for v becomes 3 2 v ¼ v1 þ i1 5 5

(19:114)

To check the superposition property, let v1 ¼ ^v1 and i1 ¼ ^i1 . Then, the voltage ^v across R2 is 3 2 ^v ¼ ^v1 þ ^i1 5 5 ~ 1 and i1 ¼ ~i1 . Then, the voltage V ~ across R2 is Similarly, let V1 ¼ V 3 2 ~v ¼ ~v1 þ ~i1 5 5 ^1 þ V ~ 1 and that i1 ¼ ^i1 þ ~i1 . Then, according to Equation 19.114 the correNow, assume that V1 ¼ V sponding voltage V across R2 is    3 ^ ~ 1 þ 2 ^i1 þ ~i1 V1 þ V 5 5 3^ 2^ 3~ 2~ ¼ V1 þ i1 þ V1 þ i1 5 5 5 5 ^ þV ~ ¼V



Hence, the superposition condition is satisfied. ^ 1 and i1 ¼ c^i1 , where c is an arbitrary constant. Then, To check the homogeneity condition, let V1 ¼ cV according to Equation 19.114 the corresponding voltage v across R2 is 3 ^  2 ^  cV1 þ ci1 5 5 3^ 3^ ¼ c V1 þ i1 5 5 ^ ¼ cV



The homogeneity condition is also satisfied.

19.2.1 Superposition Theorem Let us reexamine expression (Equation 19.112) for the voltage v in the circuit of Figure 19.60. To be more specific, let us use this expression to calculate the voltage across R2 for the two circuits shown in Figure 19.61a and b, respectively. Observe that the first circuit is obtained from the original circuit by deactivating the current source (i.e., setting i1 ¼ 0) and leaving the voltage source to act alone. The second is obtained by deactivating the voltage source (i.e., setting V1 ¼ 0) and leaving the current source to act alone. If we label the voltages across R2 in these two circuits as va and vb, respectively, then



va ¼ v when ¼ i ¼0 1

(1 þ b)R2 v1 R1 þ (1 þ b)R2

Network Laws and Theorems R1 + V1

19-45 R1

a +

ix βix

R2

a +

ix βix

V

i1 R2

V

– –

– (a)

FIGURE 19.61 deactivated.

(b)

Circuit of Figure 19.60 with (a) the current source deactivated and with (b) the voltage source

and



vb ¼ v when ¼ v1 ¼0

R1 R2 i1 R1 þ (1 þ b)R2

In other words, expression (Equation 19.112), which was used to derive the above two expressions, can itself be written as





v ¼ v when þ v when i1 ¼0

v1 ¼0

or v ¼ va þ v b Thus, we conclude that the voltage across R2 in Figure 19.60 is actually equal to the sum of two voltages across R2 due to two independent sources in the circuit acting individually. The preceding result is in fact a direct consequence of the linearity property y ¼ a1 x1 þ a2 x2 þ    þ aN xN expressed in Equation 19.113. Note that, from this expression, we can write

a1 x1 ¼ y when x1 6¼0,x2 ¼0,x3 ¼0,...,xN ¼0 ,

a2 x2 ¼ y when x ¼0,x 6¼0,x ¼0,...,x ¼0 , 1

2

3

N

.. .

.. .

aN xN ¼ y when x1 ¼0,x2 ¼0,x3 ¼0,...,xN 6¼0 This means Equation 19.113 can be rewritten as

y ¼ y when x1 6¼0,x2 ¼0,x3 ¼0,...,xN ¼0

þ y when x ¼0,x 6¼0,x ¼0,...,x ¼0 1

.. .

2

.. .

3

N

þ y when x1 ¼0,x2 ¼0,x3 ¼0,...,xN 6¼0

Fundamentals of Circuits and Filters

19-46

The following theorem, known as the superposition theorem, is therefore directly implied from the previous expression: The voltage across any element (or current through any element) in a linear circuit may be calculated by adding algebraically the individual voltages across that element (or currents through that element) due to each independent source acting alone with all other independent sources deactivated. In this statement, the word deactivated is used to imply that the source is set to zero. In this context, we refer to a deactivated current source as one that is replaced by an open circuit and a deactivated voltage source as one that is replaced by a short circuit. Note that the action of deactivating a source refers only to independent sources. Example 19.2 illustrates how the superposition theorem can be used to solve for a variable in a circuit with more than one independent source.

Example 19.2 For the circuit shown in Figure 19.62, apply superposition to calculate the current I in the 4 V resistor.

Because we are interested in calculating I using the superposition theorem, we need to consider the two circuits shown in Figure 19.63a and b. The first is obtained by deactivating the current source and the second is obtained by deactivating the voltage source. Let Ia be the current in the 4 V resistor in the first circuit and Ib be the current in the same resistor in the second. Then, by superposition I ¼ Ia þ Ib l



+ 17 V



1A



FIGURE 19.62 Circuit for Example 19.2. La

Lb





+ 17 V –

(a)





1A

(b)

FIGURE 19.63 Circuit for Example 19.2 with (a) the current source deactivated and with (b) the voltage source deactivated.

Network Laws and Theorems

19-47

We can solve for Ia and Ib independently as follows. From Figure 19.63a: Ia ¼

17 A 7

and from Figure 19.63b, applying the current divider rule, 3 Ib ¼ 1  A 7 Thus, 17 3  7 7 ¼ 2A



19.2.2 Thévenin Theorem In the discussion on the superposition theorem, we interpreted Equation 19.112 for the voltage V in the circuit of Figure 19.60 as a superposition of two terms. Let us now examine a different interpretation of this expression. Suppose we factor the common term in expression (Equation 19.112), so that it can be written as (1 þ b)R2 R1 i1 v1 þ v¼ R1 þ (1 þ b)R2 1þb

(19:115a)

 R2 R1 v1 þ i1 v¼ ðR1 =(1 þ b)Þ þ R2 1þb

(19:115b)

or

Now, suppose we define v0 ¼ v1 þ

R1 i1 1þb

(19:116)

and R0 ¼

R1 1þb

(19:117)

Then, we can write Equation 19.112 in the simple form v¼

R2 v0 R0 þ R 2

(19:118)

This expression can be interpreted as a voltage divider equation for a two-resistor circuit as shown in Figure 19.64. This circuit has a voltage source v0 in series with two resistors R0 and R2. When this circuit

Fundamentals of Circuits and Filters

19-48

R0 =

R1 1+β +

v0 = v1 +

R1 i1 1+β

+ R2 –

V –

FIGURE 19.64 Voltage divider circuit representing Equation 19.118.

is compared with Figure 19.60, the combination of voltage source v0 in series with R0 can be interpreted as an equivalent replacement of all the elements in the circuit connected to R2. That is, we could remove that portion of the circuit of Figure 19.60 consisting of v1, R1, bix, and i1 and replace it with the voltage source v0 in series with the resistor R0. The fact that a portion of a circuit can be replaced by an equivalent circuit consisting of a voltage source in series with a resistor is actually a direct result of the linearity property, and hence is true for linear circuits in general. It is known as Thévenin’s theorem* and is stated as follows: Any portion of a linear circuit between two terminals a and b can be replaced by an equivalent circuit consisting of a voltage source Vth in series with a resistor Rth. The voltage Vth is determined as the open circuit voltage at terminals ab. The resistor Rth is equal to the input resistance at terminals ab with all the independent sources deactivated. The various steps involved in the derivation of the Thévenin equivalent circuit are illustrated in Figure 19.65. The Thévenin voltage vth is determined by solving for the voltage at terminals ab when open circuited, and the Thévenin resistance Rth is determined by calculating the input resistance of the circuit at terminals ab when all the independent sources have been deactivated. Examples 19.3 and 19.4 illustrate the application of this important theorem.

Example 19.3 For the circuit in Figure 19.66, determine the Thévenin equivalent of the portion of the circuit to the left of terminals ab; use it to calculate the current I in the 2 V resistor.

First, we determine Vth from the circuit of Figure 19.67a. Note that because terminals ab are open circuited the current in the branch containing the 2 V resistor and 9 V source is equal to 3 A in the direction shown. Applying KCL at the upper node of the 1 V resistor, we can calculate the current in this resistor to be 3 þ 2 ¼ 5 A as shown. Writing a KVL equation around the inner loop (counterclockwise at terminal b) we have Vth þ 9  (2  3)  (1  5) ¼ 0 which yields Vth ¼ 2 V * For an interesting, brief discussion on the history of Thévenin’s theorem, see an article by James E. Brittain, in IEEE Spectrum, p. 42, March 1990.

Network Laws and Theorems

19-49

a

Portion of circuit to be replaced by Thévenin equivalent

Remainder of circuit b

(a) Portion of circuit to be replaced by Thévenin equivalent with all independent sources deactivated

a

Portion of circuit to be replaced by Thévenin equivalent

+ Vth – b

(b)

a

Rth b

(c) Rth

Rth

a

a

+

+ Vth

Remainder of circuit

Vth –

– Thévenin equivalent circuit

b

b

(d)

(e)

FIGURE 19.65 Steps in determining the Thévenin equivalent circuit.



9V +



a I

2A

3A





b

FIGURE 19.66 Circuit for Example 19.3.

Now, for Rth the three sources are deactivated to obtain the circuit shown in Figure 19.67b. From this circuit, it is clear that Rth ¼ 3 V The circuit obtained by replacing the portion to the left of terminals ab with its Thévenin equivalent is shown in Figure 19.67c. The current I is now easily computed as I¼

2 ¼ 0:4 A 2þ3

Fundamentals of Circuits and Filters

19-50



+

9V

– +



a

a

3A 2A



Vth

3A

5A

– (a)

b



Rth

1Ω b

(b) a I

+ 2V

2Ω – b

(c)

FIGURE 19.67 (a) Calculation of Vth, (b) calculation of Rth, and (c) the equivalent circuit for Example 19.3.

Example 19.4 For the circuit shown in Figure 19.60, determine the Thévenin equivalent circuit for the portion of the circuit to the left of resistor R2.

In deriving Equation 19.118 from 19.110, we actually already determined the Thévenin equivalent for the portion of the circuit to the left of R2. This was shown in Figure 19.64. Of course, this procedure is not the most efficient way to determine the Thévenin equivalent. Let us now illustrate how the equivalent circuit is obtained using the procedure described in Thévenin’s theorem. First, we determine vth from the circuit of Figure 19.68a with R2 removed and terminals ab left open. Applying KCL at node a, we have ix þ bix þ i1 ¼ 0 or ix ¼ 

i1 1þb

Hence, vth ¼ v1  R1 ix R1 i1 ¼ v1 þ 1þb R1 + V1 –

R1

a +

ix βix

i1

ix

Vth –

+ βix v

i



b (a)

a

(b)

b

Rth =

FIGURE 19.68 Calculation of (a) Vth and (b) Rth for the circuit of Figure 19.60 (Example 19.4).

v i

Network Laws and Theorems

19-51

As for Rth, we need to consider the circuit shown in Figure 19.68b, in which the two independent sources were deactivated. Because of the presence of the dependent source bix, we determine Rth by exciting the circuit with an external source. Let us use a current source i for this purpose and determine the voltage v across it as shown in Figure 19.68b. We stress that i is an arbitrary and completely independent source and is in no way related to i1, which was deactivated. Applying KCL at node a, we have ix þ bix þ i ¼ 0 or ix ¼ 

1 i 1þb

Also, applying Ohm’s law to R1, v ¼ R1 ix or v¼

R1 i 1þb

Hence, Rth ¼ ¼

v i R1 1þb

Note that vth and Rth determined previously are the same as v0 and R0 of Equations 19.116 and 19.117.

19.2.3 Norton Theorem Instead of a voltage source in series with a resistor, it is possible to replace a portion of a circuit by an equivalent current source in parallel with a resistor. This result is formally known as Norton’s theorem and is stated as follows: Any portion of linear circuit between two terminals a and b can be replaced by an equivalent circuit consisting of a current source in in parallel with a resistor Rn. The current in is determined as the current that flows from a to b in a short circuit at terminals ab. The resistor Rn is equal to the input resistance at terminals ab with all the independent sources deactivated. As in the case of Thévenin’s, the preceding theorem provides a procedure for determining the ‘‘Norton’’ current source and ‘‘Norton’’ resistance in the Norton equivalent circuit. The various steps in this procedure are illustrated in Figure 19.69. The Norton current is determined by solving for the current in a short circuit at terminals ab and the Norton resistance is determined by calculating the input resistance to the circuit at terminals ab when all independent sources have been deactivated. The Norton’s equivalent of a portion of a circuit is, in effect, a nonideal current source representation of that portion. It should be noted that the procedure to determine Rn is exactly the same as that for Rth. In other words, Rn ¼ Rth

Fundamentals of Circuits and Filters

19-52

a

Portion of circuit to be replaced by Norton equivalent

Remainder of circuit b

(a)

Portion of circuit to be replaced by Norton equivalent with all independent sources deactivated

a

Portion of circuit to be replaced by Norton equivalent

in

b

Rn b

(c)

(b) a

in

a

a

in

Rn

Norton equivalent circuit

Remainder of circuit

Rn

b

b

(d)

(e)

FIGURE 19.69 Steps in determining the Norton equivalent circuit.

Also, if we compare Thévenin’s and Norton’s equivalent circuits, we see that these are indeed related by the voltage–current source transformation rule discussed earlier in this chapter. Each circuit is a source transformation of the other. For this reason, the Thévenin and Norton equivalent circuits are often referred to as dual circuits, and the two resistance Rth and Rn are frequently referred to as the source resistance and denoted by Rs. Clearly, vth and in are related by vth ¼ Rs in

Example 19.5 For the circuit shown in Figure 19.70, determine the Norton equivalent circuit at terminals ab.

We determine Norton’s current In by placing a short circuit between a and b, as shown in Figure 19.71a, and solving for the current in it with a reference direction going from a to b. For this circuit, we could use the mesh equation method. In matrix form, the mesh equations are 

8 4

     10 4 I  1 ¼ I2 5 7

Network Laws and Theorems

19-53 4Ω



+

a



15 V + – –

5V b

FIGURE 19.70 Circuit for Example 19.5.

and the solution for the mesh currents is 

I1 I2

 ¼

 1 7 56  16 4

   4 10  8 5

From this, we extract In as In ¼ I2 ¼

40 þ 40 ¼2A 40

Norton’s resistance Rn is determined by deactivating the two voltage sources, as shown in Figure 19.71b, and calculating the input resistance at terminals ab. Clearly, Rn ¼ (4 k 4) þ 3 ¼ 5 V Thus, the Norton equivalent for the circuit of Figure 19.70 is shown in Figure 19.71c.





15 V



I1



a

In



+



a

I2

+

Rn



5V – b

(a)

b

(b) a

2A

(c)



b

FIGURE 19.71 (a) Calculation of In, (b) calculation of Rn and (c) Norton’s equivalent for the circuit of Example 19.5.

Fundamentals of Circuits and Filters

19-54

Example 19.6 For the circuit shown in Figure 19.72 determine the Norton equivalent circuit at terminals ab and use it to calculate the current and power dissipated in R.

With a short circuit placed at terminals ab, as shown in Figure 19.73a, the voltage Vx ¼ 0. Hence, the dependent source in this circuit is equal to zero. This means that the 8 A current source has the 9 and 3 V resistors in parallel across it, and In is the current in the 3 V resistor. Using the current divider rule we have In ¼ 8

9 ¼6A 12

Now, deactivating the independent source to determine Rn, we excite the circuit with a voltage source V at terminals ab. Let I be the current in this source as shown in Figure 19.73b. Applying KCL at node a yields the current in the 3 V resistor to be I  (V=4) from right to left. Applying KVL around the outer loop and making use of the fact that in this circuit Vx ¼ V, we get

+

2Vx





a

I

+ 8A

Vx



R



– b

FIGURE 19.72 Circuit for Example 19.6.

+

2Vx = 0





a In

+ 8A

Vx = 0





– (a)

+



2Vx

b –

I

3Ω V l– 4

+ Vx –

(b)

FIGURE 19.73

V 4

a

a

I

+





V

6A

R



b (c)

b

(a) Calculation of In, (b) calculation of Rn, and (c) Norton’s equivalent for the circuit of Example 19.6.

Network Laws and Theorems

19-55



V V 3 I 4



 V þ 2V  9 I  ¼0 4

Solution of this equation yields Rn ¼

V ¼2V I

The Norton equivalent of the portion of the circuit to the left of terminals ab, connected to the resistor R is shown in Figure 19.73c. Applying the current divider rule gives 2 2þR 12 A ¼ 2þR

I¼6

and the power dissipated in R is P ¼ RI 2 144R P¼ W (2 þ R)2

(19:119)

19.2.4 Maximum Power Transfer Theorem In Example 19.6, we replaced the entire circuit connected to the resistor R at terminals ab by its Norton equivalent in order to calculate the power P dissipated in R. Because R did not have a fixed value, we determined an expression for P in terms of R. Suppose we are now interested in examining how P varies as a function of R. A plot of P versus R as given by Equation 19.119 is given in Figure 19.74. The first noticeable characteristic of this plot is that it has a maximum. Naturally, we would be interested in the value of R that results in maximum power delivered to it. This information is directly available from the plot in Figure 19.74. To maximize P the value of R should be 2 V and the maximum power is Pmax ¼ 18 W. That is, 18 W is the most that this circuit can deliver at terminals ab, and that occurs when R ¼ 2 V. Any other value of R will result in less power delivered to it. The problem of finding the value of a load resistor RL such that maximum power is delivered to it is obviously an important circuit design problem. Because it is possible to reduce any linear circuit connected to RL into either its Thévenin or Norton equivalent, as illustrated in Figure 19.75, the problem becomes quite simple. We need to consider only either the circuit of Figure 19.75b or that of Figure 19.75c. Let us first consider the circuit that uses the Thévenin equivalent. In this case, the power P delivered to RL is given by  P¼

vth Rth þ RL

2 RL

(19:120)

In general, we may not always be able to plot P vs. RL, as we did earlier, therefore, we need to maximize P mathematically. We do this by solving the necessary condition dP ¼0 dRL

(19:121)

Fundamentals of Circuits and Filters

19-56 20.0 18.0

P

15.0

10.0

5.0

0.0 0.0

2.0

5.0

10.0

15.0

R

FIGURE 19.74 Plot of P vs. R for the circuit of Example 19.6.

a Remainder of circuit

RL

b (a) Rth

a

a iL

+ Vth

RL

in

iL RL

Rn

– (b)

b

(c)

b

FIGURE 19.75 (a) A load resistance RL in a circuit. (b) RL with the remainder of the circuit reduced to a Thévenin equivalent. (c) RL with the remainder of the circuit reduced to a Norton equivalent.

Network Laws and Theorems

19-57

for RL. To guarantee that RL maximizes P, it must also satisfy the sufficiency condition

d 2 P

m, then there are m self-zeros and n self-poles. There are also n  m mutual zeros. Thus, there are n poles and m þ (n  m) ¼ n zeros. A similar statement can be constructed for n < m.

Frequency-Domain Methods

24-11

4. Complex roots of P(s) and Q(s) occur in conjugate pairs. This property follows from the fact that the coefficients of the numerator and denominator polynomials are real. Thus, complex factors of these polynomials have the form (s þ c þ jd)(s þ c  jd) ¼ [(s þ c)2 þ d2 ]

(24:25)

where c and d are real constants. 5. A driving point function of a network having no dependent sources can have neither poles nor zeros in the right-half s-plane (RHP), and poles and zeros on the imaginary axis must be simple. The same restrictions apply to the poles of transfer network functions of such networks but not to the zeros of transfer network functions. Elsewhere in this handbook it is shown that the denominator polynomials of LLFT networks having no dependent sources cannot have RHP roots, and roots on the imaginary axis, if any, must be simple. However, the reciprocal of a driving-point network function is also a network function. For example, 1=Y22 ¼ Z22. Thus, restrictions on the locations of poles of driving-point network functions also apply to zeros of driving-point network functions. However, the reciprocal of a transfer network function is not a network function (see [5]). For 6 Z21. Thus, restrictions on the poles of a transfer function do not apply to its zeros. example, 1=Y21 ¼ We can make a classification of the factors corresponding to the allowed types of poles as follows: The Type A factor corresponds to a pole on the s-axis. If a ¼ 0, then the factor corresponds to a pole on the imaginary axis, and so only one such factor is allowed. Type B factors correspond to poles in the left-half s-plane (LHP), and Type C factors correspond to poles on the imaginary axis. 6. The coefficients of the numerator and denominator polynomials of a driving-point network function of an LLFT network with no dependent sources are positive. The coefficients of the denominator polynomial of a transfer network function are all one sign. Without loss of generality, we take the sign to be positive. But some or all of the coefficients of the numerator polynomial of a transfer network function may be negative. A polynomial made up of the factors listed in Table 24.3 would have the form:

Q(s) ¼ (s þ a1 )    (s þ b1 )2 þ c21    s2 þ d12    Note that all the constants are positive in the expression for Q(s), and so it is impossible for any of the coefficients of Q(s) to be negative. 7. There are no missing powers of s in the numerator and denominator polynomials of a drivingpoint network function of an LLFT network with no dependent sources unless all even or all odd powers of s are missing or the constant term is missing. This statement holds for the denominator polynomials of transfer functions of such networks, but there may be missing powers of s in the numerator polynomials of transfer functions. Property 7 is easily illustrated by combining types of factors from Table 24.3. Thus, a polynomial consisting only of type A factors contains all powers of s between the highest power and the constant term unless one of the ‘‘a’’ constants is zero. Then, the constant term is missing. Two a TABLE 24.3 A Classification of Factors of Network Functions of LLFT Networks Containing No Dependent Sources Type

Factor(s)

Conditions

A B

(s þ a) (s þ b þ jc)(s þ b  jc)

a0 b > 0, c > 0

C

(s þ jd)(s  jd)

d>0

24-12

Fundamentals of Circuits and Filters

constants cannot be zero because then there would be two roots on the imaginary axis at the same location. The roots on the imaginary axis would not be simple. A polynomial made up of only type B factors contains all powers of s, and a polynomial containing only type C factors contains only even powers of s. A polynomial constructed from type C factors except for one A type factor with a ¼ 0 contains only odd powers of s. If a polynomial is constructed from type B and C factors, then it contains all power of s. 8. The orders of the numerator and denominator polynomials of a driving-point network function of an LLFT network, which contains no dependent sources can differ by no more than one. The limiting behavior at high frequency must be that of an inductor, a resistor, or a capacitor. That is, if Ndp(s) is a driving-point network function, then 8 < K1 s lim Ndp (s) ¼ K2 s!1 : K3 =s where Ki, ¼ 1, 2, 3, are real constants. 9. The terms of lowest order in the numerator and denominator polynomials of a driving-point network function of an LLFT network containing no dependent sources can differ in order by no more than one. The limiting behavior at low frequency must be that of an inductor, a resistor, or a capacitor. That is, 8 < K4 s lim Ndp (s) ¼ K5 s!0 : K6 =s where the constants Ki, i ¼ 4, 5, 6, are real constants. 10. The maximum order of the numerator polynomials of the dimensionless transfer functions G12, G21, a12, and a21, of an LLFT network containing no dependent sources is equal to the order of the denominator polynomials. The maximum order of the numerator polynomial of the transfer functions Y12, Y21, Z12, and Z21 is equal to the order of the denominator polynomial plus 1. However, the minimum order of the numerator polynomial of any transfer function may be zero. If dependent sources are included in an LLFT network, then it is possible for the network to have poles in the RHP or multiple poles at locations on the imaginary axis. However, an important application of stable networks containing dependent sources is to mimic (simulate) the behavior of LLFT networks that contain no dependent sources. For example, networks that contain resistors, capacitors, and dependent sources can mimic the behavior of networks containing only resistors, capacitors, and inductors. Thus, low-frequency filters can be constructed without the need for heavy, expensive inductors that would ordinarily be required in such applications.

24.2 Network Theorems In this section, we provide techniques, strategies, equivalences, and theorems for simplifying the analysis of LLFT networks or for checking the results of an analysis. They can save much work in the analysis of some networks if one remembers to apply them. Thus, it is convenient to have them listed in one place. To begin, we list nine equivalences that are often called source transformations.

24.2.1 Source Transformations Table 24.4 is a collection of memory aids for the nine source transformations. Source transformations are simple ways the elements and sources externally connected to a network N can be combined or

Frequency-Domain Methods Source Transformations

TABLE 24.4 + V1 –

N

+ – V 2

24-13

V1 + V2

+ –

V1

N

V1 = V2

+ V2 –

+ –

N

2.

1.

I1

I 1 + I2 N

N

N I1

I1 = I2

I2 3.

+ –

N

I2

4. Is

Vs

N

+ –

I1

I1 N

M1

Vs

+ –

M2

N

+ Vs –

5.

+

+ N

V1

V1 I1

I1 –

N



6. I1 V1

Z

+ –

N

I1

V1 Z

N

Z

7.

Z2 V1

I1

Z1

Is + –

I2 N V1

+ –

+ –

Z2

N

V1

I1 + I2 = Is

8. Z1 I1

Z1

Z2

I1

Z1

I1

Z2

N

N

9. N is an arbitrary network in which analysis for a voltage or current is to be performed. M1 is an arbitrary one-port network or network element except a voltage source. M2 is an arbitrary one-port network or network element except a current source. It is assumed there is no magnetic coupling between N and M1 or M2. There are no dependent sources in N in source transformation five that depend on Is. Furthermore, there are no dependent sources in N in source transformation six that depend on Vs. However, M1 and M2 can have dependent sources that depend on voltages or currents in N. Z, Z1 and Z2 are one-port impedances.

24-14

Fundamentals of Circuits and Filters

eliminated without changing the voltages and currents within network N thereby simplifying the problem of finding a voltage or current within N. Source transformation one in Table 24.4 shows the equivalence between two voltage sources connected in series and a single voltage source having a value that is the sum of the voltages of the two sources. A double-headed arrow is shown between the two network representations because it is sometimes advantageous to use this source transformation in reverse. For example, if a voltage source that has both DC and AC components is applied to a linear network N, it may be useful to represent that voltage source as two voltage sources in series—one a DC source and the other an AC source. Source transformation two shows two voltage sources connected in parallel. Unless V1 and V2 are equal, the network would not obey Kirchhoff’s law as evidenced by a loop equation written in the loop formed by the two voltage sources. A network that does not obey Kirchhoff’s laws is termed a contradiction. Thus, a single-headed arrow is shown between the two network representations. Source transformations three and four are duals, respectively, of source transformations two and one. The current sources must be equal in transformation three or else Kirchhoff’s law would not be valid at the node indicated, and the circuit would be a contradiction. Source transformation five shows that the circuit M1 can be removed without altering any of the voltages and currents inside N. Whether M1 is connected as shown or is removed, the voltage applied to N remains Vs. However, the current supplied by the source Vs changes from Is to I1. Source transformation six shows that circuit M2 can be replaced by a short circuit without affecting voltages and currents in N. Whether M2 is in series with the current source I1 as shown or removed, the current applied to N is the same. However, if network M2 is removed, then the voltage across the current source changes from Vs to V1. Source transformation seven is sometimes termed a Thévenin circuit to Norton circuit transformation. This transformation, as shown by the double-headed arrow, can be used in the reverse direction. Thévenin’s theorem is discussed thoroughly later in this section. Source transformation eight is sometimes described as ‘‘pushing a voltage source through a node,’’ but we will term it as ‘‘splitting a voltage source.’’ Loop equations remain the same with this transformation, and the current leaving network N through the lowest wire continues to be Is. Source transformation nine shows that if a current source is not in parallel with one element, then it can be ‘‘split’’ as shown. Now, each one of the current sources I1 has an impedance in parallel. Thus, analysis of network N may be simplified because source transformation seven can be applied. Source transformations cannot be applied to all networks, but when they can be employed, they usually yield useful simplifications of the network.

Example 24.2 Use source transformations to find V0 for the network shown in Figure 24.12. Initial current through the inductor in the network is zero.

Solution The network can be readily simplified by employing source transformation five from Table 24.4 to eliminate R1 and also I2. Then, source transformation six can be used to eliminate V1 because it is an element in series with a current source. The results to this point are illustrated in Figure 24.13a. If we then apply transformation seven, we obtain the network in Figure 24.13b. Next, we can apply transformation

Frequency-Domain Methods

V1

24-15

+

+ –

R1

R2

L

Vo I1

V2

+ –

I2 –

FIGURE 24.12 Network for Example 24.2.

L I1 V2

+ –

(a)

R2

+ Vo

V2 sL

+ R2

L

Vo

I1



– (b)

+ I1 +

V2

sL R2

sL

sL + R2

Vo

– (c)

FIGURE 24.13 Application of source transformation six to eliminate V1 as illustrated in (a), then applying transformation seven to obtain the network shown in (b), and finally applying transformation four to obtain the single loop network shown in (c).

four to obtain the single loop network in Figure 24.13c. The output voltage can be written in the frequency domain as    V2 sLR2 Vo ¼ I1 þ sL sL þ R2 Source transformations can often be used advantageously with the following theorems.

24.2.2 Dividers Current dividers and voltage dividers are circuits that are employed frequently, especially in the design of electronic circuits. Thus, dividers must be analyzed quickly. The relationships derived next satisfy this need. Figure 24.14 is a current divider circuit. The source current Is divides between the two impedances, and we wish to determine the current through Z2. Writing a loop equation for the loop indicated, we have I2 Z2  (Is  I2 )Z1 ¼ 0

(24:26)

Fundamentals of Circuits and Filters

24-16

I2

Is

Z1

Z2

FIGURE 24.14 Current divider.

A

Z1

V1

Z2 + Vo –

+ –

+ –

V2

FIGURE 24.15 Enhanced voltage divider.

V s

Z1

+ Z2

VA

+ – VB

– +

Vo



FIGURE 24.16 Circuit for Example 24.3.

from which we obtain I2 ¼ Is

Z1 Z1 þ Z2

(24:27)

A circuit that we term an enhanced voltage divider is depicted in Figure 24.15. This circuit contains two voltage sources instead of the usual single source, but the enhanced voltage divider occurs more often in electronic circuits. Writing a node equation at node A and solving for Vo, we obtain Vo ¼

V1 Z2 þ V2 Z1 Z1 þ Z2

(24:28)

If V2, for example, is zero, then the results from the enhanced voltage divider reduce to those of the single source voltage divider.

Example 24.3 Use Equation 24.28 to find Vo for the network in Figure 24.16.

Frequency-Domain Methods

24-17

Solution The network in Figure 24.16 matches with the network used to derive Equation 24.28 even though it is drawn somewhat differently and has three voltage sources instead of two. However, we can use Equation 24.28 to write the answer for Vo by inspection. Vo ¼

(VA  (V=s))Zz  VB Z1 Z1 þ Z2

The following example illustrates the use of source transformations together with the voltage divider.

Example 24.4 Find Vo for the network shown in Figure 24.17. The units of K, the coefficient of the dependent source, are ohms, and the capacitor is initially uncharged.

Solution We note that the dependent voltage source is not in series with any one particular element and that the independent current source is not in parallel with any one particular element. However, we can split both the voltage source and the current source using source transformations eight and nine, respectively, from Table 24.4. Then, employing transformations five and seven, we obtain the network configuration depicted in Figure 24.18, for which we can use the voltage divider to write Vo ¼

I(K þ R1 )R2 þ KI(R1 þ (1=sC)) R1 þ R2 þ (1=sC)

C + R1

R2 +

I

KI



Vo –

FIGURE 24.17 Network for Example 24.4. + C R2

R1

IR1

+ –

KI

+ –

VO KI

+ –



FIGURE 24.18 Results after employing source transformations on the network in Figure 24.17.

Fundamentals of Circuits and Filters

24-18

It should be mentioned that the method used to find V0 in this example is not the most efficient one. For example, loops can be chosen for the network in Figure 24.17, so only one unknown loop is current. However, source transformations and dividers become more powerful analysis tools as they are coupled with additional network theorems.

24.2.3 Superposition Superposition is a property of all linear networks, and whether it is used directly in the analysis of a network or not, it is a concept that is valuable in thinking about LLFT networks. Consider the LLFT network shown in Figure 24.19 in which, say, we wish to solve for I1. Assume the network has n independent loops, and, for simplicity, assume no sources are within the box in the figure and that initial voltages across capacitors and initial currents through inductors are zero or are represented by independent sources external to the box. Note that one dependent source is shown in Figure 24.19 that depends on a voltage Vx in the network and that two independent sources, V1 and V2, are applied to the network. If loops are chosen so that each source has only one loop current flowing through it as indicated in Figure 24.19, then the loop equations can be written as 2

3 V1 2 z11 6 V2 7 6 7 6 6 KVx 7 6 z21 6 7 6 0 7¼6 6 . 7 4 4 .. 5 zn1 0

z12 z22  zn2

  .. . 

32 3 z1n I1 7 6 z2n 76 I2 7 7 76 .. 7    54 . 5

(24:29)

In

znn

where the elements of the loop impedance matrix are defined in the section describing network functions. Solving for I1 using Cramer’s rule, we have I1 ¼ V1

D11 D21 D31 þ V2 þ KVx D D D

(24:30)

where D is the determinant of the loop impedance matrix Dj1, j ¼ 1, 2, 3, are the cofactors The expression for I1 given in Equation 24.30 is an intermediate and not a finished solution. The finished solution would express I1 in terms of the independent sources and the parameters (Rs, Ls, Cs, Ms, and Ks) of the network and not in terms of an unknown Vx. Thus, one normally has to eliminate Vx from the

V1

+ –

I1

LLFT network KVx

+ –

I2

+ –

I3

FIGURE 24.19 LLFT network with three voltage sources, of which one is dependent.

V2

Frequency-Domain Methods

24-19

expression for I1; but the intermediate expression for I1 illustrates superposition. There are three components that add up to I1 in Equation 24.30—one for each source including one for the dependent source. Furthermore, we see that each source is multiplied by a transadmittance (or a driving-point admittance in the case of V1). Thus, we can write I1 ¼ V1 Y11 þ V2 Y12 þ KVx Y13

(24:31)

where each admittance is found from the port at which a voltage source (whether independent or dependent) is applied. The response variable for each of these admittances is I1 at port 1. The simple derivation that led to Equation 24.30 is easily extended to both types of independent excitations (voltage sources and current sources) and to all four types of dependent sources. The generalization of Equation 24.30 leads to the conclusion: To apply superposition in the analysis of a network containing at least one independent source and a variety of other sources, dependent or independent, one finds the contribution to the response from each source in turn with all other source, dependent or independent, properly removed and then adds the individual contributions to obtain the total response. No distinction is made between independent and dependent sources in the application of superposition other than requiring the network to have at least one independent source. However, if dependent sources are present in the network, the quantities (call them Vx and Ix) on which the dependent sources depend must often be eliminated from the answer by additional analysis if the answer is to be useful unless Vx or Ix are themselves the variables of independent sources or the quantities sought in the analysis. Some examples will illustrate the procedure.

Example 24.5 Find V0 for the circuit shown using superposition. In this circuit, only independent sources are present.

Solution Two sources in the network, therefore, we abstract two fictitious networks from Figure 24.20. The first is shown in Figure 24.21a and is obtained by properly removing the current source I1 from the original network. The impedance of the capacitor can then be combined in parallel with R1 þ R2, and the contribution to Vo from V1 can be found using a voltage divider. The result is Vo due to V1 ¼ V1

s þ C(R11þR2 )

R1 þR2 þR3 s þ C(R 1 þR2 )R3

C

V1

+

R1



+ R2

I1

R3

Vo



FIGURE 24.20 Network for Example 24.5.

Fundamentals of Circuits and Filters

24-20

C V1

+ –

R1

C

+ R2

R3

R1

Vo due to V1

(a)

I1

(b)



+ R2

R3

Vo due to I1 –

+ R2

R1

+ I1R1 –

R3

C

(c)

Vo due to I1 –

FIGURE 24.21 (a) Network obtained by properly removing the current source I1 from the original network. (b) Network obtained from the original network by properly removing the voltage source V1. (c) Final network obtained by redrawing the circuit and employing source transformation seven (in reverse).

The second fictitious network, shown in Figure 24.21b, is obtained from the original network by properly removing the voltage source V1. Redrawing the circuit and employing source transformation seven (in reverse) yields the circuit in Figure 24.21c. Again, employing a voltage divider, we have Vo due to I1 ¼ I1

s

R1 C(R1 þR2 ) R1 þR2 þR3 þ C(R 1 þR2 )R3

Then, adding the two contributions, we obtain Vo ¼

h i 1 V1 s þ C(R11þR2 ) þ I1 C(RR1 þR 2) R1 þR2 þR3 s þ C(R 1 þR2 )R3

The next example includes a dependent source.

Example 24.6 Find i in the network shown in Figure 24.22 using superposition.

Solution Since there are two sources, we abstract two fictitious networks from Figure 24.22. The first one is shown in Figure 24.23a and is obtained by properly removing the dependent current source. Thus, i due to v1 ¼ i

v1 R1 þ R2 βi

R1 V1

+ –

FIGURE 24.22 Network for Example 24.6.

R2

R3

Frequency-Domain Methods

24-21 i due to βi

i due to v1

V1

+ –

R1

βi

R1 R2

R3

R2

V1

(a)

R3

(b)

FIGURE 24.23 (a) Network obtained by properly removing the dependent current source. (b) Network obtained by properly removing the voltage source V1.

Next, voltage source v1 is properly removed yielding the fictitious network in Figure 24.23b. An important question immediately arises about this network. Namely, why is not i in this network zero? The reason i is not zero is that the network in Figure 24.23b is merely an abstracted network that concerns a step in the analysis of the original circuit. It is an artifice in the application of superposition, and the dependent source is considered to be independent for this step. Thus, i due to bi ¼ 

biR2 R1 þ R2

Adding the two contributions, we obtain the intermediate result i¼

v1 biR2  R1 þ R2 R1 þ R2

Collecting the terms containing i, we obtain the finished solution for i: i¼

v1 (b þ 1)R2 þ R1

We note that the finished solution depends only on the independent source v1 and parameters of the network, which are R1, R2, and b.

The following example involves a network in which a dependent source depends on a voltage that is neither the voltage of an independent source nor the voltage being sought in the analysis.

Example 24.7 Find Vo using superposition for the network shown in Figure 24.24. Note that K, the coefficient of the VCCS, has the units of siemens.

+

Vx



V1

+ –

+

R2

R1 KVx

C

Vo –

FIGURE 24.24 Network for Example 24.7.

Fundamentals of Circuits and Filters

24-22

Solution When the dependent current source is properly removed, the network reduces to a simple voltage divider, and the contribution to Vo due to V1 can be written as Vo due to V1 ¼ V1

1 sC(R1 þ R2 ) þ 1

Then, reinserting the current source and properly removing the voltage source, we obtain the fictitious network shown in Figure 24.25. Using the current divider to obtain the current flowing through the capacitor and then multiplying this current by the impedance of the capacitor, we have

Vo due to KVx ¼

KVx R1 sC(R1 þ R2 ) þ 1

Adding the individual contributions to form Vo provides the equation Vo ¼

V1 þ KVx R1 sC(R1 þ R2 ) þ 1

This is a valid expression for Vo. It is not a finished expression however, because it includes Vx, an unknown voltage. Superposition has taken us to this point in the analysis, but more work must be done to eliminate Vx. However, superposition can be applied again to solve for Vx, or other analysis tools can be used. The results for Vx are Vx ¼

V1 sCR1 sC[R1 þ R2 þ R1 R2 K] þ R1 K þ 1

Then, eliminating Vx from the equation for Vo, we obtain the finished solution as Vo ¼ V1

R1 K þ 1 sC[R1 þ R2 þ R1 R2 K] þ R1 K þ 1

Clearly, superposition is not the most efficient technique to use to analyze the network in Figure 24.24. Analysis based on a node equation written at the top end of the current source would yield a finished result for Vo with less algebra. However, this example does illustrate the application of superposition when a dependent source depends on a rather arbitrary voltage in the network.

+ R2

R1

KVx

C

Vo



FIGURE 24.25 Fictitious network obtained when the voltage source is properly removed in Figure 24.24.

Frequency-Domain Methods

24-23

If the dependent current source in the previous example depended on V1 instead of on the voltage across R1, the network would be a different network. This is illustrated by the next example.

Example 24.8 Use superposition to determine Vo for the circuit in Figure 24.26.

Solution If the current source is properly removed, the results are the same as for the previous example. Thus, V1 sC(R1 þ R2 ) þ 1

Vo due to V1 ¼

Then, if the current source is reinserted, and the voltage source is properly removed, we have the circuit depicted in Figure 24.27. A question that can be asked for this circuit is why include the dependent source KV1 if the voltage on which it depends, namely V1, has been set to zero? However, the network shown in Figure 24.27 is merely a fictitious network that serves as an aid in the application of superposition, and superposition deals with all sources, whether they are dependent or independent, as if they were independent. Thus, we can write Vo due to KV1 ¼

KV1 R1 sC(R1 þ R2 ) þ 1

Adding the contributions to form Vo, we obtain Vo ¼ V1

KR1 þ 1 sC(R1 þ R2 ) þ 1

and this is the finished solution.

+ R1 V1

+ –

R2 C

KV1

Vo –

FIGURE 24.26 Network for Example 24.8.

+ R2

R1

KV1

C

Vo due to KV1 –

FIGURE 24.27 Step in the application of superposition to the network in Figure 24.26.

Fundamentals of Circuits and Filters

24-24

In this example, we did not have the task of eliminating an unknown quantity from an intermediate result for Vo because the dependent source depended on an independent source V1, which is assumed to be known.

Superposition is often useful in the analysis of circuits having only independent sources, but it is especially useful in the analysis of some circuits having both independent and dependent sources because it deals with all sources as if they were independent.

24.2.4 Thévenin’s Theorem Thévenin’s theorem is useful in reducing the complexity of a network so that analysis of the network for a particular voltage or current can be performed more easily. For example, consider Figure 24.28a, which is composed of two subnetworks A and B that have only two nodes in common. In order to facilitate analysis in subnetwork B, it is convenient to reduce subnetwork A to the network in Figure 24.28b which is termed the Thévenin equivalent of subnetwork A. The requirement on the Thévenin’s equivalent network is that, when it replaces subnetwork A, the voltages and currents in subnetwork B remain unchanged. We assume that no inductive coupling occurs between the subnetworks, and that dependent sources in B are not dependent on voltages or currents in A. We also assume that subnetwork A is an LLFT network, but subnetwork B does not have to meet this assumption. To find the Thévenin equivalent network, we need only determine VTH and ZTH. VTH is found by unhooking B from A and finding the voltage that appears across the terminals of A. In other words, we abstract a fictitious network from the complete network as depicted in Figure 24.29a, and find the voltage that appears between the terminals that were common to B. This voltage is VTH. ZTH is also obtained from a fictitious network that is created from the fictitious network used for finding VTH by properly removing all independent sources. The effects that dependent sources have on the procedure are discussed later in this section. The fictitious network used for finding ZTH is depicted in Figure 24.29b. Oftentimes, the expression for ZTH cannot be found by mere inspection of this network, and, therefore, we must excite the network in Figure 24.29b by a voltage source or a current source and find an expression for the other variable at the port in order to find ZTH.

ZTH

1 Network A

2

Network B

(a)

+ VTH –

1 Network B

2

(b)

FIGURE 24.28 (a) Two subnetworks having a common pair of terminals. (b) The Thévenin equivalent for subnetwork A.

1 Network A

(a)

2

+ VTH –

Network A (Independent sources removed)

1

+ ZTH

2

(b)

FIGURE 24.29 (a) Network used for finding VTH. (b) Network used for obtaining ZTH.



Frequency-Domain Methods

24-25

Example 24.9 Find the Thévenin equivalent of subnetwork A in Figure 24.30.

Solution No dependent sources exist in subnetwork A, but the capacitor has an initial voltage V across it. However, the charged capacitor can be represented by an uncharged capacitor in series with a transformed voltage source V=s. The fictitious network used for finding VTH is given in Figure 24.31a. It should be noted that although subnetwork B has been removed and the two terminals that were connected to B are now ‘‘open circuited’’ in Figure 24.31a, current is still flowing in network A. VTH is easily obtained using a voltage divider: VTH ¼

V1 (s) þ VCR sCR þ 1

ZTH is obtained from the fictitious network in Figure 24.31b, which is obtained by properly removing the independent source and the voltage representing the initial voltage across the capacitor in Figure 24.31a. We see by inspection that ZTH ¼ R=(sCR þ 1). Thus, if subnetwork A is removed from Figure 24.30 and replaced by the Thévenin equivalent network, the voltages and currents in subnetwork B remain unchanged. It is assumed that B in Figure 24.28 has no dependent sources that depend on voltages or currents in A, although dependent sources in B can depend on voltages and currents in B. However, A can have dependent sources, and these dependent sources create a modification in the procedure for finding the Thévenin equivalent network. There may be dependent sources in A that depend on voltages and currents that also exist in A. We call these dependent sources Case I-dependent sources. There may

1

A R

+ C V + –

V1

B –

2

FIGURE 24.30 Network for Example 24.9.

1

1 R V1

(a)

C + –

V s

+ VTH

R

ZTH

C

– 2

(b)

FIGURE 24.31 (a) Network of finding VTH. (b) Network that yields ZTH.

2

Fundamentals of Circuits and Filters

24-26

also be dependent sources in A that depend on voltages and currents in B, and we label these sources as Case II-dependent sources. Then, the procedure for finding the Thévenin equivalent network is: VTH is the voltage across the terminals of Figure 24.29a. The voltages and currents that Case I-dependent sources depend on must be eliminated from the expression for VTH unless they happen to be the voltages of independent voltage sources or the currents of independent current sources in A. Otherwise, the expression for VTH would not be a finished solution. However, Case II-dependent sources are handled as if they were independent sources. That is, Case II-dependent sources are included in the results for VTH just as independent sources would be. ZTH is the impedance looking into the terminals in Figure 24.29b. In this fictitious network, independent sources are properly removed and Case II-dependent sources are properly removed. Case Idependent sources remain in the network and influence the result for the Thévenin impedance. The finished solution for ZTH is a function only of the parameters of the network in Figure 24.29b which are Rs, Ls, Cs, Ms (there may be inductive coupling between coils in this network), and the coefficients of the Case I-dependent sources. Thus, Case II-dependent sources, sources that depend on voltages or currents in subnetwork B, are uniformly treated as if they were independent sources in finding the Thévenin equivalent network. Some examples will clarify the issue.

Example 24.10 Find the Thévenin equivalent network for subnetwork A in Figure 24.32. Assume the initial current through the inductor is zero.

Solution There is one independent source and one Case I-dependent source. Figure 24.33a depicts the fictitious network to be analyzed to obtain VTH. No current is flowing through R2 in this figure, therefore, we can write VTH ¼ V1  Vx. To eliminate Vx from our intermediate expression for VTH, we can use the results of the enhanced voltage divider to write VTH ¼

V1 R1 þ sKL(V1  VTH ) R1 þ sL

The finished solution for VTH is VTH ¼ V1

sKL þ R1 (K þ 1)sL þ R1

ZTH is obtained from Figure 24.33b where a current source excitation is shown already applied to the fictitious network. Two node equations, with unknown node voltages V and Vx, enable us to obtain I in

A – Vx

L

R2

R1

1 Network B

+ V1

+ –

+ –

KVx

FIGURE 24.32 Network for Example 24.10.

2

Frequency-Domain Methods

– Vx + V1

L

24-27

R2

R1 + –

+ –

+ VTH

KVx

– Vx +

+ –



(a)

R2

R1

L

+ V

KVx

I



(b)

FIGURE 24.33 (a) Abstracted network for finding VTH. (b) Abstracted network for finding ZTH.

terms of V while eliminating Vx. We also note that ZTH consists of resistor R2 in series with some unknown impedance, so we could remove R2 (replaced it by a short) if we remember to add it back later. The finished result for ZTH is ZTH ¼ R2 þ

sLR1 (K þ 1)sL þ R1

The following example involves a network having a Case II-dependent source.

Example 24.11 Find the Thévenin equivalent network for subnetwork A in the network illustrated in Figure 24.34. In this instance, subnetwork B is outlined explicitly.

Solution Subnetwork A contains one independent source and one Case II-dependent source. Figure 24.35a is the abstracted network for finding VTH. Thus, VTH ¼ V1 þ KIR1

Then, both V1 and the dependent source KI are deleted from Figure 24.35a to obtain Figure 24.35b, the network used for finding ZTH. Thus, ZTH ¼ R1. Of course, the subnetwork for which the Thévenin equivalent is being determined may have both Case I- and Case II-dependent sources, but these sources can be handled concurrently using the procedures given previously.

C

A R1

R2

R3 I

KI V1

B

+ –

FIGURE 24.34 Network for Example 24.11.

R4

L V2

+ –

Fundamentals of Circuits and Filters

24-28

KI V1

+

R1

ZTH

R1

VTH

+ –



(a)

(b)

FIGURE 24.35 (a) Network used to find VTH. (b) Network for finding ZTH.

Special conditions can arise in the application of Thévenin’s theorem. One condition is ZTH ¼ 0 and the other is VTH ¼ 0. The conditions for which ZTH is zero are 1. If the circuit (subnetwork A) for which the Thévenin equivalent is being determined has an independent voltage source connected between terminals 1 and 2, then ZTH ¼ 0. Figure 24.36a illustrates this case. 2. If subnetwork A has a dependent voltage source connected between terminals 1 and 2, then ZTH is zero provided neither of the port variables associated with the port formed by terminals 1 and 2 is coupled back into the network. Figure 24.36b is a subnetwork A for which ZTH is zero. However, Figure 24.36c depicts a subnetwork A in which the port variable I is coupled back into A by the dependent source K1I. If I is considered to be a variable of subnetwork A so that K1I is a Case I-dependent source, then ZTH is not zero. 1

A R2 R1

I1

+ V1 –

B

C

2 (a) + VX –

A

1

R2 R1

I1

KVX

C

+ –

B 2

(b) + VX –

A

R2 K2VX

+ –

B

1

R1

L

K1I

I

+ – 2

+ V1 –

(c) A

+ VX – R2

R1

KYVX

1 C

B

R3

2 (d)

FIGURE 24.36 Special cases of Thévenin’s theorem. (a, b) ZTH equals zero. (c) A port variable is coupled back into A. (d) VTH is zero.

Frequency-Domain Methods

24-29

The other special condition, VTH ¼ 0, occurs if subnetwork A contains only Case I-dependent sources, no independent sources, and no Case II-dependent sources. An example of such a network is given in Figure 24.36d. With subnetwork B disconnected, subnetwork A is a dead network, and its Thévenin voltage is zero. The network in Figure 24.36c is of interest because the dependent source K1I can be considered as a Case I or a Case II-dependent source hinging on whether I is considered a variable of subnetwork A or B.

Example 24.12 Solve for I in Figure 24.36c using two versions of the Thévenin equivalent for subnetwork A. For the first version, consider I to be associated with A, and therefore both dependent sources are Case I-dependent sources. In the second version, considerIto be associated with B.

Solution If I is considered as associated with A, then VTH is zero by inspection because A contains only Case I-dependent sources. Figure 24.37a depicts subnetwork A with a current excitation applied in order to determine ZTH. Clearly, V ¼ K2Vx. Also, writing a loop equation in the loop encompassed by the two dependent sources, we obtain K1 I 

Vx (sL þ R1 ) ¼ V R1

Eliminating Vx, we have V K1 K2 R1 ¼ ZTH ¼ sL þ R1 (K2 þ 1) I Once ZTH is obtained, it is an easy matter to write from Figure 24.36c: I¼

V1 V1 [sL þ R1 (K2 þ 1)] ¼ R2 þ ZTH sLR2 þ R1 R2 (K2 þ 1) þ K1 K2 R1

If I is associated with B, then VTH is found from the network in Figure 24.37b with the source K1I treated as if it were independent. The equation for VTH may contain the variable I, but Vx must be eliminated from the finished expression for VTH. We obtain VTH ¼ I

+

VX

+

– +

R1 L

K1I

(a)

+ –

K1 K2 R1 sL þ R1 (K2 þ 1)

K2VX

+ –

V



VX

I



+

R1 L I K1I

+ –

K 2 VX

+ –

VTH



(b)

FIGURE 24.37 (a) Network for finding ZTH when both sources are Case I-dependent sources. (b) Network for finding VTH when a Case II-dependent source exists in the network.

Fundamentals of Circuits and Filters

24-30

Also, ZTH is zero because if K1I is removed, subnetwork A reduces to a network with only a Case Idependent source and a port variable is not coupled back into the network. Finally, I can be written as I¼

V1  VTH R2

which yields the same result for I as was found previously.

The following example illustrates the interplay that can be achieved among these theorems and source transformations.

Example 24.13 Find V0=V1 for the bridged T network shown in Figure 24.38.

Solution The application of source transformation eight to the network yields the ladder network in Figure 24.39a. Thévenin’s theorem is particularly useful in analyzing ladder networks. If it is applied to the left and right sides of the network, taking care not to obscure the nodes between which V0 exists, we obtain the single loop network in Figure 24.39b. Then, using a voltage divider, we obtain Vo Z4 [Z1 (Z2 þ Z3 ) þ Z2 Z3 þ Z2 Z5 ] ¼ V1 (Z1 þ Z2 )(Z3 Z4 þ Z3 Z5 þ Z4 Z5 ) þ Z1 Z2 (Z4 þ Z5 )

Z5 Z1 V1

+ –

Z3 Z2

+ Z4

V0 –

FIGURE 24.38 Network for Example 24.13.

Z1 V1

+ –

Z3 Z2

V0

Z1||Z2

(b)

Z2 Z1 + Z2

Z4

V1

+ –



(a)

V1

Z5

+

+ –

Z3

+ V0

Z4||Z5

+ –

V1

Z4 Z4 + Z5



FIGURE 24.39 (a) Results after applying source transformation eight to the network shown in Figure 24.38. (b) Results of two applications of Thévenin’s theorem.

Frequency-Domain Methods

24-31

24.2.5 Norton’s Theorem If a source transformation is applied to the Thévenin equivalent network consisting of VTH and ZTH in Figure 24.28b, then a Norton equivalent network, illustrated in Figure 24.40a, is obtained. The current source Isc ¼ VTH=ZTH, ZTH 6¼ 0. If ZTH equals zero in Figure 24.28b, then the Norton equivalent network does not exist. The subscripts sc on the current source stand for short circuit and indicate a procedure for finding the value of this current source. To find Isc for subnetwork A in Figure 24.28a, we disconnet subnetwork B and place a short circuit between nodes 1 and 2 of subnetwork A. Then, Isc is the current flowing through the short circuit in the direction indicated in Figure 24.40b. Isc is zero if subnetwork A has only Case I-dependent sources and no other sources. ZTH is found in the same manner as for Thévenin’s theorem. It is sometimes more convenient to find Isc and VTH instead of ZTH.

Example 24.14 Find the Norton equivalent for the network ‘‘seen’’ by ZL in Figure 24.41. That is, ZL is subnetwork B and the rest of the network is A, and we wish to find the Norton equivalent network for A.

Solution Figure 24.42a is the network with ZL replaced by a short circuit. An equation for Isc can be obtained quickly using superposition. This yields Isc ¼ I1 þ

KI1 R2

but I1 must be eliminated from this equation. I1 is obtained as: I1 ¼ V1=(sL þ R1). Thus,

Isc ¼

  V1 1 þ RK2 sL þ R1

1 1 ZTH

Isc

A 2

2 (a)

Isc

(b)

FIGURE 24.40 (a) Norton equivalent network. (b) Reference direction for Isc.

I1 + – R1 V1

+ –

FIGURE 24.41 Network for Example 24.14.

L

KI1 ZL B

R2

Fundamentals of Circuits and Filters

24-32 I1

+ R1 V1



L

KI1

+

R2

Isc



(a) I1 + – R1 V1

L

KI1

+

+

R2

VTH –



(b)

FIGURE 24.42 (a) Network for finding Isc. (b) Network for VTH.

VTH is found from the network shown in Figure 24.42b. The results are: VTH ¼

V1 (K þ R2 ) sL þ R1 þ R2 þ K

ZTH can be found as VTH=Isc.

24.2.6 Thévenin’s and Norton’s Theorems and Network Equations Thévenin’s and Norton’s theorems can be related to loop and node equations. Here, we examine the relationship to loop equations by means of the LLFT network in Figure 24.43. Assume that the network N in Figure 24.43 has n independent loops with all the loop currents chosen in the same direction. Without loss of generality, assume that only one loop current, say I1, flows through ZL as shown so that ZL appears in only one loop equation. For simplicity, assume that no dependent sources or inductive couplings in N exist, and that all current sources have been source-transformed so that only voltage source excitations remain. Then the loop equations are 2

3 2 z11 V1 6 V2 7 6 z21 6 7 6 6 .. 7 ¼ 6 .. 4 . 5 4 . Vn

zn1

z12 z22 .. . zn2

  .. .

32 3 z1n I1 6 I2 7 z2n 7 76 7 .. 76 .. 7 . 54 . 5

   znn

(24:32)

In

LLFT network N

FIGURE 24.43 LLFT network N with n independent loops.

I1

ZL

Frequency-Domain Methods

24-33

where Vi, i ¼ 1, 2, . . . , n, is the sum of all voltage sources in the ith loop. Thus, Vi may consist of several terms, some of which may be negative depending on whether a voltage source is a voltage rise or a voltage drop. Also, the impedances zij are given by

 Dij zij ¼  Rij þ sLij þ s

(24:33)

where i, j ¼ 1, 2, . . . , n, and where the plus sign is taken if i ¼ j, and the minus sign is used if i 6¼ j. Rij is the sum of the resistances in loop i if i ¼ j, and Rij is the sum of the resistances common to loops i and j if i 6¼ j. Similar statements apply to the inductances Lij and to the reciprocal capacitances Dij. The currents Ii, i ¼ 1, 2, . . . , n, are the unknown loop currents. Note that ZL is included only in z11 so that z11 can be written as z11 ¼ z11A þ ZL, where z11A is the sum of all the impedances around loop one except ZL. Solving for I1 using Cramer’s rule, we have

I1 ¼

  V1   V2   ..  .  V n

 z1n  z2n  ..  .     znn    .. .

z12 z22 .. . zn2

(24:34)

D

where D is the determinant of the loop impedance matrix. Thus, we can write I1 ¼

V1 D11 þ V2 D21 þ    þ Vn Dn1 z11 D11 þ z21 D21 þ    þ zn1 Dn1

(24:35)

or

I1 ¼

V1 þ V2 DD2111 þ    þ Vn DDn111

(24:36)

z11 þ z21 DD2111 þ    þ zn1 DDn111

where Dij are cofactors of the loop impedance matrix. Then, forming the product of I1 and ZL, we have

I1 ZL ¼

  ZL V1 þ V2 DD2111 þ    þ Vn DDn111

(24:37)

ZL þ z11A þ z21 DD2111 þ    þ zn1 DDn111

If we take the limit of I1ZL as ZL approaches infinity, we obtain the ‘‘open circuit’’ voltage VTH. That is,  lim I1 ZL ¼ VTH ¼

ZL !1

D21 Dn1 V1 þ V2 þ    þ Vn D11 D11

 (24:38)

and if we take the limit of I1 as ZL approaches zero, we obtain the ‘‘short circuit’’ current Isc: lim I1 ¼ Isc ¼

ZL !0

z11A þ

VTH þ    þ zn1 DDn111

z21 DD2111

(24:39)

Fundamentals of Circuits and Filters

24-34

Finally, the quotient of VTH and Isc yields VTH D21 Dn1 ¼ ZTH ¼ z11A þ z21 þ    þ zn1 Isc D11 D11

(24:40)

If network N contains coupled inductors (but not coupled to ZL), then some elements of the loop impedance matrix may be modified in value and sign. If network N contains dependent sources, then auxiliary equations can be written to express the quantities on which the dependent sources depend in terms of the independent excitations and=or the unknown loop currents. Thus, dependent sources may modify the elements of the loop impedance matrix in value and sign, and they may modify the elements of the excitation column matrix [Vi]. Nevertheless, we can obtain expressions similar to those obtained previously for VTH and Isc. Of course, we must exclude from this illustration dependent sources that depend on the voltage across ZL because they violate the assumption that ZL appears in only one loop equation and are beyond the scope of this discussion.

24.2.7 p–T Conversion The p–T conversion is employed for the simplification of circuits, especially in power systems analysis. The ‘‘p’’ refers to a circuit having the topology shown in Figure 24.44. In this figure, the left-most and right-most loop currents have been chosen to coincide with the port currents for convenience of notation only. A circuit having the topology shown in Figure 24.45 is referred to as a ‘‘T’’ or as a ‘‘Y.’’ We wish to determine equations for Z1, Z2, and Z3 in terms of ZA, ZB, and ZC so that the p can be replaced by a T without affecting any of the port variables. In other words, if an overall circuit contains a p subcircuit,

I1

I2

ZC

+

+ I1

V1

ZA

I3

ZB

I2



V2 –

FIGURE 24.44 p network shown with loop currents.

I1

Z1

Z2

+ V1 –

FIGURE 24.45 T network.

I2 +

I1

Z3

I2

V2 –

Frequency-Domain Methods

24-35

we wish to replace the p subscript with a T subscript without disturbing any of the other voltages and currents within the overall circuit. To determine what Z1, Z2, and Z3 should be, we first write loop equations for the p network. The results are V1 ¼ I1 ZA  I3 ZA

(24:41)

V2 ¼ I2 ZB þ I3 ZB

(24:42)

0 ¼ I3 (ZA þ ZB þ ZC )  I1 ZA þ I2 ZB

(24:43)

But the T circuit has only two loop equations given by V1 ¼ I1 (Z1 þ Z3 ) þ I2 Z3

(24:44)

V2 ¼ I1 Z3 þ I2 (Z2 þ Z3 )

(24:45)

We must eliminate one of the loop equations for the p circuit, and so we solve for I3 in Equation 24.43 and substitute the result into Equations 24.41 and 24.42 to obtain



 ZA (ZB þ ZC ) ZA ZB V1 ¼ I1 þ I2 ZA þ ZB þ ZC ZA þ ZB þ ZC



 ZA ZB ZB (ZA þ ZC ) V2 ¼ I1 þ I2 ZA þ ZB þ ZC ZA þ ZB þ ZC

(24:46) (24:47)

From a comparison of the coefficients of the currents in Equations 24.46 and 24.47 with those in Equations 24.44 and 24.45, we obtain the following relationships. 24.2.7.1 Replacing p with T Z1 ¼

ZA ZC ; SZ

Z2 ¼

ZB ZC ZA ZB ; Z3 ¼ SZ SZ

(24:48)

where SZ ¼ ZA þ ZB þ ZC We can also replace a T network by a p network. To do this we need equations for ZA, ZB, and ZC in terms of Z1, Z2, and Z3. The required equations can be obtained algebraically from Equation 24.48. 24.2.7.2 From T to p ZA ¼ Z1 þ Z3 þ

Z1 Z3 Z2 Z3 ; ZB ¼ Z2 þ Z3 þ ; Z2 Z1

ZC ¼ Z1 þ Z2 þ

Z1 Z2 Z3

(24:49)

24.2.8 Reciprocity If an LLFT network contains only Rs, Ls, Cs, and transformers but contains no dependent sources, then its loop impedance matrix is symmetrical with respect to the main diagonal. That is, if zij is an element of

Fundamentals of Circuits and Filters

24-36

Reciprocal network Vj

+ –

Ij

Ik

Initial conditions are zero

FIGURE 24.46 Reciprocal network with m independent loops.

the loop impedance matrix (see Equation 24.17), occupying the position at row i and column j, then zji ¼ zij, where zji occupies the position at row j and column i. Such a network has the property of reciprocity and is termed a reciprocal network. Assume that a reciprocal network, depicted in Figure 24.46, has m loops and is in the zero state. It has only one excitation—a voltage source in loop j. To solve for the loop current in loop k, we write the loop equations: 2

z11

6z 6 21 6 6 .. 6 . 6 6 6 zj1 6 6 zk1 6 6 . 6 . 4 . zm1

z12



z1m

z22 .. .

 .. .

z2m .. .

zj2



zk2 .. .

 .. .

zm2

   zmm

32

I2

76 I 76 2 76 76 .. 76 . 76 76 zjm 76 Ij 76 6 zkm 7 76 Ik 6 .. 7 76 . . 54 ..

3

2

0

3

7 6 7 7 607 7 6 7 7 6 .. 7 7 6 . 7 7 6 7 7 6 7 7 ¼ 6 Vj 7 7 6 7 7 607 7 6 7 7 6 . 7 7 4 .. 5 5 0 Im

(24:50)

The column excitation matrix has only one nonzero entry. To determine Ik using Cramer’s rule, we replace column k by the excitation column and then expand along this column. Only one nonzero term is in the column, therefore, we obtain a single term for Ik: Ik ¼ Vj

Djk D

(24:51)

where Djk is the cofactor D is the determinant of the loop impedance matrix Next, we replace the voltage source by a short circuit in loop j, cut the wire in loop k, and insert a voltage source Vk. Figure 24.47 outlines the modifications to the circuit. Then, we solve for Ij obtaining

Reciprocal network Ij

Initial conditions are zero

Ik

+ – V k

FIGURE 24.47 Interchange of the ports of excitation in the network in Figure 24.46.

Frequency-Domain Methods

24-37

Ij ¼ Vk

Dkj D

(24:52)

Because the network is reciprocal, Djk ¼ Dkj so that Ij Ik ¼ Vj Vk

(24:53)

Equation 24.53 is the statement of reciprocity for the network in Figures 24.46 and 24.47 with the excitations shown. Figure 24.48a is a reciprocal network with a current excitation applied to node j and a voltage response, labeled Vk, taken between nodes k and m. We assume the network has n independent nodes plus the ground node indicated and is not a grounded network (does not have a common connection between the input and output ports shown). If we write node equations to solve for Vk in Figure 24.48a and use Cramer’s rule, we have

Vk ¼ Ij

D0jk  D0jm

(24:54)

D0

where the primes indicate node-basis determinants. Then, we interchange the ports of excitation and response as depicted in Figure 24.48b. If we solve for Vj in Figure 24.48b, we obtain

Vj ¼ Ik

D0kj  D0mj

(24:55)

D0

Because the corresponding determinants in Equations 24.54 and 24.55 are equal because of reciprocity, we have Vk Vj ¼ Ij Ik

(24:56)

Note that the excitations and responses are of the opposite type in Figures 24.46 and 24.48. The results obtained in Equations 24.53 and 24.56 do not apply if the excitation and response are both voltages or both currents because when the ports of excitation and response are interchanged, the impedance levels of the network are changed [2].

j

k Reciprocal network

Ij

(a)

Initial conditions are zero

+ Vk –

+ Vj –

m

(b)

Reciprocal network Initial conditions are zero

Ik

FIGURE 24.48 (a) Reciprocal ungrounded network with a current source excitation. (b) Interchange of the ports of excitation and response.

Fundamentals of Circuits and Filters

24-38

24.2.9 Middlebrook’s Extra Element Theorem Middlebrook’s extra element theorem is useful in developing tests for analog circuits and for predicting the effects that parasitic elements may have on a circuit. This theorem has two versions: the parallel version and the series version. Both versions present the results of connecting an extra network element in the circuit as the product of the network function obtained without the extra element times a correction factor. This is a particularly convenient form for the results because it shows exactly the effects of the extra element on the network function. Parallel version. Consider an arbitrary LLFT network having a transfer function A1(s). In the parallel version of the theorem, an impedance is added between any two independent nodes of the network. The modified transfer function is then obtained as A1(s) multiplied by a correction factor. Figure 24.49 is an arbitrary network in which the quantities Ui and Uo represent a general input and a general output, respectively, whether they are voltages or currents. The extra element is to be connected between terminals 1 and 10 in Figure 24.49, and the port variables for this port are V2 and I2. We can write Uo ¼ A1 Ui þ A2 I2 V2 ¼ B1 Ui þ B2 I2

(24:57)

where

Ui

Uo

+ 1 V2 – 1΄

  Uo  Uo  A2 ¼ A1 ¼ Ui I2 ¼0 I2 Ui ¼0   V2  V2  B1 ¼ B2 ¼ Ui I2 ¼0 I2 Ui ¼0

(24:58)

LLFT

I2

network N

FIGURE 24.49 An arbitrary LLFT network.

Note that A1 is assumed to be known. The extra element Z to be added across terminals 1 and 10 is depicted in Figure 24.50. It can be described as Z ¼ V2=(I2) which yields I2 ¼ V2=(Z). Substituting this expression for I2 into Equation 24.57 results in 

I2

1 +

V2 Z



Uo ¼ A1 Ui þ A2   B2 V2 1 þ ¼ B1 Ui Z

(24:59)

After eliminating V2 and solving for Uo=Ui, we obtain Z

V2

2 Uo ¼ A1 4 Ui



1 þ Z1



A1 B2 A2 B1 A1 B2 1þ Z

3 5

(24:60)



FIGURE 24.50 Extra element Z.

Next, we provide physical interpretations for the terms in Equation 24.60. Clearly, B2 is the

Frequency-Domain Methods

24-39 Ui

Uo 1

I2

LLFT

+

network

V2 –

N



FIGURE 24.51 Network of Figure 24.49 with two excitations applied.

impedance seen looking into the network between terminals 1 and 10 with Ui ¼ 0. Thus, rename B2 ¼ Zd where d stands for ‘‘dead network.’’ To find a physical interpretation of (A1B2  A2B1)=A1, examine the network in Figure 24.51. Here, two excitations are applied to the network, namely Ui and I2. Simultaneously adjust both inputs so as to null output Uo. Thus, with Uo ¼ 0, we have from Equation 24.57, Ui ¼

A2 I2 A1

(24:61)

Substituting this result into the equation for V2 in Equation 24.57, we have  V2 ¼ B1

 A2 I2 þ B2 I2 A1

(24:62)

or  V2  A1 B2  A2 B1 ¼ I2 Uo ¼0 A1 Because the quantity (A1B2  A2B1)=A1 is the ratio of V2 to I2 with the output nulled, we rename this quantity as ZN. Then rewriting Equation 24.60 with Zd and ZN, we have " # 1 þ ZZN Uo ¼ A1 Ui 1 þ ZZd

(24:63)

Equation 24.63 demonstrates that the results of connecting the extra element Z into the circuit can be expressed as the product of A1, which is the network function with Z set to infinity, times a correction factor given in the brackets in Equation 24.63.

Example 24.15 Use the parallel version of Middlebrook’s extra element theorem to find the voltage transfer function of the ideal op-amp circuit in Figure 24.52 when a capacitor C is connected between terminals 1 and 10 .

Fundamentals of Circuits and Filters

24-40 C

1

– R1

+ –

Vi

1΄ N

R2

+

+

Vo –

FIGURE 24.52 Ideal op-amp circuit for Example 24.15.

Solution With the capacitor not connected, the voltage transfer function is  Vo  R2 ¼  ¼ A1  Vi Z¼1 R1 Next, we determine Zd from the circuit illustrated in Figure 24.53a, where a model has been included for the ideal op-amp, the excitation Vi has been properly removed, and a current excitation I2 has been applied to the port formed by terminals 1 and 10 . Because no voltage flows across R1 in Figure 24.53a, no current flows through it, and all the current I2 flows through R2. Thus, V2 ¼ I2R2, and Zd ¼ R2. We next find ZN from Figure 24.53b. We observe in this figure that the right end of R2 is zero volts above ground because Vi and I2 have been adjusted so that Vo is zero. Furthermore, the left end of R2 is zero volts above ground because of the virtual ground of the op-amp. Thus, zero is current flowing through R2, and so V2 is zero. Consequently, ZN ¼ V2=I2 ¼ 0. Following the format of Equation 24.63, we have   Vo R2 1 ¼ Vi R1 1 þ sCR2 Note that for Vo to be zero in Figure 24.53b, Vi and I2 must be adjusted so that Vi=R1 ¼ I2, although this information was not needed to work the example.

Series version. The series version of the theorem allows us to cut a loop of the network, add an impedance Z in series, and obtain the modified network function as A1(s) multiplied by a correction factor. A1 is the

I2

I2

1

+

V2





1 + I2

R2 R1

(a)

I=0

+ –

+ Vo –

Vi

+ –

R1

(b)

FIGURE 24.53 (a) Network for finding Zd. (b) Network used to determine ZN.

V2





R2 I=0

+ –

+ Vo = 0 –

Frequency-Domain Methods

24-41

Ui

Uo LLFT network N

+

V2



I2 Z

FIGURE 24.54 LLFT network used for the series version of Middlebrook’s extra element theorem.

network function when Z ¼ 0. Figure 24.54 is an LLFT network with part of a loop illustrated explicitly. The quantities Ui and Uo represent a general input and a general output, respectively, whether they be a voltage or a current. Define   Uo  Uo  A2 ¼ A1 ¼ Ui V2 ¼0 V2 Ui ¼0   I2  I2  B1 ¼  B2 ¼ U V  i V2 ¼0

(24:64)

2 Ui ¼0

where V2 and I2 are depicted in Figure 24.54, and A1 is assumed to be known. Then using superposition, we have Uo ¼ A1 Ui þ A2 V2 I2 ¼ B1 Ui þ B2 V2

(24:65)

The impedance of the extra element Z can be described by Z ¼ V2=(I2) so that V2 ¼ I2Z. Substituting this relation for V2 into Equation 24.65 and eliminating I2, we have  3 2 A2 1 þ Z B  B 2 1 A1 Uo 5 ¼ A1 4 1 þ B2 Z Ui

(24:66)

Again, as we did for the parallel version of the theorem, we look for physical interpretations of the quantities in the square bracket in Equation 24.66. From Equation 24.65 we see that B2 is the admittance looking into the port formed by cutting the loop in Figure 24.54 with Ui ¼ 0. This is depicted in Figure 24.55a. Thus, B2 is the admittance looking into a dead network, and so let B2 ¼ 1=Zd. To find a physical interpretation of the quantity (A1B2  A2B1)=A1, we examine Figure 24.55b in which both inputs, V2 and Ui, are adjusted to null the output Uo. From Equation 24.65 with Uo ¼ 0, we have Ui ¼ 

A2 V2 A1

(24:67)

Fundamentals of Circuits and Filters

24-42 Ui = 0

Ui

LLFT network N

FIGURE 24.55 the output Uo.

I2

Uo = 0

1

LLFT network N

+ –

B2

V2

(a)

(b)



(a) Looking into the network with Ui equal zero. (b) Ui and V2 are simultaneously adjusted to null

Then, eliminating Ui in Equation 24.65 we obtain  A1 B2  A2 B1 I2  ¼ A1 V2 Uo ¼0

(24:68)

Because this quantity is the admittance looking into the port formed by terminals 1 and 10 in Figure 24.55b with Uo nulled, rename it as 1=ZN. Thus, from Equation 24.66 we can write " # 1 þ ZZN Uo ¼ A1 Ui 1 þ ZZd

(24:69)

Equation 24.69 is particularly convenient for determining the effects of adding an impedance Z into a loop of a network.

Example 24.16 Use the series version of Middlebrook’s extra element theorem to determine the effects of inserting a capacitor C in the location indicated in Figure 24.56.

Solution The voltage transfer function for the network without the capacitor is found to be  Vo  bRL   A1 ¼  ¼ Vi Z¼0 Rs þ (b þ 1)Re 1 þ Rs Rb

+ Rs

βIb

C

RL

Ib + –

Vi

Rb

FIGURE 24.56 Network for Example 24.16.

Re

Vo –

Frequency-Domain Methods

Rs

24-43

+

βIb I

+–

V

RL

Ib Re

Rb

Vo –

(a)

Rs + –

I

+–

Vi

RL

βIb

V Ib

IRb

Rb

+ Vo = 0 –

+ Re

VRe –

(b)

FIGURE 24.57 (a) Network used to obtain Zd. (b) Network that yields ZN.

Next, we find Zd from Figure 24.57a. This yields Zd ¼

V ¼ Rs þ ½Rb k (b þ 1)Re  I

The impedance ZN is found from Figure 24.57b where the two input sources, Vi and V, are adjusted so that Vo equals zero. If Vo equals zero, then bIb equals zero because no current flows through RL. Thus, Ib equals zero, which implies that VRe, the voltage across Re as indicated in Figure 24.57b, is also zero. We see that the null is propagating through the circuit. Continuing to analyze Figure 24.57b, we see that IRb is zero so that we conclude that I is zero. Because ZN ¼ V=I, we conclude that ZN is infinite. Using the format given by Equation 24.69 with Z ¼ 1=(sC), we obtain the result as 8 < Vo ¼ A1 :1 þ Vi

1

9 =

1=(sC) ; Rs þ½Rb k(bþ1)Re 

It is interesting to note that to null the output so that ZN could be found in Example 24.16, Vi is set to V, although this fact is not needed in the analysis.

24.2.10 Substitution Theorem Figure 24.58a is an LLFT network consisting of two subnetworks A and B, which are connected to each other by two wires. If the voltage v(t) is known, the voltages and currents in subnetwork A remain unchanged if a voltage source of value v(t) is substituted for subnetwork B as illustrated in Figure 24.58b.

Fundamentals of Circuits and Filters

24-44 i(t) + v(t) –

A

B

+ v(t) –

A

(a)

(b)

i(t)

A

(c)

FIGURE 24.58 (a) An LLFT network consisting of two subnetworks A and B connected by two wires. (b) A voltage source can be substituted for subnetwork B if v(t) is known in (a). (c) A current source can be substituted for B if i is a known current.

Example 24.17 Determine i1(t) in the circuit in Figure 24.59. The voltage v1(t) is known from a previous analysis.

Solution Because v1(t) is known, the substitution theorem can be applied to obtain the circuit in Figure 24.60. Analysis of this simplified circuit yields i1 ¼ iin

R3 1 þ v1 R2 þ R3 R2 þ R3

If the current i(t) is known in Figure 24.58a, then the substitution in Figure 24.58c can be employed.

R1

R3

C

i1(t)

iin(t)

R4

+ v1(t) –

R2

+ –

vA(t)

FIGURE 24.59 Circuit for Example 24.17.

R1

R3 i1(t)

iin(t)

R2

+ –

v1(t)

FIGURE 24.60 Circuit that results when the substitution theorem is applied to the circuit in Figure 24.59.

Frequency-Domain Methods

24-45

24.3 Sinusoidal Steady-State Analysis and Phasor Transforms 24.3.1 Sinusoidal Steady-State Analysis In this section, we develop techniques for analyzing LLFT networks in the sinusoidal steady state. These techniques are important for analyzing and designing networks ranging from AC power generation systems to electronic filters. To put the development of sinusoidal steady-state analysis in its context, we list the following definitions of responses of circuits: 1. Zero-input response is the response of a circuit to its initial conditions when the input excitations are set to zero. 2. Zero-state response is the response of a circuit to a given input excitation or set of input excitations when the initial conditions are all set to zero. The sum of the zero-input response and the zero-state response yields the total response of the system being analyzed. However, the total response can also be decomposed into the forced response and the natural response if the input excitations are DC, real exponentials, sinusoids, and=or sinusoids multiplied by real exponentials and if the exponent(s) in the input excitation differs from the exponents appearing in the zero-input response. These excitations are very common in engineering applications, and the decomposition of the response into forced and natural components corresponds to the particular and complementary (homogeneous) solutions, respectively, of the linear, constant coefficient, ordinary differential equations that characterize LLFT networks in the time domain. Therefore, we define 3. Forced response is the portion of the total response that has the same exponents as the input excitations. 4. Natural response is the portion of the total response that has the same exponents as the zero-input response. The sum of the forced and natural responses is the total response of the system. For a strictly stable LLFT network, meaning that the poles of the system transfer function T(s) are confined to the open LHP, the natural response must decay to zero eventually. The forced response may or may not decay to zero depending on the excitation and the network to which it is applied, and so it is convenient to define the terms steady-state response and transient response: 5. Transient response is the portion of the response that dies away or decays to zero with time. 6. Steady-state response is the portion of the response that does not decay with time. The sum of the transient and steady-state responses is the total response, but a specific circuit with a specific excitation may not have a transient response or it may not have a steady-state response. The following example illustrates aspects of these six definitions.

Example 24.18 Find the total response of the network shown in Figure 24.61, and identify the zero-state, zero-input, forced, natural, transient, and steady-state portions of the response.

Solution Note that a nonzero initial condition is represented by Vc. Using superposition and the simple voltage divider concept, we can write

   V1 V2 2 Vc s Vo (s) ¼ þ þ s þ 1 s2 þ 1 s þ 2 s s þ 2

Fundamentals of Circuits and Filters

24-46 1Ω

+

vi = V1

e–t

+ –

+ V2 sin t

Vc

+

vo(t)

1/2 F

– –

FIGURE 24.61 Circuit for Example 24.18.

The partial fraction expansion for Vo(s) is Vo (s) ¼

A B Cs þ D þ þ 2 sþ1 sþ2 s þ1

where A ¼ 2V1 B ¼ 2V1 þ 0:4V2 þ Vc C ¼ 0:4V2 D ¼ 0:8V2 Thus, for t  0, vo(t) can be written as vo (t) ¼ 2V1 et  2V1 e2t þ 0:4V2 e2t þ Vc e2t  0:4V2 cos t þ 0:8V2 sin t With the aid of the angle sum and difference formula sin (a  b) ¼ sin a cos b  cos a sin b and the sketch in Figure 24.62, we can combine the last two terms in the expression for vo(t) to obtain pffiffiffi vo (t) ¼ 2V1 et  2V1 e2t þ 0:4V2 e2t þ Vc e2t þ 0:4 5V2 sin (t þ u)

5 1 θ 2

FIGURE 24.62 Sketch for determining the phase angle u.

Frequency-Domain Methods

24-47

where u ¼  tan

1

  1 2

The terms of vo(t) are characterized by our definitions as follows: Zero-input response ¼ Vc e2t

pffiffiffi Zero-state response ¼ 2V1 et  2V1 e2t þ 0:4V2 e2t þ 0:4 5V2 sin (t þ u)

Natural response ¼ [2V1 þ 0:4V2 þ Vc ]e2t pffiffiffi Forced response ¼ 2V1 et þ 0:4 5V2 sin (t þ u) Transient response ¼ 2V1 et þ [2V1 þ 0:4V2 þ Vc ]e2t pffiffiffi Steady-state response ¼ 0:4 5V2 sin (t þ u) As can be observed by comparing the preceding terms above with the total response, part of the forced response is the steady-state response, and the rest of the forced response is included in the transient response in this example. If the generator voltage in the previous example had been vi ¼ V1 þ V2 sin(t), then there would have been two terms in the steady-state response—a DC term and a sinusoidal term. On the other hand, if the transfer function from input to output had a pole at the origin and the excitation were purely sinusoidal, there would also have been a DC term and a sinusoidal term in the steady-state response. The DC term would have arisen from the pole at the origin in the transfer function, and therefore would also be classed as a term in the natural response. Oftentimes, it is desirable to obtain only the sinusoidal steady-state response, without having to solve for other portions of the total response. The ability to solve for just the sinusoidal steady-state response is the goal of sinusoidal steady-state analysis. The sinusoidal steady-state response can be obtained based on analysis of the network using Laplace transforms. Figure 24.63 illustrates an LLFT network that is excited by the voltage sine wave vi(t) ¼ V sin (vt), where V is the peak amplitude of the sine wave and v is the frequency of the sine wave in radians=second. Assume that the poles of the network transfer function Vo(s)=Vi(s) ¼ T(s) are confined to the open LHP except possibly for a single pole at the origin. Then, the forced response of the network is voss (t) ¼ V jT( jv)j sin (vt þ u)

(24:70)

where the extra subscripts ‘‘ss’’ on vo(t) indicate sinusoidal steady state, and where u ¼ tan

1



(T( jv) 5T( jv)

 (24:71)

+ vi(t) = V sin ωt

+ –

T(s) vo(t) LLFT –

FIGURE 24.63 LLFT network with transfer function T(s).

Fundamentals of Circuits and Filters

24-48

The symbols ( and 5 are read as ‘‘imaginary part of’’ and ‘‘real part of,’’ respectively. In other words, the LLFT network modifies the sinusoidal input signal in only two ways at steady state. The network multiplies the amplitude of the signal by jT(jv)j and shifts the phase by u. If the transfer function of the network is known beforehand, then the sinusoidal steady-state portion of the total response can be easily obtained by means of Equations 24.70 and 24.71. To prove Equations 24.70 and 24.71, we assume that T(s) ¼ Vo(s)=Vi(s) in Figure 24.63 is real for s real and that the poles of T(s) are confined to the open LHP except possibly for a single pole at the origin. Without loss of generality, assume the order of the numerator of T(s) is at most one greater than the order of the denominator. Then the transform of the output voltage is Vo (s) ¼ Vi (s)T(s) ¼

s2

Vv T(s) þ v2

If Vo(s) is expanded into partial fractions, we have Vo (s) ¼

A B þ þ other terms due to the poles of T(s) s  jv s þ jv

The residue A is

A ¼ [(s  jv)Vo (s)]s¼jv ¼

Vv ¼ T(s) s þ jv

 s¼jv

V T( jv) 2j

But T( jv) ¼ jT( jv)je ju

where u ¼ tan1

(T( jv) 5T( jv)

Thus, we can write the residue A as A¼

V jT( jv)je ju 2j

Also, B ¼ A* where ‘‘*’’ denotes ‘‘conjugate,’’ and so V V T(jv) ¼  T(( jv)*) 2j 2j V V ¼  T*( jv) ¼  jT( jv)jeju 2j 2j

B¼

In the equation for the residue B, we can write T(( jv)*) ¼ T*( jv) because of the assumption that T(s) is real for s real (see property 1 in Section 24.1.1). All other terms in the partial fraction of Vo(s) will yield, when inverse transformed, functions of time that decay to zero except for a term arising from a pole at the origin of T(s). A pole at the origin yields, when its partial fraction is inverse transformed, a DC term that is part of the steady-state solution in the time domain. However, only the first two terms in Vo(s) will ultimately yield a sinusoidal function. We can rewrite these two terms as

ju  V e eju  Voss (s) ¼ jT( jv)j s  jv s þ jv 2j

Frequency-Domain Methods

24-49

The extra subscripts ‘‘ss’’ denote sinusoidal steady state. The time-domain equation for the sinusoidal steady-state output voltage is

V jT( jv)j e ju e jvt  eju ejvt 2j ¼ V jT( jv)j sin (vt þ u)

voss (t) ¼

where u is given by Equation 24.71. This completes the proof.

Example 24.19 Verify the expression for the sinusoidal steady-state response found in the previous example.

Solution The transfer function for the network in Figure 24.61 is T(s) ¼ 2=(s þ 2), and the frequency of the sinusoidal portion of vi(t) is v ¼ 1 rad=s. Thus, T ( jv) ¼

2 2 ¼ pffiffiffiffiffiffiffiffiffiffiffi e ju jþ2 4þ1

where u ¼ tan

1



2 4

 ¼ tan

1

  1 2

If the excitation in Figure 24.63 were vi(t) ¼ V sin (vt þ F), then the sinusoidal steady-state response of the network would be voss (t) ¼ V jT( jv)j sin (vt þ F þ u)

(24:72)

where u is given by Equation 24.71. Similarly, if the excitation were vi(t) ¼ V[cos(vt þ F)], then the sinusoidal steady-state response would be expressed as voss (t) ¼ V jT( jv)j cos (vt þ F þ u)

(24:73)

with u again given by Equation 24.71.

24.3.2 Phasor Transforms In the sinusoidal steady-state analysis of stable LLFT networks, we find that both the inputs and outputs are sine waves of the same frequency. The network only modifies the amplitudes and the phases of the sinusoidal input signals; it does not change their nature. Thus, we need only keep track of the amplitudes and phases, and we do this by using phasor transforms. Phasor transforms are closely linked to Euler’s identity: ejvt ¼ cos (vt)  j sin (vt)

(24:74)

Fundamentals of Circuits and Filters

24-50

If, for example, vi(t) ¼ V sin(vt þ F), then we can write vi(t) as vi (t) ¼ ([Ve j(vtþF) ] ¼ ([Ve jF e jvt ]

(24:75)

Similarly, if vi(t) ¼ V cos(vt þ F), then we can write vi (t) ¼ 5[Ve j(vtþF) ] ¼ 5[Ve jF e jvt ]

(24:76)

If we confine our analysis to single-frequency sine waves, then we can drop the imaginary sign and the term ejvt in Equation 24.75 to obtain the phasor transform. That is, }[vi (t)] ¼ }[V sin (vt þ F)] ¼ }{([Ve jF e jvt ]} ¼ Ve jF

(24:77)

The first and last terms in Equation 24.77 are read as ‘‘the phasor transform of vi(t) equals VejF.’’ Note that vi(t) is not equal to VejF as can be seen from the fact that vi(t) is a function of time while VejF is not. Phasor transforms will be denoted with bold letters that are underlined as in }[vi(t)] ¼ Vi. If our analysis is confined to single-frequency cosine waves, we perform the phasor transform in the following manner: }[V cos (vt þ F)] ¼ }{5[Ve jF e jvt ]} ¼ Ve jF ¼ V

(24:78)

In other words, to perform the phasor transform of a cosine function, we drop both the real sign and the term ejvt. Both sines and cosines are sinusoidal functions, but when we transform them, they lose their identities. Thus, before starting an analysis, we must decide whether to perform the analysis all in sines or all in cosines. The two functions must not be mixed when using phasor transforms. Furthermore, we cannot simultaneously employ the phasor transforms of sinusoids at two different frequencies. However, if a linear network has two excitations which have different frequencies, we can use superposition in an analysis for a voltage or current, and add the solutions in the time domain. Three equivalent representations are used for a phasor V: 8 < Ve jF V ¼ V( cos F þ j sin F) : VffF

exponential form rectangular form polar form

(24:79)

If phasors are to be multiplied or divided by a complex number, the exponential or polar forms are the most convenient. If phasors are to be added or subtracted, the rectangular form is the most convenient. The relationships among the equivalent representations are illustrated in Figure 24.64. In this figure, the

Image V – |V –|

V sin Φ Φ

V cos Φ

FIGURE 24.64 Relationships among phasor representations.

Real

Frequency-Domain Methods

24-51

phasor V is denoted by a point in the complex plane. The magnitude of the phasor, jVj ¼ V, is illustrated by the length of the line drawn from the origin to the point. The phase of the phasor, F, is shown measured counterclockwise from the horizontal axis. The real part of V is V cos F and the imaginary part of V is V sin F. Phasors can be developed in a way that parallels, to some extent, the usual development of Laplace transforms. In the following theorems, we assume that the constants V1, V2, F1, and F2 are real.

THEOREM 24.1 For sinusoids of the same type (either sines or cosines) and of the same frequency v, }[V1 sin(vt þ F1)þV2 sin(vt þ F2)] ¼ V1 } [sin(vt þ F1)]þV2} [sin(vt þ F2)]. A similar relation can be written for cosines. This theorem demonstrates that the phasor transform is a linear transform.

THEOREM 24.2 If }[V1 sin(vt þ F)] ¼ V1ejF, then

 d } V1 sin (vt þ F) ¼ jvV1 e jF dt

(24:80)

To prove Theorem 24.2, we can write



  d jF jvt jF d jvt } V1 ((e e ) ¼ } V1 ( e e dt dt

¼ } V1 (e jF jve jvt ¼ V1 jve jF Note the interchange of the derivative and the imaginary sign in the proof of the theorem. Also, Theorem 24.2 can be generalized to

n  d } n V1 sin (vt þ F) ¼ ( jv)n V1 e jF dt

(24:81)

These results are useful for finding the sinusoidal steady-state solutions of linear, constant-coefficient, ordinary differential equations assuming the roots of the characteristic polynomials lie in the open LHP with possibly one at the origin.

THEOREM 24.3 If } [V1 sin(vt þ F)] ¼ V1ejF, then

ð  1 } V1 sin (vt þ F)dt ¼ V1 e jF jv

(24:82)

Fundamentals of Circuits and Filters

24-52

The proof of Theorem 24.3 is easily obtained by writing

ð 

ð 

j(vtþF) } V1 sin (vt þ F)dt ¼ } ( V1 e dt

ð  V1 jF j(vtþF) e dt ¼ ¼ } ( V1 e jv It should be noted that no constant of integration is employed because a constant is not a sinusoidal function and is therefore not permitted when using phasors. A constant of integration arises in LLFT network analysis because of initial conditions, and we are interested only in the sinusoidal steady-state response and not in a zero-input response. No limits are used with the integral either, because the (constant) lower limit would also yield a constant, which would imply that we are not at sinusoidal steady state. Theorem 24.3 is easily extended to the case of n integrals:

ð  ð V1 jF n e } . . . V1 sin (vt þ F)(dt) ¼ ( jv)n

(24:83)

This result is useful for finding the sinusoidal steady-state solution of integro-differential equations.

24.3.3 Inverse Phasor Transforms To obtain time domain results, we must be able to inverse transform phasors. The inverse transform operation is denoted by }1. This is an easy operation that consists of restoring the term ejvt, restoring the imaginary sign (the real sign if cosines are used), and dropping the inverse transform sign. That is,

}1 [V1 e jF ] ¼ ( V1 e jF e jvt ¼ V1 sin (vt þ F)

(24:84)

The following example illustrates both the use of Theorem 24.2 and the inverse transform procedure.

Example 24.20 Determine the sinusoidal steady-state solution for the differential equation: d2 f (t) df (t) þ4 þ 3f (t) ¼ V sin (vt þ F) 2 dt dt

Solution We note that the characteristic polynomial, D2 þ 4D þ 3, has all its roots in the open LHP. The next step is to phasor transform each term of the equation to obtain v2 F þ 4jvF þ 3F ¼ Ve jF

where F(jv) ¼ }[ f(t)]. Therefore, when we solve for F, we obtain F¼

Ve jF (3  v2 ) þ j4v

Ve jF e ju ¼ pffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffi (3  v2 )2 þ 16v2

Frequency-Domain Methods

24-53

where u ¼ tan1

4v 4v ¼ tan1 2 2 3v v 3

Thus, V F ¼ pffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffi e j(fþu) 4 v þ 10v2 þ 9 To obtain a time-domain function, we inverse transform F to obtain V }1 ½ F( jv) ¼ f (t) ¼ pffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffi sin (vt þ F þ u) 4 v þ 10v2 þ 9 In this example, we see that the sinusoidal steady-state solution consists of the sinusoidal forcing term, V sin(vt þ F), modified in amplitude and shifted in phase.

24.3.4 Phasors and Networks Phasors are time-independent representations of sinusoids. Thus, we can define impedances in the phasor transform domain and obtain Ohm’s law-like expressions relating currents through network elements with the voltages across those elements. In addition, the impedance concept allows us to combine dissimilar elements, such as resistors with inductors, in the transform domain. The time-domain expressions relating the voltages and currents for Rs, Ls, and Cs, repeated here for convenience, are LdiL 1 , vC (t) ¼ vR (t) ¼ iR (t)R, vL (t) ¼ dt C

ð iC dt

Note that initial conditions are set to zero. Then, performing the phasor transform of the time-domain variables, we have ZR ¼ R, ZL ¼ jvL,

ZC ¼

1 jvC

We can also write the admittances of these elements as YR ¼ 1=ZR, YL ¼ 1=ZL, and YC ¼ 1=ZC. Then, we can extend the impedance and admittance concepts for two-terminal elements to multiport networks in the same manner as was done in the development of Laplace transform techniques for network analysis. For example, the transfer function of the circuit shown in Figure 24.65 can be written as V o ( jv) ¼ G21 ( jv) V i ( jv) where the jv indicates that the analysis is being performed at sinusoidal steady state [1]. It is also assumed that no other excitations exist in N in Figure 24.65. With impedances and transfer functions defined, then all the theorems developed for Laplace transform analysis, including source transformations, have a phasor transform counterpart.

Fundamentals of Circuits and Filters

24-54 1

vi(t) = V sin ωt

2 +

N

+ –

vo (t) LLFT 1΄





FIGURE 24.65 LLFT network excited by a sinusoidal voltage source.

Example 24.21 Use phasor analysis to find the transfer function G21( jv) and voss(t) for the circuit in Figure 24.66.

Solution The phasor transform of the output voltage can be obtained easily by means of the simple voltage divider. Thus, Vo ¼ Vi

jvL þ R2 R1 jvL þ R2 þ 1þjvCR 1

To obtain G21(jv), we form Vo=Vi, which yields Vo (R2 þ jvL)(1 þ jvCR1 ) ¼ G21 ( jv) ¼ Vi (R2 þ jvL)(1 þ jvCR1 ) þ R1 Expressing the numerator and denominator of G21 in exponential form produces

G21

pffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffi (R2  v2 LCR1 )2 þ (vL þ vCR1 R2 )2 e ja ¼ pffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffi (R1 þ R2  v2 LCR1 )2 þ (vL þ vCR1 R2 )2 e jb

where a ¼ tan1 b ¼ tan1

(vL þ vCR1 R2 ) R2  v2 LCR1 (vL þ vCR1 R2 ) R1 þ R2  v2 LCR1

R1 + vi(t) = V cos ωt –

FIGURE 24.66 Circuit for Example 24.21.

C

R2

+ vo (t)

L



Frequency-Domain Methods

24-55

Thus, G21 ( jv) ¼ Me ju where sffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffi (R2  v2 LCR1 )2 þ (vL þ vCR1 R2 )2 M¼ (R1 þ R2  v2 LCR1 )2 þ (vL þ vCR1 R2 )2 and u¼ab The phasor transform of vi(t) is V i ¼ }[V5e jvt ] ¼ Ve ju ¼ V and, therefore, the time-domain expression for the sinusoidal steady-state output voltage is voss (t) ¼ VM cos (vt þ u) Driving point impedances and admittances as well as transfer functions are not phasors because they do not represent sinusoidal waveforms. However, an impedance or transfer function is a complex number at a particular real frequency, and the product of a complex number times a phasor is a new phasor. The product of two arbitrary phasors is not ordinarily defined because sin2(vt) or cos2(vt) are not sinusoidal and have no phasor transforms. However, as we will see later, power relations for AC circuits can be expressed in efficient ways as functions of products of phasors. Because such products have physical interpretations, we permit them in the context of power calculations. Division of one phasor by another is permitted only if the two phasors are related by a driving point or transfer network function such as Vo=Vi ¼ G21(jv).

24.3.5 Phase Lead and Phase Lag The terms ‘‘phase lead’’ and ‘‘phase lag’’ are used to describe the phase shift between two or more sinusoids of the same frequency. This phase shift can be expressed as an angle in degrees or radians, or it can be expressed in time as seconds. For example, suppose we have three sinusoids given by v1 (t) ¼ V1 sin (vt),

v2 (t) ¼ V2 sin (vt þ F),

v3 ¼ V3 sin (vt  F)

where V1, V2, V3, and F are all positive. Then, we say that v2 leads v1 and that v3 lags v1. To see this more clearly, we rewrite v2 and v3 as v2 ¼ V2 sin [v(t þ t0 )]

v3 ¼ V3 sin [v(t  t0 )]

where the constant t0 ¼ F=v. Figure 24.67 plots the three sinusoids sketched on the same axis, and from this graph we see that the zero crossings of v2(t) occur t0 seconds before the zero crossing of v1(t). Thus, v2(t) leads v1(t) by t0 seconds. Similarly, we see that the zero crossings of v3(t) occur t0 seconds after the zero crossings of v1(t). Thus, v3(t) lags v1(t). We can also say that v3(t) lags v2(t). When comparing the phases of sine waves with V sin(vt), the key thing to look for in the arguments of the sines are the signs of the angles following vt. A positive sign means lead and a negative sign means lag. If two sines or two cosines have the same phase angle, then they are called ‘‘in phase.’’

Fundamentals of Circuits and Filters

24-56 Volts v1

v2

v3 π +t ) (ω o

–to

to

π –t ) (ω o

π ω

2π ω

t Seconds

FIGURE 24.67 Three sinusoids sketched on a time axis.

If we have i1(t) ¼ I1 [cos(vt  p=4)] and i2(t) ¼ I2 [cos(vt  p=3)], then i2 lags i1 by p=12 rad or 158 because even though the phases of both cosines are negative, the phase of i1(t) is less negative than the phase of i2(t). We can also say that i1 leads i2 by 158.

Example 24.22 Suppose we have five signals with equal peak amplitudes and equal frequencies but with differing phases. The signals are: i1 ¼ I[sin (vt)], i2 ¼ I [cos(vt)], i3 ¼ I[cos(vt þ u)], i4 ¼ I[sin(vt þ c)], and i5 ¼ I[cos(vt  F)]. Assume I, u, c, and F are positive. 1. How much do the signals i2 through i5 lead i1? 2. How much do the signals i1 and i3 through i5 lead i2?

Solution For part (1), we express i2 through i5 as sines with lead angles. That is,  p i2 ¼ I cos (vt) ¼ I sin vt þ  2 p i3 ¼ I cos (vt þ u) ¼ I sin vt þ u þ 2 i4 ¼ I sin (vt þ c) ¼ I sin (vt þ c  p) i5 ¼ I cos (vt  F) ¼ I cos (vt  F  p)  p ¼ I sin vt  F  p þ 2 Thus, i2 leads i1 by p=2 rad, and i3 leads i1 by u þ p=2. For i4, we can take the plus sign in the argument of the sign to obtain c þ p, or we can take the minus sign to obtain c  p. The current i5 leads i1 by (3p=2  F) or by (p=2  F). An angle of 2p can be added to the argument without affecting lead or lag relationships. For part (2), we express i1 and i3 through i5 as cosines with lead angles yielding  p i1 ¼ I sin (vt) ¼ I cos vt  2 i3 ¼ I cos (vt þ u) i4 ¼ I sin (vt þ c) ¼ I sin (vt þ c  p)  p ¼ I cos vt þ c  p  2 i5 ¼ I cos (vt  F) ¼ I cos (vt  F  p)

Frequency-Domain Methods

24-57

We conclude that i1 leads i2 by (p=2) rad. (We could also say that i1 lags i2 by (p=2) rad.) Also, i3 leads i2 by u. The current i4 leads i2 by (c þ p=2) where we have chosen the plus sign in the argument of the cosine. Finally, i5 leads i2 by (p  F), where we have chosen the plus sign in the argument.

In the previous example, we have made use of the identities:  p cos (a) ¼ sin a þ ; 2

sin (a) ¼ sin (a  p)  p sin (a) ¼ cos a  2

cos (a) ¼ cos (a  p);

The concepts of phase lead and phase lag are clearly illustrated by means of phasor diagrams, which are described in Section 23.4.6.

24.3.6 Phasor Diagrams Phasors are complex numbers that represent sinusoids, so phasors can be depicted graphically on a complex plane. Such graphical illustrations are called phasor diagrams. Phasor diagrams are valuable because they present a clear picture of the relationships among the currents and voltages in a network. Furthermore, addition and subtraction of phasors can be performed graphically on a phasor diagram. The construction of phasor diagrams is demonstrated in the next example.

Example 24.23 For the network in Figure 24.68a, find I1, VR1, and VC. For Figure 24.68b, find I2, VR2, and VL. Construct phasor diagrams that illustrate the relations of the currents to the voltage excitation and the other voltages of the networks.

Solution For Figure 24.68a, we have }[v(t)] ¼ Vff0

and

}[i1 (t)] ¼ I1 ¼

V 1 R1 þ jvC

Rewriting I1, we have



2 2  VjvC VjvC 1  jvCR1 v C R1 þ jvC VvC e ju1 I1 ¼ ¼ ¼V ¼ pffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffi 2 2 2 1 þ jvCR1 1 þ jvCR1 1  jvCR1 v C R1 þ 1 v2 C2 R21 þ 1 i1(t)

+ – vR1

i2(t)

R1 + –

v= V sin ωt

R2

+ C

vC

+ –

FIGURE 24.68 (a) An RC network. (b) An RL network.

v= V sinω t

L

+ vL



– (a)

+ – vR2

(b)

Fundamentals of Circuits and Filters

24-58

where u1 ¼ tan1

vC v2 C2 R

1

¼ tan1

1 vCR1

Note that we have multiplied the numerator and denominator of I1 by the conjugate of the denominator. The resulting denominator of I1 is purely real, and so we need only consider the terms in the numerator of I1 to obtain an expression for the phase. Thus, the resulting expression for the phase contains only one term which has the form: u1 ¼ tan1

((numerator) 5(numerator)

We could have obtained the same results without application of this artifice. In this case, we would have obtained u1 ¼

p  tan1 vCR1 2

For vCR1  0, it is easy to show that the two expressions for u1 are equivalent. Because the same current flows through both network elements, we have VvCR1 e ju1 V R1 ¼ pffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffi v2 C2 R21 þ 1 and  V C ¼ I1

1 jvC



jV V ju1 pffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffi e jc ¼ ¼ pffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffi e v2 C2 R21 þ 1 v2 C2 R21 þ 1

where c¼

p þ u1 ¼  tan1 vCR1 2

For I2 in Figure 24.68b, we obtain I2 ¼

Vff0 V ffi e ju2 ¼ pffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffi 2 R2 þ jvL R2 þ w2 L2

where u2 is given by u2 ¼ tan1

vL R2

The phasor current I2 flows through both R2 and L. So we have V R2 ¼ I 2 R2

Frequency-Domain Methods

24-59

and VvL V L ¼ jvLI 2 ¼ pffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffi2ffi e jF v2 L2 þ R2 where F¼

p þ u2 2

To construct the phasor diagram in Figure 24.69a for the RC network in Figure 24.68a, we first draw a vector corresponding to the phasor transform V ¼ Vff08 of the excitation. Because the phase of this phasor is zero, it is represented as a vector along the positive real axis. The length of this vector is jVj. Then we construct the vector representing I1 ¼ jI1j eju1. Again, the length of the vector is jI1j, and it is drawn at the angle u1. The vector representing VR1 lies along I1 because the voltage across a resistor is always in phase or 1808 out of phase with the current flowing through the resistor. The vector representing the current leads VC by exactly 908. It should be noted from the phasor diagram that VR1 and VC add to produce V as required by Kirchhoff’s law. Figure 24.69b presents the phasor diagram for the RL network in Figure 24.68b. For this network, I2 lags VL by exactly 908. Also, the vector sum of the voltages VL and VR2 must be the excitation voltage V as indicated by the dotted lines in Figure 24.69b. If the excitation V sin(vt) had been V sin(vt þ F) in Figure 24.68 in the previous example, then the vectors in the phasor diagrams in Figure 24.69 would have just been rotated around the origin by F. Thus, for example, I1 in Figure 24.69a would have an angle equal to u1 þ F. The lengths of the vectors and the relative phase shifts between the vectors would remain the same. If R1 in Figure 24.68a is decreased, then from the expression for u1 ¼ tan1 (1=(vCR1)), we see that the phase of I1 is increased. As R1 is reduced further, u1 approaches 908, and the circuit becomes more nearly like a pure capacitor. However, as long as I1 leads V, we label the circuit as capacitive. As R2 in Figure 24.68b is decreased, then u2 in Figure 24.69b decreases (becomes more negative) and approaches 908. Nevertheless, as long as I2 lags V, we refer to the circuit as inductive. If both inductors and capacitors are in a circuit, then it is possible for the circuit to appear capacitive at some frequencies and inductive at others. An example of such a circuit is provided in Section 24.3.7.

Image

Image

VR1

VL

I1 V

θ1

V θ2

Real

Real

I2 VC (a)

FIGURE 24.69 24.68b.

(b)

VR2

(a) Phasor diagram for the voltages and currents in Figure 24.68a. (b) Phasor diagram for Figure

Fundamentals of Circuits and Filters

24-60

24.3.7 Resonance Resonant networks come in two basic types: the parallel resonant network and the series resonant (sometimes called antiresonant) network. More complicated networks may contain a variety of both types of resonant circuits. To see what happens at resonance, we examine a parallel resonant network at sinusoidal steady state [1]. Figure 24.70 is a network consisting of a capacitor and inductor connected in parallel, often called a tank circuit or tank, and an additional resistor R1 connected in parallel with the tank. The phasor transforms of the excitation and the currents through the elements in Figure 24.70 are  ¼ Vff0 ; I R1 ¼ V ; V R1

I C ¼ jvCV; I L ¼

V jvL

(24:85)

where V is the peak value of the excitation. The transform of the current supplied by the source is

  1 1 I 1 ¼ I 1 ffu1 ¼ I R1 þ I C þ I L ¼ V þ jvC 1  2 R1 v LC

(24:86)

The peak value of the current i1(t) at steady state is sffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffi  2  2ffi 1 1 þv2 C 2 1  2 I1 ¼ V R1 v LC

(24:87)

It is not difficult to determine that the minimum value of I1 occurs at 1 v ¼ pffiffiffiffiffiffi LC

(24:88)

which is the condition for resonance, and I1 min is given by I1 min ¼

V R1

(24:89)

This result is somewhat surprising since it means that at resonance, the source in Figure 24.70 delivers no current to the tank at steady state. However, this result does not mean that the currents through the capacitor and inductor are zero. In fact, for v2 ¼ 1=(LC) we have rffiffiffiffi C I C ¼ jV and L

rffiffiffiffi C I L ¼ jV L

i1(t)

v(t) = V sin ωt

+ –

FIGURE 24.70 Parallel resonant circuit.

iR1

R1

iC

C

iL

L

Frequency-Domain Methods

24-61

I1 =

V R1

0 IC

V

+ –

R1

IL

IT

L

C

FIGURE 24.71 Circuit of Figure 24.70 at resonance. No current is supplied to the tank by the source, but a circulating current occurs in the tank.

Image

Image ω2


I1 (a)

(b)

1 LC

Real

(c)

FIGURE 24.72 Phasor diagrams for the circuit in Figure 24.70. (a) v2 < 1=LC. (b) Diagram at resonance. (c) v2 > 1=(LC).

That is, the current through the inductor is 1808 out of phase with the current through the capacitor, and, because their magnitudes are equal, their sum is zero. Thus, at steady state and at the frequency given by Equation 24.88, the tank circuit looks like an open circuit to the voltage source. Yet, a circulating current occurs in the tank, labeled IT in Figure 24.71, which can be quite large depending on the values of C and L. That is, at resonance, rffiffiffiffi C I T ¼ jV ¼ I C ¼ I L L

(24:90)

Therefore, energy is being transferred back and forth between the inductor and the capacitor. If the inductor and capacitor are ideal, the energy transferred would never decrease. In practice, parasitic resistances, especially in a physical inductor, would eventually dissipate this energy. Of course, parasitic resistances can be modeled as additional elements in the network. Another interesting aspect of the network in Figure 24.70 is that, at low frequencies (v2 < 1=(LC)), I1 lags V, and so the network appears inductive to the voltage source. At high frequencies (v2 > 1=(LC)), I1 leads V, and the network looks capacitive to the voltage source. At resonance, the network appears as only a resistor R1 to the source. Figure 24.72 depicts phasor diagrams of V and I1 at low frequency, at resonance, and at high frequency. Figure 24.73 is the second basic type of resonant circuit—a series resonant circuit which is excited by a sinusoidal current source with phasor transform I ¼ Iff08. This circuit is dual to the circuit in Figure 24.70. The voltages across the network elements can be expressed as 

 1 V R ¼ IR; V C ¼ j I; V L ¼ jvLI vC

(24:91)

Fundamentals of Circuits and Filters

24-62

I

+

R

V

C

+ VR – + VC –

L –

+ VL –

FIGURE 24.73 Series resonant circuit.

Then, the voltage V is

  1 V ¼ I R þ j vL  vC

(24:92)

The peak value of V is sffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffi  2ffi 1 V ¼ I R2 þ vL  vC

(24:93)

where I is the peak value of I. The minimum value of V is Vmin ¼ IR

(24:94)

pffiffiffiffiffiffiffiffiffi and this occurs at the frequency v ¼ 1= (LC), which is the same resonance condition as for the circuit in Figure 24.70. Equation 24.94 demonstrates that at resonance, the voltage across the LC subcircuit in Figure 24.73 is zero. However, the individual voltages across L and across C are not zero and can be quite large in magnitude depending on the values of the capacitor and inductor. These voltages are given by rffiffiffiffi L V C ¼ jI C

rffiffiffiffi L and V L ¼ jI C

(24:95)

and therefore the voltage across the capacitor is exactly 1808 out of phase with the voltage across the inductor. At frequencies below resonance, V lags I in Figure 24.73, and therefore the circuit looks capacitive to the source. Above resonance, pffiffiffiffiffiffiffiffiffi V leads I, and the circuit looks inductive to the source. If the frequency of the source is v ¼ 1= (LC) the circuit looks like a resistor of value R to the source.

24.3.8 Power in AC Circuits If a sinusoidal voltage v(t) ¼ V sin(vt þ uV) is applied to an LLFT network that possibly contains other sinusoidal sources having the same frequency v, then a sinusoidal current i(t) ¼ I sin(vt þ uI) flows at steady state as depicted in Figure 24.74. The instantaneous power delivered to the circuit by the voltage source is p(t) ¼ v(t)i(t) ¼ VI sin (vt þ uV ) sin (vt þ uI )

(24:96)

Frequency-Domain Methods

24-63

i = I sin(ωt + θI)

LLFT network

+ v = V sin(ωt + θv)

FIGURE 24.74 generator.



LLFT network that may contain other sinusoidal sources at the same frequency as the external

where the units of p(t) are watts (W). With the aid of the trigonometric identity 1 sin a sin b ¼ ½cos (a  b)  cos (a þ b) 2 we rewrite Equation 24.96 as 1 p(t) ¼ VI ½cos (uv  uI )  cos (2vt þ uV þ uI ) 2

(24:97)

The instantaneous power delivered to the network in Figure 24.74 has a component that is constant and another component that has a frequency twice that of the excitation. At different instances of time, p(t) can be positive or negative, meaning that the voltage source is delivering power to the network or receiving power from the network, respectively. In AC circuits, however, it is usually the average power P that is of more interest than the instantaneous power p(t) because average power generates the heat or performs the work. The average over a period of a periodic function f(t) with period T is

[f (t)]avg

1 ¼F¼ T

ðT f (t)dt

(24:98)

1 p(t)dt ¼ VI cos (uV  uI ) 2

(24:99)

0

The period of p(t) in Equation 24.97 is T ¼ p=v, and so p

[p(t)]avg

v ¼P¼ p

ðv 0

The cosine term in Equation 24.99 plays an important role in power calculations and so is designated as the power factor (PF). Thus, Power factor ¼ PF ¼ cos (uV  uI )

(24:100)

If juV  uIj ¼ p=2, then PF ¼ 0, and the average power delivered to the network in Figure 24.74 is zero; but if PF ¼ 1, then P delivered to the network by the source is VI=2. If 0 < juV  uIj < p=2, then P is positive, and the source is delivering average power to the network. However, the network delivers average power to the source when P is negative, and this occurs if p=2 < juV  uIj < 3p=2.

Fundamentals of Circuits and Filters

24-64

If the current leads the voltage in Figure 24.74, the convention is to consider PF as leading, and if current lags the voltage, the PF is regarded as lagging. However, it is not possible from PF alone to determine whether a current leads or lags voltage.

Example 24.24 Determine the average power delivered to the network shown in Figure 24.68a.

Solution The phasor transform of the applied voltage is V ¼ V=08, and we determined in Example 24.24 that the current supplied was VvCe ju1 1 ffi , u1 ¼ tan1 I1 ¼ pffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffi 2 2 2 vCR 1 v C R1 þ 1 The power factor is PF ¼ cos (0  u1 ) ¼ cos (u1 ) which, with the aid of the triangle in Figure 24.75, can be rewritten as vCR1 PF ¼ pffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffi (vCR1 )2 þ 1 Thus, the average power delivered to the circuit is " # 1 V 2 vC vCR1 V 2 v 2 C 2 R1 I12 R1 ¼ P ¼ pffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffi pffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffi ¼ 2 2 2 (vCR1 )2 þ 1 2(v2 C 2 R1 þ 1) (vCR1 )2 þ 1

We note that if R1 were zero in the previous example, then P ¼ 0 because the circuit would be purely capacitive, and PF would be zero. If no sources are in the network in Figure 24.74, then the network terminal variables are related by V ¼ IZ( jv)

(24:101)

where Z(jv) is the input impedance of the network. Because Z is, general and complex, we can write it as Z( jv) ¼ R(v) þ jX(v) ¼ jZ je juZ

(24:102) (ω CR1)2+1

where R(v) ¼ 5Z( jv); X(v) ¼ (Z( jv) and   1 X(v) uZ ¼ tan R(v)

1

θ1

(24:103)

ωCR1

FIGURE 24.75 Triangle for determining PF.

Frequency-Domain Methods

24-65

In Equation 24.103, the (real) function X(v) is termed the reactance. Employing the polar form of the phasors, we can rewrite Equation 24.101 as VffuV ¼ IffuI jZ jffuZ ¼ I jZ jff(uI þ uZ )

(24:104)

Equating magnitudes and angles, we obtain V ¼ I jZ j and

uV ¼ uI þ uZ

(24:105)

Thus, we can express P delivered to the network as 1 1 P ¼ VI cos (uV  uI ) ¼ I 2 jZ j cos uZ 2 2

(24:106)

1 P ¼ I 2 R(v) 2

(24:107)

But jZj cos(uZ) ¼ R(v) so that

Equation 24.107 indicates that the real part of the impedance absorbs the power. The imaginary part of the impedance, X(v), does not absorb average power. Example 24.24 in this section provides an illustration of Equation 24.107. An expression for average power in terms of the input admittance Y(jv) ¼ 1=Z(jv) can also be obtained. Again, if no sources are within the network, then the terminal variables in Figure 24.74 are related by I ¼ VY( jv)

(24:108)

Y( jv) ¼ jY( jv)je juY ¼ G(v) þ jB(v)

(24:109)

The admittance Y( jv) can be written as

where G(v) is conductance and B(v) is susceptance, and where G(v) ¼ 5Y( jv);

B(v) ¼ (Y( jv)

and

uY ¼ tan

1

B(v) G(v)

 (24:110)

Then, average power delivered to the network can be expressed as 1 1 P ¼ V 2 jY j cos uY ¼ V 2 G(v) 2 2

(24:111)

If the network contains sinusoidal sources, then Equation 24.99 should be employed to obtain P instead of Equation 24.107 or 24.111. Consider a resistor R with a voltage v(t) ¼ V sin(vt) across it and therefore a current i(t) ¼ I sin(vt) ¼ v(t)=R through it. The instantaneous power dissipated by the resistor is p(t) ¼ v(t)i(t) ¼

v2 (t) ¼ i2 (t)R R

(24:112)

Fundamentals of Circuits and Filters

24-66

The average power dissipated in R is 1 P¼ T

ðT 2 i2 (t)Rdt ¼ Ieff R

(24:113)

0

where we have introduced the new constant Ieff. From Equation 24.113, we can express Ieff as

Ieff

sffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffi ð 1 T 2 ¼ i (t)dt T 0

(24:114)

This expression for Ieff can be read as ‘‘the square root of the mean (average) of the square of i(t)’’ or, more simply, as ‘‘the root mean square value of i(t),’’ or, even more succinctly, as ‘‘the RMS value of i(t).’’ Another designation for this constant is Irms. Equation 24.114 can be extended to any periodic voltage or current. The RMS value of a pure sine wave such as i(t) ¼ I sin(vt þ u1) or v(t) ¼ V sin(vt þ uv) is I Irms ¼ pffiffiffi 2

V or Vrms ¼ pffiffiffi 2

(24:115)

where I and V are the peak values of the sine waves. Normally, the voltages and currents listed on the nameplates of power equipment and household appliances are given in terms of RMS values instead of peak pffiffiffi values. For example, a 120 V, 100 W lightbulb is expected to dissipate 100 W when a voltage 120 ( 2)[sin(vt)] is impressed across it. The peak value of this voltage is 170 V. If we employ RMS values, Equation 24.99 can be rewritten as P ¼ Vrms Irms PF

(24:116)

Equation 24.116 emphasizes the fact that the concept of RMS values of voltages and currents was developed in order to simplify the calculation of average power. Because PF ¼ cos(uv  u1), we can rewrite Equation 24.116 as P ¼ Vrms Irms cos (uV  uI ) ¼ 5[Vrms e juV Irms ejuI ] ¼ 5½V I*

(24:117)

where I* is the conjugate of I. If P ¼ 5[V I*], the question arises as to what the imaginary part of V I* represents. This question leads naturally to the concept of complex power, denoted by the bold letter S, which has the units of volt-amperes (VA). If P represents real power, then we can write S ¼ P þ jQ

(24:118)

S ¼ V I*

(24:119)

Q ¼ (½V I* ¼ Vrms Irms sin (uV  uI )

(24:120)

where

and where

Frequency-Domain Methods

24-67 P (θV – θI) Q S

FIGURE 24.76 Power triangle for a capacitive circuit.

Thus, Q represents imaginary or reactive power. The units of Q are VARs, which stands for volt-amperes reactive. Reactive power is not available for conversion into useful work. It is needed to establish and maintain the electric and magnetic fields associated with capacitors and inductors [4]. It is an overhead required for delivering P to loads, such as electric motors, that have a reactive part in their input impedances. The components of complex power can be represented on a power triangle. Figure 24.76 is a power triangle for a capacitive circuit. Real and imaginary power are added as shown to yield the complex power S. Note that (uv  u1) and Q are both negative for capacitive circuits. The following example illustrates the construction of a power triangle for an RL circuit.

Example 24.25 Determine the components of power delivered to the RL circuit in Figure 24.77. Provide a phasor diagram for the current and the voltages, construct a power triangle for the circuit, and show how the power diagram is related to the impedances of the circuit.

Solution We have V ¼ Ve ju

Ve juI and I ¼ pffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffi R2 þ (vL)2

where uI ¼  tan1

vL R

i

v = V sin ωt

R

+ vR –

L

+ vL –

+ –

FIGURE 24.77 Network for Example 24.25.

Fundamentals of Circuits and Filters

24-68 Because uv ¼ 0, PF is

R PF ¼ cos (uV  uI ) ¼ pffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffi R2 þ (vL)2 and is lagging. The voltages across R and L are given by VRe juI V R ¼ IR ¼ pffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffi R2 þ (vL)2 p VvL V L ¼ jvLI ¼ pffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffi e jð 2 þuI Þ R2 þ (vL)2 and Z is Z ¼ R þ jvL ¼

pffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffi R2 þ (vL)2 ejuI

The real and imaginary components of the complex power are simply calculated as 2 P ¼ Irms R(v) ¼



2 Vrms R 2 R þ (vL)2

2 Vrms vL 2 R þ (vL)2

Figure 24.78 presents the phasor diagram for this circuit in which we have taken the reference phasor as I and therefore have shown V leading I by (uv  u1). Also, we have moved VL parallel to itself to form a triangle. These operations cause the phasor diagram to be similar to the power triangle. Figure 24.79a shows a representation for the impedance in Figure 24.77. If each side of the triangle in Figure 24.79a is multiplied by Irms, then we obtain voltage triangle in Figure 24.79b. Next, we multiply the sides of the voltage triangle by Irms again to obtain the power triangle in Figure 24.79c. The horizontal side is the average power P, the vertical side is Q, and the hypotenuse has a length that represents the magnitude of the complex power S. All three triangles in Figure 24.79 are similar. The angles between sides are preserved.

If P remains constant in Figure 24.76, but the magnitude of the angle becomes larger so that the magnitude of Q increases, then [S] increases. If the magnitude of the voltage is fixed, then the magnitude of the current supplied must increase. But then, either power would be lost in the form of heat in the wires supplying the load or larger diameter, more expensive wires, would be needed. For this reason,

VL V

(θV – θI) I

FIGURE 24.78 Phasor diagram for Example 24.25.

VR

Frequency-Domain Methods

24-69

|Z| = R2 + (ωL)2

ωL = XL

(θV – θI) (a)

R

I 2rms |Z| = |S|

Irms |Z| = V

IrmsωL = VL

I 2rmsωL = Q (θV – θI)

(θV – θI) (b)

Irms R = VR

(c)

I 2rms R = P

FIGURE 24.79 (a) Impedance triangle for circuit in Example 24.25. (b) Corresponding voltage triangle. (c) Power triangle.

power companies that supply power to large manufacturing firms that have many large motors impose unfavorable rates. However, the manufacturing firm can improve its rates if it improves its power factor. The following example illustrates how improving (correcting) PF is done.

Example 24.26 Determine the value of the capacitor to be connected in parallel with the RL circuit in Figure 24.80 to improve the PF of the overall circuit to one. The excitation is a voltage source having an amplitude of 120 V RMS and frequency 2p(60 Hz) ¼ 377 rad=s. What are the RMS values of the current supplied by the source at steady state before and after the capacitor is connected?

Solution The current through the RL branch in Figure 24.80 is Ve ju vL IRL ¼ pffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffi ; u ¼ tan1 2 R R2 þ (vL)

and the current through the capacitor is I C ¼ jvCV ¼ VvCe j(p=2)

I

IRL IC

V = V 0°

+ –

FIGURE 24.80 Circuit for Example 24.26.

R



L

0.0106 H

C

Fundamentals of Circuits and Filters

24-70

Thus, the current supplied by the source to the RLC network is I ¼ I RL þ I C

 V cos u vL ¼ pffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffi2ffi þ jV 2 þ vC R þ (vL)2 R2 þ (vL)

To improve the PF to one, the current I should be in phase with V. Thus, we set the imaginary term in the equation for I equal to zero, yielding: C¼

R2

L ¼ 530 mF þ (vL)2

a rather large capacitor. Before this capacitor is connected, the RMS value of the current supplied by the voltage source is Irms ¼ 26.833 A. After the capacitor is connected, the source has to supply only 12 A RMS, a considerable reduction. In both cases, P delivered to the load is the same. The following example also illustrates PF improvement.

Example 24.27 A load with PF ¼ 0.7 lagging, depicted in Figure 24.81, consumes 12 kW of power. The line voltage supplied is 220 V RMS at 60 Hz. Find the size of the capacitor needed to correct the PF to 0.9 lagging, and determine the values of the currents supplied by the source both before and after the PF is corrected.

Solution We will take the phase of the line voltage to be 08. From P ¼ VrmsIrmsPF ¼ 12 kW, we obtain Irms ¼ 77.922 A. Because PF is 0.7 lagging, the phase of the current through the load relative to the phase of the line voltage is cos1(0.7) ¼ 45.578. Therefore, Iload ¼ 77.922=(45.5788) A RMS. When C is connected in parallel with the load, I ¼ IC þ Iload ¼ 220(377)jC þ 77:922ej0:7954 ¼ 54:54  j[55:64  82,940C] If the PF were to be corrected to unity, we would set the imaginary part of the previous expression for current to zero; but this would require a larger capacitor (671 mF), which may be uneconomical. Instead, to retain a lagging but improved PF ¼ 0.9, and corresponding to the current lagging the voltage by 25.848, we write 54:54 0:9 ¼ pffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffi 54:542 þ (55:64  82,940C)2 I

I Load IC

V = V 0°

+ –

C

Load

FIGURE 24.81 Circuit for Example 24.27 showing the load and the capacitor to be connected in parallel with the load to improve the power factor.

Frequency-Domain Methods

24-71

Therefore, C ¼ 352 mF. The line current is now I ¼ IC þ Iload ¼ 60:615ff(25:87 ) A RMS

Previous examples have employed ideal voltage sources to supply power to networks. However, in many electronic applications, the source has a fixed impedance associated with it, and the problem is to obtain the maximum average power transferred to the load [2]. Here, we assume that the resistance and reactance of the load can be independently adjusted. Let the source impedance be ZS ( jv) ¼ RS (v) þ jXS (v) The load impedance is denoted as Z( jv) ¼ R(v) þ jX(v) Figure 24.82 depicts these impedances. We assume that all the elements, including the voltage source, within the box formed by the dotted lines are fixed. The voltage source is v(t) ¼ V sin(vt), and thus i(t) ¼ I sin(vt þ u), where V and I are peak values and 1

u ¼  tan

XS (v) þ X(v) RS (v) þ R(v)



The average power delivered to Z is 2 P ¼ Irms R(v)

pffiffiffi where Irms ¼ I= 2 and V I ¼ pffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffi [RS (v) þ R(v)]2 þ [XS (v) þ X(v)]2

(24:121)

Thus, the average power delivered to Z can be written as P¼

2 Vrms R(v) 2 [RS (v) þ R(v)] þ [XS (v) þ X(v)]2

ZS

v = V sin ωt

+ –

(24:122)

i

Z

FIGURE 24.82 ZS is fixed, and Z is to be chosen so that maximum average power is transferred to Z.

Fundamentals of Circuits and Filters

24-72

To maximize P, we first note that the term [XS(v) þ X(v)]2 is always positive, and so this term always contributes to a larger denominator unless it is zero. Thus, we set X(v) ¼ XS (v)

(24:123)

and Equation 24.122 becomes P¼

2 Vrms R(v) [RS (v) þ R(v)]2

(24:124)

Second, we set the partial derivative with respect to R(v) of the expression in Equation 24.124 to zero to obtain 2 qP 2 (RS þ R)  2R(RS þ R) ¼0 ¼ Vrms qR (RS þ R)4

(24:125)

R(v) ¼ RS (v)

(24:126)

Equation 24.125 is satisfied for

and this value of R(v) together with X(v) ¼ XS(v), yields maximum average power transferred to Z. Thus, we should adjust Z to Z( jv) ¼ ZS*( jv)

(24:127)

and we obtain Pmax ¼

2 Vrms 4R(v)

(24:128)

Example 24.28 Find Z for the network in Figure 24.83 so that maximum average power is transferred to Z. Determine the value of Pmax.

R1

v = V sin ωt

+ –

FIGURE 24.83 Circuit for Example 24.28.

C

Z

Frequency-Domain Methods

24-73

Solution We first obtain the Thévenin equivalent of the circuit to the left of the dotted arc in Figure 24.83 in order to reduce the circuit to the form of Figure 24.82. V 1 þ jvR1 C R1 ¼ 1 þ jvR1 C

V TH ¼ ZTH Thus,

* ¼ Z ¼ ZTH ¼

R1 R1 ¼ 1  jvR1 C 1 þ vRj1 C j 1 R1 jR1 ¼ vC 1 j þ vR1 C R1 þ j vC

The term j(vC ) appears inductive (at a single frequency), and so we equate it to jvL to obtain L¼

1 v2 C

The impedance Z is therefore formed by the parallel connection of a resistor R1 with the inductor L. Figure 24.84 depicts the resulting circuit. To determine Pmax, we note that the capacitor and inductor constitute a parallel circuit which is resonant at the frequency of excitation. It therefore appears as an open circuit to the source. Thus, Pmax is easily obtained as

2 R1 ¼ Pmax ¼ Irms

V2 8R1

where V is the peak value of v(t).

Suppose Z is fixed and ZS is adjustable in Figure 24.82. What should ZS be so that maximum average power is delivered to Z? This is a problem that is applicable in the design of electronic amplifiers. The average power delivered to Z is given by Equation 24.122, and to maximize P, we set XS(v) ¼ X(v) as before. We therefore obtain Equation 24.124 again; but if RS is adjustable instead of R, we see from Equation 24.124 that Pmax is obtained when RS equals zero.

R1

v = V sin ωt

+ –

C

L= 1 ω2C

R1

Load

FIGURE 24.84 Circuit with load chosen to obtain maximum average power.

24-74

Fundamentals of Circuits and Filters

Acknowledgments The author conveys his gratitude to Dr. Jacek Zurada, Mr. Tongfeng Qian, and to Dr. K. Wang for their help in proofreading this manuscript, and to Dr. Zbigniew J. Lata and Mr. Peichu (Peter) Sheng for producing the drawings.

References 1. A. Budak, Circuit Theory Fundamentals and Applications, 2nd edn., Englewood Cliffs, NJ: Prentice Hall, 1987. 2. L. P. Huelsman, Basic Circuit Theory with Digital Computations, Englewood Cliffs, NJ: Prentice Hall, 1972. 3. L. P. Huelsman, Basic Circuit Theory, 3rd edn., Englewood Cliffs, NJ: Prentice Hall, 1991. 4. S. Karni, Applied Circuit Analysis, New York: John Wiley & Sons, 1988. 5. L. Weinberg, Network Analysis and Synthesis, New York: McGraw-Hill, 1962.

25 Symbolic Analysis 25.1 25.2 25.3

Introduction and Definition................................................ 25-1 Frequency Domain Analysis ............................................... 25-3 Traditional Methods (Single Expressions)....................... 25-4 Tree Enumeration Methods . Signal Flowgraph Method Parameter Extraction Method . Interpolation Method

Benedykt S. Rodanski

University of Technology, Sydney

Marwan M. Hassoun Iowa State University

25.4 25.5 25.6 25.7

.

Hierarchical Methods (Sequence of Expressions) ........ 25-17 Approximate Symbolic Analysis ...................................... 25-20 Methods Based on Determinant Decision Diagrams................................................................................ 25-23 Time Domain Analysis....................................................... 25-25 Fully Symbolic

.

Semisymbolic

References .......................................................................................... 25-26

25.1 Introduction and Definition Symbolic circuit analysis, simply stated, is a term that describes the process of studying the behavior of electrical circuits using symbols instead of, or in conjunction with, numerical values. As an example to illustrate the concept, consider the input resistance of the simple circuit in Figure 25.1. Analyzing the circuit using the unique symbols for each resistor without assigning any numerical values to them yields the input resistance of the circuit in the form: Vin R1 R2 þ R1 R3 þ R1 R4 þ R2 R3 þ R2 R4 ¼ Iin R2 þ R 3 þ R 4

(25:1)

Equation 25.1 is the symbolic expression for the input resistance of the circuit in Figure 25.1. The formal definition of symbolic circuit analysis can be written as

Definition 25.1: Symbolic circuit analysis is the process of producing an expression that describes a certain behavioral aspect of the circuit with one, some, or all the circuit elements represented as symbols. The idea of symbolic circuit analysis is not new; engineers and scientists have been using the process to study circuits since the inception of the concept of circuits. Engineers have used symbolic circuit analysis during their education process. Most still use it in their every day job functions. As an example, all electrical engineers have symbolically analyzed the circuit in Figure 25.2.

25-1

Fundamentals of Circuits and Filters

25-2

The equivalent resistance between nodes i and j is known to be

R3

R1

Iin +

1 1 1 ¼ þ Rij R1 R2

Vin

R2

R4

or –

R1 R 2 Rij ¼ R1 þ R 2

FIGURE 25.1

This is the most primitive form of symbolic circuit analysis. The basic justification for performing symbolic analysis rather than numerical analysis on a circuit can be illustrated by considering the circuit in Figure 25.1 again. Assume that the values of all the resistances R1 through R4 are given as 1V and that the input resistance was analyzed numerically. The result obtained would be

Symbolic circuit analysis example. i

R1

R2

j

Vin 5 ¼  1:667 V Iin 3

(25:2)

FIGURE 25.2

Common symbolic analysis problem.

Now consider the problem of increasing the input resistance of the circuit by adjusting only one of the resistor values. Equation 25.2 provides no insight into which resistor has the greatest impact on the input resistance. However, Equation 25.1 clearly shows that changing R2, R3, or R4 would have very little impact on the input resistance since the terms appear in both the numerator and the denominator of the symbolic expression. It can also be seen that R1 should be the resistor to change since it only appears in the numerator of the expression. Symbolic analysis has provided an insight into the problem. From a circuit design perspective, numerical results from the simulation of a circuit can be obtained by evaluating the results of the symbolic analysis at a specific numerical point for each symbol. So ideally, only one simulation run is needed in order to analyze the circuit, and successive evaluations of the results replace the need for any extra iterations through the simulator. Other applications include sensitivity analysis [Lin92], [Bal04], circuit stability analysis, symbolic poles and zeros calculations [Con91], [Dro96], device modeling [Gie91], and circuit optimization [Kon92]. While the above ‘‘hand calculations’’ and somewhat trivial examples are used to illustrate symbolic circuit analysis, the thrust of the methods developed for symbolic analysis are aimed at computer implementations that are capable of symbolically analyzing circuits that cannot be analyzed ‘‘by hand.’’ Several such implementations have been developed over the years [Fer91], [Fid73], [Gie89], [Has89], [Lin70], [Mcn68], [Mie78], [Pot68], [San80], [Did91], [Sin77], [Som91], [Sta86], [Man91], [Wie89], [Kon88], [Hue89], [Has90], [Arn91], [Hsu93], [Lee92], [Li92], [Pie01], [Lib95], [Hen00]. Symbolic circuit analysis, referred to as simply symbolic analysis for the rest of this section, in its current form is limited to linear,* lumped, and time-invarianty networks. The scope of the analysis is * Some references are made to the ability to analyze ‘‘weakly nonlinear’’ circuits [Gie91], [Top98]; however, the actual symbolic analysis is performed on a linearized model of the weakly nonlinear circuit. Other techniques are applicable to circuits with only a single strongly nonlinear variable [Wen98]. y One method, reported in [Lib93] and briefly discussed in Section 25.7, does deal with a limited class of time-variant networks.

Symbolic Analysis

25-3

primarily concentrated in the frequency domain, both s-domain [Fer91], [Fid73], [Gie89], [Has89], [Lin70], [Mcn68], [Mie78], [Pot68], [San80], [Did91], [Sin77], [Som91], [Sta86], [Man91], [Wie89], [Hue89], [Has93], [Hsu93], [Lee92] and z-domain [Mar93], [Li92], [Arn91], [Kon88]; however, the predominant development has been in the s-domain. Also, recent work has expanded symbolic analysis into the time domain [Als93], [Gre93], [Lib93], [Has91]. Sections 25.2 through 25.6 will discuss the basic methods used in symbolic analysis for mainly s-domain frequency analysis. However, Section 25.7 highlights the currently known time domain techniques.

25.2 Frequency Domain Analysis Traditional symbolic circuit analysis is performed in the frequency domain where the results are in terms of the frequency variable s. The main goal of performing symbolic analysis on a circuit in the frequency domain is to obtain a symbolic transfer function of the form H(s, x) ¼

N(s, x) , D(s, x)

 x ¼ x1

x2

    xp , p  pall

(25:3)

The expression is a rational function of the complex frequency variable s, and the variables x1 through xp representing the variable circuit elements, where p is the number of variable circuit elements and pall is the total number of circuit elements. Both the numerator and the denominator of H(s, x) are polynomials in s with real coefficients. Therefore, we can write Pm Qm i [s  zi (x)] i¼0 ai (x)s P Q ¼ ni¼1 H(s, x) ¼ n i i¼0 bi (x)s i¼1 [s  pi (x)]

(25:4)

Most symbolic methods to date concentrate on the first form of H(s, x) and several algorithms exist to obtain coefficients ai(x) and bi(x) in fully symbolic, partially symbolic (semisymbolic), or numerical form. The zero=pole representation of H(s, x), although more useful in gaining insight into circuit behavior, proved to be very difficult to obtain in symbolic form for anything but very simple circuits. For large circuits, various approximation techniques must be employed [Hen00], [Con96]. A more recent approach to representing the above network function emerged in the 1980s and is based on a decomposed hierarchical form of Equation 25.3 [Sta86], [Has89], [Has93], [Sta96], [Pie96]. This hierarchical representation is referred to as a sequence of expressions (SoE) representation to distinguish it from the single expression representation of Equation 25.3 and is addressed in Section 25.4. Several methodologies exist to perform symbolic analysis in the frequency domain. The early work was to produce a transfer function H(s) with the frequency variable s being the only symbolic variable. Computer programs with these capabilities include CORNAP [Pot68] and NASAP [Mcn68]. The interest in symbolic analysis today is in the more general case when some or all of the circuit elements are represented by symbolic variables. The methods developed for this type of analysis fall under one of the following categories: Traditional methods (single expression): 1. Tree enumeration methods a. Single graph methods b. Two graph methods 2. Signal flowgraph (SFG) methods 3. Parameter extraction methods a. Modified nodal analysis-based (MNA) methods b. Tableau formulation-based methods 4. Interpolation method

Fundamentals of Circuits and Filters

25-4

Hierarchical methods (sequence of expressions): 1. SFG methods 2. MNA-based methods The classification above includes the exact methods only. For large circuits the traditional methods suffer from exponential growth of the number of terms in the formula with circuit size. If a certain degree of error is allowed, it may be possible to simplify the expression considerably, by including only the most significant terms. Several approximate symbolic methods have been investigated [Hsu93], [Yu96], [Hen00]. Sections 25.3 through 25.5 will discuss the basic theory for the above methods. Circuit examples are shown for all major methods except for the interpolation method due to its limited current usage* and its inability to analyze fully symbolic circuits.

25.3 Traditional Methods (Single Expressions) This class of methods attempts to produce a single transfer function in the form of Equation 25.3. The major advantage of having a symbolic expression in that form is the insight that can be gained by observing the terms in both the numerator and the denominator. The effects of the different terms can, perhaps, be determined by inspection. This process is valid for the cases where there are relatively few symbolic terms in the expression. Before indulging in the explanation of the different methods covered by this class, some definition of terms is in order.

Definition 25.2: RLCgm circuit is one that may contain only resistors, inductors, capacitors, and voltage-controlled current sources with the gain (transconductance) designated as gm.

Definition 25.3: Term cancellations is the process in which two equal symbolic terms cancel out each other in the symbolic expression. This can happen in one of two ways: by having two equal terms with opposite signs added together, or by having two equal terms (regardless of their signs) divided by each other. For example, the equation ab(ab þ cd)  ab(cd  ef ) ab(cd  gh)

(25:5)

where a, b, c, d, e, f, g, and h are symbolic terms and can be reduced by observing that the terms ab in the numerator and denominator cancel each other and the terms þcd and cd cancel each other in the numerator. The result is ab þ ef cd  gh

(25:6)

Definition 25.4: Cancellation-free: Equation 25.6 is said to be a cancellation-free equation (i.e., no possible cancellations exist in the expression) while Equation 25.5 is not. * The main applications of the polynomial interpolation method in symbolic analysis are currently in numerical reference generation for symbolic approximation [Fer98] and calculation of numerical coefficients in semisymbolic analysis [Pie93].

Symbolic Analysis

25-5

Definition 25.5: Cancellation-free algorithm: The process of term cancellation can occur during the execution of an algorithm where a cancellation-free equation is generated directly rather than generating an expression with possible term cancellations in it. Cancellation-free algorithms are more desirable because, otherwise, an overhead is needed to generate and keep the terms that are to be canceled later. The different methods that fall under the traditional class are explained next.

25.3.1 Tree Enumeration Methods Several programs have been produced based on this method [Cal65], [Mcc72], [Gat70], [Man72]. Practical implementations of the method can only handle small circuits in the range of 15 nodes and 30 branches [Chu75]. The main reason is the exponential growth in the number of symbolic terms generated. The method can only handle one type of controlled sources, namely voltage-controlled current sources. So only RLCgm circuits can be analyzed. Also, the method does not produce any symbolic term cancellations for RLC circuits, and produces only a few for RLCgm circuits. The basic idea of the tree enumeration method is to construct an augmented circuit (a slightly modified version of the original circuit), its associated directed graph, and then enumerating all the directed trees of the graph. The admittance products of these trees are then used to find the node admittance matrix (NAM) determinant and cofactors (the matrix itself is never constructed) to produce the required symbolic transfer functions. For a circuit with n nodes (with node n designated as the reference node) where the input is an excitation between nodes 1 and n and the output is taken between nodes 2 and n, the transfer functions of the circuit can be written as V1 D11 ¼ I1 D

(25:7)

Vo V2 D12 ¼ ¼ Iin I1 D

(25:8)

Vo V2 D12 ¼ ¼ Vin V1 D11

(25:9)

Zin ¼

where D is the determinant of the NAM Yn (dimension n  1 3 n  1) Dij is the ijth cofactor of Yn It can be shown that a simple method for obtaining D, D11, and D12 is to construct another circuit comprised of the original circuit with an extra admittance ^yS in parallel with a voltage-controlled current ^ n (the NAM source, ^g mV2, connected across the input terminals (nodes 1 and n). The determinant of Y for the new, slightly modified, circuit) can be written as ^ ¼ D þ ^yS D11 þ ^gm D12 D

(25:10)

This simple trick allows the construction of the determinant expression of the original circuit and its two needed cofactors by simply formulating the expression for the new augmented circuit. Example 25.1 illustrates this process. The basic steps of the tree enumeration algorithm are (condensed from [Chu75]) 1. Construct the augmented circuit from the original circuit by adding an admittance ^yS and a transconductance ^g mV2, in parallel between the input node and the reference node. 2. Construct a directed graph Gind associated with the augmented circuit. The stamps used to generate Gind are shown in Figure 25.3. 3. Find all directed trees for Gind. A directed tree rooted at node i is a subgraph of Gind with node i having no incoming branches and each other node having exactly one incoming branch.

Fundamentals of Circuits and Filters

25-6

y

i

y

j

i

j y

p + Vpq –q

FIGURE 25.3

i gmVpq

p

j

q

–gm gm

i gm j

–gm

Element stamps for generating Gind.

4. Find the admittance product for each directed tree. An admittance product of a directed tree is simply a term that is the product of all the weights of the branches in that tree. 5. Apply Theorem 25.1.

THEOREM 25.1: [CHU75] For any RLCgm circuit, the determinant of the NAM (with any node as the reference node) is equal to the sum of all directed tree admittance products of Gind (with any node as the root). In other words ^¼ D

X

tree admittance products

(25:11)

Arranging Equation 25.11 in the form of Equation 25.10 results in the necessary determinant and cofactors of the original circuit and the required transfer functions are generated from Equations 25.7 through 25.9.

Example 25.1 A circuit and its augmented counterpart are shown in Figure 25.4. The circuit is the small-signal model of a simple inverting CMOS amplifier, shown with the coupling capacitance CC taken into account. Figure 25.5 shows the directed graph associated with the augmented circuit constructed using the rules shown in Figure 25.3. The figure also shows all the directed trees rooted at node 3 of the graph. Parallel branches heading in the same direction are combined into one branch with a weight equal to the sum of the weights of the individual parallel branches. VDD Vbias 1

CC V2

V1

FIGURE 25.4

CC

1

2 gmV1

go

ˆys

3

Circuit of Example 25.1 and its augmented equivalent diagram.

CC

ˆgmV2 3

2 gmV1

go

Symbolic Analysis

25-7 sC – gm sC – gˆm 1

2

1

2 Directed tree #1

gm + ˆys

ˆgm + ˆys

go + gm

gm + ˆys

go + ˆgm

go + ˆgm

3

3 sC – gm

sC – ˆgm 1

2

1

2

Directed tree #2

gm + ˆys

go + ˆgm

Directed tree #2

3

3

FIGURE 25.5 Graph and its directed trees of Example 25.1.

Applying Equation 25.11 and rearranging the terms results in ^ ¼ ( gm þ ^yS )( go þ ^gm ) þ (sCC  gm )( go þ ^gm ) þ (gm þ ^yS )(sCC  ^gm ) D ¼ sCC ( gm þ go ) þ ^yS (sCC  go ) þ ^gm (sCC  gm ) |fflfflfflfflfflfflfflfflffl{zfflfflfflfflfflfflfflfflffl} |fflfflfflfflfflffl{zfflfflfflfflfflffl} |fflfflfflfflfflffl{zfflfflfflfflfflffl} D

D11

(25:12)

D12

Note the fact that Equation 25.12, which is the direct result of the algorithm, is not cancellation-free. Some terms cancel out to result in the determinant of the original circuit and its two cofactors of interest. The final transfer functions can be readily obtained by substituting the above results into Equations 25.7 through 25.9.

25.3.2 Signal Flowgraph Method Two types of flowgraphs are used in symbolic analysis. The first is referred to as a Mason’s SFG and the second as Coates graph. Mason’s SFG is by far a more popular and well-known SFG which has been used extensively in symbolic analysis among other control applications. Both the Mason’s SFG and the Coates graph are used as basis for hierarchical symbolic analysis. However, the Coates graph was introduced to symbolic analysis by [Sta86] solely for the purpose of performing hierarchical symbolic analysis. This section will cover the Mason’s SFG only. The symbolic methods developed here are based on the idea formalized by Mason [Mas56] in the 1950s. Formulation of the SFG and then the evaluation of the gain formula associated with it (Mason’s formula) is the basis for symbolic analysis using this method. This method is used in the publicly available programs NASAP [Mcn68], [Okr70], and SNAP [Lin70]. The method has the same circuit size limitations as the tree enumeration method due to the exponential growth in the number of symbolic terms. However, the SFG method allows all four types of controlled sources to be analyzed which made it a more popular method for symbolic analysis. The method is not cancellation-free which contributes to

Fundamentals of Circuits and Filters

25-8

the circuit size limitation mentioned earlier. An improved SFG method that avoids term cancellations was described in [Mie78]. The analysis process of a circuit consists of two parts, the first is constructing the SFG for the given circuit and the second is to perform the analysis on the SFG. Some definitions are needed before proceeding to the details of these two parts.

Definition 25.6: Signal flowgraph: An SFG is a weighted directed graph representing a system of simultaneous linear equations. Each node (xi) in the SFG represents a circuit variable (node voltage, branch voltage, branch current, capacitor charge, or inductor flux) and each branch weight (wij) represents a coefficient relating xi to xj. Every node in the SFG can be looked at as a summer. For a node xk with m incoming branches xk ¼

X

wik xi

(25:13)

i

where i spans the indices of all incoming branches from xi to xk.

Definition 25.7: Path weight: The weight of a path from xi to xj (Pij) is the product of all the branch weights in the path.

Definition 25.8: Loop weight: The weight of a loop is the product of all the branch weights in that loop. This also holds for a loop with only one branch in it (self-loop).

Definition 25.9: nth order loop: An nth order loop is a set of n loops that have no common nodes between any two of them. The weight of an nth order loop is the product of the weights of all n loops. Any transfer function xj=xi, where xi is a source node, can be found by the application of Mason’s formula: xj 1 X ¼ Pk Dk xi D k

(25:14)

where D ¼ 1

X

directed loop weights

all

þ

X

2nd order loop weights

all



X

3rd order loop weights

all

þ Pk ¼ weight of the kth path from the source node xi to xj Dk ¼ D with all loop contributions that are touching Pk eliminated The use of the above equations can be illustrated via Example 25.2.

(25:15) (25:16)

Symbolic Analysis

25-9

Example 25.2 Consider the circuit in Figure 25.6. The formulation of the SFG for this circuit takes on the following steps: 1. Find a tree and a cotree of the circuit such that all current sources are in the cotree and all voltage sources are in the tree. 2. Use Kirchhoff’s current law (KCL), branch admittances, and tree branch voltages to find an expression for every cotree link current. In the case of a controlled source, simply use the branch relationship. For the previous example, this yields: IC ¼ sCC (V1  V2 ) ¼ sCC V1  sCC V2 I ¼ gm V1 3. Use Kirchhoff’s voltage law (KVL), branch impedances, and cotree link currents to find an expression for every tree branch voltage. In the case of a controlled source, simply use the branch relationship. For the previous example, this yields: Vgo ¼ V2 ¼

1 (I þ IC ) go

4. Create the SFG by drawing a node for each current source, voltage source, tree branch voltage, and cotree link current. 5. Use Equation 25.13 to draw the branches between the nodes that realize the linear equations developed in the previous steps. Figure 25.7 shows the result of executing the above five steps on the example circuit. This formulation is referred to as the compact SFG. Any other variables that are linear combinations of the variables in the SFG (e.g., node voltages) can be added to the SFG by simply adding the extra node and implementing the linear relationship using SFG branches. A more detailed discussion of SFGs can be found in [Chu75] and [Lin91]. Now applying Equations 25.15 and 25.16 yields: gm P1 ¼  , go

1

IC

sCC P2 ¼ , go

sCC L1 ¼  , go

  sCC , D¼1  go

V1

CC

D1 ¼ 1, D2 ¼ 1

sCC

IC

2 I

V1

go

+

3

gm

gmV1 V2

I –1/go

FIGURE 25.6 highlighted.

1/go

–sCC

Circuit for Example 25.2 with its tree FIGURE 25.7

SFG for Example 25.2.

Fundamentals of Circuits and Filters

25-10 Equation 25.14 then produces the final transfer function

  V2 1 gm sCC sCC  gm ¼ ¼  þ V1 1 þ sCC go go sCC þ go go

25.3.3 Parameter Extraction Method This method is best suited when few parameters in a circuit are symbolic while the rest of the parameters are in numeric form (s being one of the symbolic variables). The method was introduced in 1973 [Ald73]. Other variations on the method were proposed later in [Sin77], [San80], and [Pie93]. The advantage of the method is that it is directly related to the basic determinant properties of widely used equation formulation methods like the modified nodal method [Ho75] and the tableau method [Hac71]. As the name of the method implies, it provides a mechanism for extracting the symbolic parameters out of the matrix formulation breaking the matrix solution problem into a numeric part and a symbolic part. The numeric part can then be solved using any number of standard techniques and recombined with the extracted symbolic part. The method has the advantage of being able to handle larger circuits than the previously discussed fully symbolic methods if only a few parameters are represented symbolically. If the number of symbolic parameters in a circuit is high, the method will exhibit the same exponential growth in the number of symbolic terms generated and will have the same circuit size limitations as the other algorithms previously discussed. The method does not limit the type of matrix formulation used to analyze the circuit. However, the extraction rules depend on the pattern of the symbolic parameters in the matrix. [Ald70] use the indefinite admittance matrix (IAM) as the basis of the analysis and the rules depend on the appearance of a symbolic parameter in four locations in the matrix: (i, i), (i, j), (j, i), and (j, j). Singhal and Vlach [Sin77] use the tableau equations and can handle a symbolic parameter that only appears once in the matrix. Sannuti and Puri [San80] force the symbolic parameters to appear only on the diagonal using a two-graph method [Chu75] to write the tableau equations. The parameter extraction method was further simplified in [Pie93] where the formula is given to calculate a coefficient (generally a polynomial in s) at every symbol combination. Some invalid symbol combinations (i.e., the ones that do not appear in the final formula) can be eliminated before calculations by topological considerations. To illustrate both approaches to parameter extraction, this section will present the IAM formulation and the most recent two-graph method. Details of other formulations can be found in [Lin91], [Sin77], [San80], and [Mie78]. 25.3.3.1 Indefinite Admittance Matrix Approach One of the basic properties of the IAM is the symmetric nature of the entries sometimes referred to as quadrantal entries [Chu75], [Lin91]. A symbolic variable a will always appear in four places in the IAM, þa in entries (i, k) and (j, m), and a in entries (i, m) and (j, k) as shown in the following equation: 2 k .. 6 . i6 6 a  6 . 6 .. 6 j 6 a    4 .. .

m 3 .. . 7 a 7 7 .. 7 . 7 7 a 7 5 .. .

where i 6¼ j and k 6¼ m. For the case of an admittance y between nodes i and j, we have k ¼ i and j ¼ m. The basic process of extracting the parameter (the symbol) a can be performed by applying the following equation [Chu75], [Ald73]: Cofactor of Yind ¼ cofactor of Yind,a¼0 þ (1) jþm a (cofactor of Ya )

(25:17)

Symbolic Analysis

25-11

where Ya is a matrix that does not contain a and is obtained by 1. Adding row j to row i 2. Adding column m to column k 3. Deleting row j and column m For the case where several symbols exist, the above extraction process can be repeated and would result in X Pj cof (Yj ) cof (Yind ) ¼ j

where Pj is some product of symbolic parameters including the sign Yj is a matrix with the frequency variable s, possibly, being the only symbolic variable The cofactor of Yj may be evaluated using any of the usual evaluation methods [Chu75], [Vla94]. Programs implementing this technique include NAPPE2 [Lin91] and SAPWIN [Lib95].

Example 25.3:

[Chu75]

Consider the resistive circuit in Figure 25.8. The goal is to find the input impedance Z14 using the parameter extraction method where gm is the only symbolic variable in the circuit. In order to use Equations 25.7 and 25.10 an admittance ^y S is added across the input terminals of the circuit to create the augmented circuit. The IAM is then written as (conductances in siemens [S]) 2

^ ind Y

6 þ ^yS 6 g 5 6 m ¼6 4 gm  1 ^yS

5 15:1 10 0:1

3 1 ^yS gm  10 0:1 7 7 7 gm þ 13 2 5 ^yS þ 2:1 2

Applying Equation 25.17 to extract ^y S results in 2

6 5 6 g 5 15:1 m ^ ind ) ¼ cof 6 cof(Y 6 4 gm  1 10 0 0:1

3 1 0 2 8:1 5:1 gm  10 0:1 7 7 ^ 7 þ yS cof 4 gm  5:1 15:1 gm þ 13 2 5 gm  3 10 2 2:1

R2

1 R1 1.0

2 R7

0.2

0.1

3

yˆ s

gmV13

R3 0.5 4

FIGURE 25.8

Circuit for the parameter extraction method (resistances in V).

R6 10.0

3 3 gm  10 5 gm þ 13

Fundamentals of Circuits and Filters

25-12 Applying Equation 25.17 again to extract gm yields 2

6

5

1

3

0

3 2 5 5 0 7 15:1 10 0:1 7 7 7 þ gm cof 6 4 3 5:1 2:1 5 10 þ13 2 7 5 2 0:1 2:1 0 0:1 2 2:1 3 2 " # 8:1 5:1 3 5:1 5:1 7 6 þ ^yS cof 4 5:1 15:1 10 5 þ ^yS gm cof 5:1 5:1 3 10 13

6 6 5 ^ ind ) ¼ cof 6 cof(Y 6 1 4

After evaluating the cofactors numerically, the equation reduces to ^ ind ) ¼ 137:7 þ 10:5gm þ 96:3^yS þ 5:1^yS gm cof(Y From Equation 25.10 this results in Z14 ¼

D11 96:3 þ 5:1gm ¼ D 137:7 þ 10:5gm

25.3.3.2 Two Graph-Based Tableau Approach [Pie93] This approach also employs the circuit augmentation by ^yS and ^g mVo, as in the tree enumeration method. It calls for a construction of two graphs: the voltage graph (GV or V-graph) and the current graph (GI or I-graph). For the purpose of parameter extraction (as well as generation of approximate symbolic expressions, Section 25.5), it is required that both graphs have the same number of nodes (n). This means that the method can be directly applied only to RLCgm circuits. (All basic circuit components, including ideal op-amps, can be handled by this approach after some circuit transformations [Lin91], [Vla94]. For the sake of simplicity, however, only RLCgm circuits will be considered in this presentation.) The two graphs are constructed based on the element stamps shown in Figure 25.9. Once the two graphs are constructed, a common spanning tree (i.e., a set of n  1 branches that form a spanning tree in both voltage and current graphs) is chosen. Choosing the common spanning tree (referred to just as ‘‘tree’’ in the remainder of this section) uniquely determines the cotree in each graph.

y

i

p + Vpq –q Element

FIGURE 25.9

j

i

i gmVpq

p

j

q

y

j

i

i

p

gm

j

i gm

j

Current graph

Element stamps for generating GV and GI.

y

q

j Voltage graph

Symbolic Analysis

25-13

The tableau equation for such a network can be written as 2

1 6B 6 T Hx ¼ 6 4 0 0

0 1

ZT 0

0 YC

1 0

3 32 0 VT 6 7 0 7 76 VC 7 7¼0 76 QC 54 IT 5 1

(25:18)

IC

The first and last rows of the system matrix H in Equation 25.18 consists of tree (.T) and cotree (.C) branch voltage–current relationships and the second and third rows consist of fundamental loop and fundamental cut-set equations for GV and GI, respectively. Let the circuit have n nodes and b branches and contain k symbolic components (YS1, . . . , YSk) in the cotree branches (links) and l symbolic components (ZS1, . . . , ZSl) in the tree branches; we define w ¼ b  n  k þ 1, t ¼ n  l  1. Diagonal matrices YC and ZT can be partitioned as follows:  YC ¼



YCs

0

0

YCn

 , ZT ¼

ZTs

0

0

ZTn



where subscript ‘‘s’’ denotes immitances of symbolic components and subscript ‘‘n’’ denotes immitances of components given numerically. Matrices BT (fundamental loop matrix in GV) and QC (fundamental cut-set matrix in GI) can also be partitioned as follows:  BT ¼

B11

B12

B21

B22



 , QC ¼

Q11

Q12

Q21

Q22



Rows of B11 and B12 correspond to symbolic cotree branches (in GV) and their columns correspond to symbolic and numeric tree branches, respectively. Rows of B21 and B22 correspond to numeric cotree branches. Rows of Q11 and Q12 correspond to symbolic tree branches and their columns correspond to symbolic and numeric cotree branches, respectively. Rows of Q21 and Q22 correspond to numeric tree branches. The submatrices are therefore of the following order: B11: k 3 l, B22: w 3 t, Q11: l 3 k, Q22: t 3 w. Let Sx ¼ {1, 2, . . . , x}. For a given matrix F of order a 3 b, let F(Iu, Jv) be the submatrix of F consisting of the rows and columns corresponding to the integers in the sets Iu, Jv, respectively. The sets Iu ¼ {i1, i2, . . . , iu} and Jv ¼ {j1, j2, . . . , jv} are subsets of Sa and Sb, respectively. Let us also introduce the following notation: 1cd ¼ diag½ e1 e2    ed ; c < d ( 0 for x 2 {1, 2, . . . , c} ex ¼ 1 for x 2 {c þ 1, c þ 2, . . . , d} The determinant of the system matrix H in Equation 25.18, when some parameters take fixed numerical values, is det H ¼ a þ

X

b(av )ZSj1 ZSj2    ZSjv þ

Jv

þ

XX Iu

X

c(bu )YSi1 YSi2    YSiu

Iu

d(av bu )ZSj1 ZSj2    ZSjv YSi1 YSi2    YSiu

(25:19)

Jv

where the summations are taken over all possible symbol combinations av (symbolic tree elements) and bu (symbolic cotree elements), and the numerical coefficients are given by

Fundamentals of Circuits and Filters

25-14

det H ¼ a þ

X

b(av )Zsj1 Zsj2    Zsjv þ

Jv

þ

XX Iu

X

c(bu )Ysi1 Ysi2    Ysiu

Iu

d(av bu )Zsj1 Zsj2    Zsjv Ysi1 Ysi2    Ysiu

Jv



 

 a ¼ det 1w þ B022 Q022 ¼ det 1t þ Q022 B022 " # ! Q12 (Jv , Iw ) ½ B021 (Iw , Jv ) B022  b(av ) ¼ det 1vtþv þ 0 (25:20) Q22 " # ! B12 (Iu , Jt ) u 0 0 ½ Q21 (Jt , Iu ) Q22  c(bu ) ¼ det 1wþu þ B022 0 1 2 3 Q11 (Jv , Iu ) Q12 (Jv , Iw ) " # B v 6 7 B11 (Iu , Jv ) B12 (Iu , Jt ) 1u C 0 C 6 7 d(av bu ) ¼ detB Q022 @1vþtþu þ 4 Q21 (Jt , Iu ) A 5 0 B21 (Iw , Jv ) B022 0 1u 0 In the above equations, 0 represents a zero matrix of appropriate order, and the submatrices B0ij and Q0ij are defined as B021 (Iw , Jv ) ¼ YCn B21 (Iw , Jv ),

B022 ¼ YCn B22

Q021 (Jt , Iu ) ¼ ZTn Q21 (Jt , Iu ),

Q022 ¼ ZTn Q22

(25:21)

where the submatrix B21(Iw, Jv) is obtained from the submatrix B21 by including all of its rows and only columns corresponding to a particular combination (av) of symbolic tree elements; submatrix Q21(Jt, Iu) is obtained from the submatrix Q21 by including all of its rows and only columns corresponding to a particular combination (bu) of symbolic cotree elements. Application of Equations 25.19 and 25.20 for a circuit with m symbolic parameters requires, theoretically, the calculation of 2m determinants. Not all of these determinants may need to be calculated due to the following property of the determinants in Equation 25.20. If a set of symbolic tree elements (av) forms a cut-set in GI (symbolic tree cut-set), then the corresponding coefficients b(av) and d(avbu) in Equation 25.19 equal to zero. Likewise, if the set of symbolic cotree elements (bu) forms a loop in GV (symbolic cotree loop), the corresponding coefficients c(bu) and d(avbu) in Equation 25.19 equal to zero. Once the determinant det(H) is obtained from Equation 25.19, the sorting scheme, identical to that expressed in Equation 25.10, is applied and the required network functions can be calculated using Equations 25.7 through 25.9. The main feature of this approach is the fact that each coefficient at a valid symbol combination is obtained directly by calculating a single, easily formulated determinant (a polynomial in s, in general case). The method was implemented in a computer program UTSSNAP [Pie98]. Example 25.4 illustrates this technique of parameter extraction.

Example 25.4 Consider again the circuit in Figure 25.8. Assume this time that two components, R1 and gm, are given symbolically. The goal is again to find the input impedance Z41 in a semisymbolic form using the parameter extraction method based on the two-graph tableau formulation. The voltage and current graphs of the circuit are shown in Figure 25.10. The common spanning tree chosen is T ¼ {R1, R2, R3} with one symbolic element. For this circuit we have n ¼ 4, b ¼ 7, k ¼ 2, l ¼ 1, w ¼ 2, and t ¼ 2.

Symbolic Analysis

25-15 R2

1 R1

2

R2

1

R7

R1

3

R6

R3

R7

gm

gm ˆys

2

GI

4

R6

3 R3

ˆys

GV

4

FIGURE 25.10 The current and voltage graphs for the circuit in Figure 25.8 with the common spanning tree highlighted.

The matrices, YC, ZT, QC, and BT, can now be determined as 2^ yS 6 6 YC ¼ 6 4

3 7 7 7 5

gm 0:1

2 6 ZT ¼ 4

1 1 6 QC ¼ 4 0 1 1 0

1 1 1

3

1 7 1 5 0

7 5

0:2 0:5

10 2

3

R1

2

1

0

1

0 1 1

6 1 6 BT ¼ 6 4 1

1

3

0 7 7 7 1 5 0

Using Equation 25.21 we can calculate matrices B022 and Q022 : B022 ¼



0:1 0

0 10



  0:1 1 1 ¼ 10 1 0

  0:1 0:2 , Q022 ¼ 0 0

0 0:5



1 1

  0:2 1 ¼ 0:5 0

0:2 0



Now, applying Equation 25.20, the coefficient a in Equation 25.19 is calculated as  1 a ¼ det 0

  0:1 0 þ 10 1

0:1 0



0:2 0:5

0:2 0





1:07 ¼ det 2

 0:02 ¼ 3:17 3

Since there is only one symbolic tree element, namely R1, we have: av ¼ {R1} and the associated sets: Jv ¼ {1}, Iw ¼ {1, 2}. Using Equation 25.21, we calculate B021 (Iw , Jv )



0:1 ¼ YCn B21 (Iw , Jv ) ¼ 0

0 10



   0:1 1 ¼ 10 1

The coefficient b(R1) can be now obtained from 02

3 3 2 0 1 1  7 0:1 7 6 0 5 þ 4 0:2 0:2 5 10 0:5 0 0 0 1 3 2 10:1 10:1 0:1 7 6 ¼ det4 2:02 3:02 0:02 5 ¼ 10:6 0:05 0:05 1:05

0 B6 b(R1 ) ¼ det@4 0

0 1

0:1 10

1  0:1 C A 0

Fundamentals of Circuits and Filters

25-16

Other numerical coefficients in Equation 25.19 are calculated in similar way: c(^y S) ¼ 1.51, c(gm) ¼ 0, c(^y Sgm) ¼ 0, d(R1^y S) ¼ 8.12, d(R1gm) ¼ 1.05, d(R1^y Sgm) ¼ 0.51 Adding all terms, sorting according to Equation 25.10, and applying Equation 25.7 finally results in Z41 ¼

1:51 þ 8:12R1 þ 0:51R1 gm 3:17 þ 10:6R1 þ 1:05R1 gm

Matrices in Equation 25.20 may contain terms dependent on the complex frequency s. Determinants of such matrices are polynomials in s as long as all matrix elements are of the form: a ¼ a þ sb. An interpolation method may be used to calculate the coefficients of those polynomials. One such method is briefly described in Section 25.3.4.

25.3.4 Interpolation Method This method is best suited when s is the only symbolic variable. In such case, a transfer function has the rational form Pm N(s) ai si ¼ Pi¼0 H(s) ¼ n i D(s) i¼0 bi s where N(s) and D(s) are polynomials in s with real coefficients and m  n. Coefficients of an nth order polynomial P(s) ¼

n X

pk s k

k¼0

can be obtained by calculating the value of P(s) at n þ 1 distinct points si and then solving the following set of equations: 2

1 s0 61 s 1 6 6 .. 6 4 . 1 sn

s20 s21 s2n

3 32 3 2    sn0 p0 P(s0 ) 7 6 7 6    sn1 7 76 p1 7 6 P(s1 ) 7 76 . 7 ¼ 6 . 7 76 . 7 6 . 7 54 . 5 4 . 5 n P(sn ) pn    sn

(25:22)

Since the matrix in Equation 25.22 is nonsingular, the unique solution exists. It is well known [Sin74], [Vla94] that for numerical accuracy and stability the best choice of the interpolation points is a set of q  n þ 1 points si uniformly spaced on the unit circle in the complex plane. Once all the values of P(si) are known, the polynomial coefficients can be calculated through the discrete Fourier transform (DFT). To apply this technique to the problem of finding a transfer function, let us assume that a circuit behavior is described by a linear equation Ax ¼ b

(25:23)

in which the coefficient matrix has entries of the form a ¼ a þ sb (both the modified nodal and the tableau methods have this property). Then, each transfer function of such circuit has the same denominator D(s) ¼ jAj. If the circuit Equation 25.23 is solved by LU factorization at s ¼ si, both the transfer function H(si) and its denominator D(si) are obtained simultaneously. The value of the numerator is then

Symbolic Analysis

25-17

calculated simply as N(si) ¼ H(si)D(si). Repeating this process for all points si (i ¼ 0, 1, . . . , q) and then applying the DFT to both sets of values, D(si) and N(si), give the required coefficients of the numerator and denominator polynomials. If the number of interpolation points is an integer power of 2 (q ¼ 2k), the method has the advantage that the fast Fourier transform (FFT) can be used to find the coefficients. This greatly enhances the execution time [Lin91]. The method has been extended to handle several symbolic variables in addition to s [Sin74]. The program implementation [Vla94] allows a maximum of five symbolic parameters in a circuit. With the emergence of approximate symbolic analysis, the polynomial interpolation method has attracted new interest. (It is desirable to know the accurate numerical value of polynomial coefficients before one attempts an approximation.) Recently a new adaptive scaling mechanism was proposed [Fer98] that significantly increases the circuit size that can be handled accurately and efficiently. There are other classifications of symbolic methods that have been reported [Gie91]. These methods can be considered as variations on the above basic four methods. The reported methods include elimination algorithms, recursive determinant-expansion algorithms, nonrecursive nested-minors method. All three are based on the use of Cramer’s rule to find the determinant and the cofactors of a matrix. Another reported class of algorithms uses MNA [Ho75] as the basis of the analysis, sometime referred to as a direct network approach [Has89], [Lib93]. This class of methods is covered in Section 25.4. The first generation of computer programs available for symbolic circuit simulation based on these methods includes NASAP [Mcn68] and SNAP [Lin70]. Research in the late 1980s and early 1990s has produced newer symbolic analysis programs. These programs include ISSAC [Gie89], SCAPP [Has89], ASAP [Fer91], EASY [Som91], SYNAP [Sed92], SAPEC [Man91], SAPWIN [Lib95], SCYMBAL [Kon88], GASCAP [Hue89], SSPICE [Wie89], and STAINS [Pie01].

25.4 Hierarchical Methods (Sequence of Expressions) All of the methods presented in Section 25.3 have circuit size limitations. The main problem is the exponential growth of the number of symbolic terms involved in the expression for the transfer function in Equation 25.3 as the circuit gets larger. The solution to analyze large-scale circuits lies in a total departure from the traditional procedure of trying to state the transfer function as a single expression and using a SoE procedure instead. The idea is to produce a succession of small expressions with a backward hierarchical dependency on each other. The growth of the number of expressions in this case will be, at worse case, quadratic [Has89]. The advantage of having the transfer function stated in a single expression lies in the ability to gain insight to the relationship between the transfer function and the network elements by inspection [Lin73]. For large expressions, though, this is not possible and the single expression loses that advantage. ISSAC [Wam92], ASAP [Fer92], SYNAP [Sed92], and Analog Insydes [Hen00] attempt to handle larger circuits by maintaining the single expression method and using circuit-dependent approximation techniques. The trade-off is accuracy for insight. Therefore, the SoE approach is more suitable for accurately handling large-scale circuits. Example 25.5 illustrates the features of the SoE.

Example 25.5 Consider the resistance ladder network in Figure 25.11. The goal is to obtain the input impedance function of the network, Zin ¼ Vin=Iin. The single expression transfer function Z4 is Z4 ¼

R1 R3 þ R1 R4 þ R2 R3 þ R2 R4 þ R3 R4 R1 þ R2 þ R3

Fundamentals of Circuits and Filters

25-18

n+1

R2n

n

R2n – 2

n–1

R4

3

R2n – 3

R2n – 1

R2

2

1

R3

R1

0

Z2n

Z2n – 1

Z4

Z3

Z2

Z1

FIGURE 25.11 Resistance ladder network.

The number of terms in the numerator and denominator is given by the Fibonacci numbers satisfying the following difference equation: ykþ2 ¼ ykþ1 þ yk , k ¼ 0, 1, 2, . . . ; y0 ¼ 0;

y1 ¼ 1

An explicit solution to the above equation is pffiffiffin  pffiffiffin  1 1þ 5 1 5 yn ¼ pffiffiffi   0:168  1:618n for large n 2 2 5 The solution shows that the number of terms in Zn increases exponentially with n. Any single expression transfer function has this inherent limitation. Now using the SoE procedure the input impedance can be obtained from the following expressions: Z1 ¼ R1 ; Z2 ¼ Z1 þ R2 ; Z3 ¼

Z2 R3 ; Z4 ¼ Z3 þ R4 Z2 þ R3

It is obvious for each additional resistance added, the SoE will grow by one expression, either of the form Zi1 þ Ri or Zi1Ri=(Zi1 þ Ri). The number of terms in the SoE can be calculated from the formula: yn ¼

2:5n  2 2:5n  1:5

for n even for n odd

which exhibits a linear growth with respect to n. Therefore, to find the input impedance of a 100 resistor ladder network the single expression methods would produce 7.9 3 1020 terms which requires unrealistically huge computer storage capabilities. On the other hand, the SoE method would produce only 248 terms, which is even within the scope of some desk calculators.

Another advantage of the SoE is the number of arithmetic operations needed to evaluate the transfer function. To evaluate Z9, for example, the single expression methods would require 302 multiplications and 87 additions. The SoE method would only require eight multiplications and eight additions, a large reduction in computer evaluation time. All this makes the concept of symbolic circuit simulation of largescale networks very possible. Two topological analysis methods for symbolic simulation of large-scale circuits have been proposed in [Sta86] and [Has93]. The first method utilizes the SoE idea to obtain the transfer functions. The method operates on the Coates graph [Coa59] representing the circuit. A partitioning is proposed onto the flowgraph and not the physical network. The second method also utilizes the SoE and a Mason’s signal flowgraph [Mas56] representation of the circuit. The method makes use of partitioning on the physical level rather than on the graph level. Therefore, for a hierarchical circuit, the method can operate on the subcircuits in a hierarchical fashion in order to produce a final solution. The fundamentals of both signal flowgraph methods were described in the Section 25.3.2.

Symbolic Analysis

25-19

Another hierarchical approach is one that is based on MNA [Ho75]. This method [Has89] exhibits a linear growth (for practical circuits) in the number of terms in the symbolic solutions. The analysis methodology introduces the concept of the reduced modified nodal analysis (RMNA) matrix. This allows the characterization of symbolic circuits in terms of only a small subset of the network variables (external variables) rather than the complete set of variables. The method was made even more effective by introducing a locally optimal pivot selection scheme during the reduction process [Pie01]. For a circuit containing several identical* subcircuits, the analysis algorithm is most efficient when network partitioning is used. For other circuits, the best results (the most compact SoE) are obtained when the entire circuit is analyzed without partitioning. The SoE generation process starts with the formulation of a symbolic modified NAM (MNAM) for a circuit [Lin91], [Vla94]. Then all internal variables are suppressed one by one using Gaussian elimination with locally optimal pivot selection. Each elimination step produces a series of expressions and modifies some entries in the remaining portion of the MNAM. When all internal variables are suppressed, the resulting matrix is known as the reduced MNAM (RMNAM). Usually it will be a 2 3 2 matrix of a twoport.y Most transfer functions of interest to circuit designer can be represented by formulas involving the elements of RMNAM and the terminating admittances. A detailed discussion of the method can be found in [Pie01]. Based on this approach a personal computer program STAINS has been developed [Hue02]. For a circuit with several identical subcircuits the reduction process is first applied to all internal variablesz of the subcircuit, resulting in an intermediate RMNAM describing the subcircuit. Those RMNAMs are then recombined with the MNAM of the remaining circuit and the reduction process is repeated on the resulting matrix. To further illustrate the SoE approach, we present Example 25.6.

Example 25.6

Ibias Vdd1

Vo Zo

0.5 mA Q2

CB

R2

Q1 Vin

10k

100p

+ R1

0.5k

FIGURE 25.12 Bipolar cascode stage.

Vdd2

Consider a bipolar cascode stage with bootstrap capacitor CB, shown in Figure 25.12 [Gie91]. With the BJTs replaced by their low-frequency hybrid-p models (with rp, gm, and ro only), the full symbolic analysis yields the output admittance formula shown in Figure 25.13. The formula requires 48 additions and 117 multiplication=division operations. Program STAINS can generate several different sequences of expressions. One of them is shown in Figure 25.14. It requires only 24 additions and 17 multiplications=divisions.§

Although SoE techniques can produce very compact exact expressions for network functions, they are of limited use if one wishes to obtain symbolic expressions for coefficients at individual powers of s in Equation 25.4. Sections 25.5 and 25.6 describe two possible approaches to this problem.

* The subcircuits have to be truly identical, that is, they must have the same topology and component symbols. A typical example would be a large active filter containing a number of identical, nonideal op-amps. y In sensitivity calculations using SoE [Bal04] the final RMNAM may need to be larger than 2 3 2. z The internal variables are the variables not directly associated with the subcircuit’s connections to the rest of the circuit. § Counting of visible arithmetic operations gives only a rough estimate of the SoE complexity, especially when complex numbers are involved. Issues related to SoE computational efficiency are discussed in [Rod00].

Fundamentals of Circuits and Filters

25-20

! Zo=(G2*Gm1*Gm2+G1*G2*Gm1+G2*Gm2*Gp1+G2*Gm1*Gp2+Gm2*Go1*Gp1+G1*G2*Gp1+... G2*Gm2*Go1+G2*Gm1*Go2+G1*Go2*Gp1+G2*Gp1*Gp2+G1*Go1*Gp1+G1*G2*Go2+... G1*G2*Go1+Go2*Gp1*Gp2+Go1*Gp1*Gp2+G2*Go2*Gp1+G2*Go1*Gp2+G2*Go2*Gp2+... Go1*Go2*Gp1+G2*Go1*Go2+s*(Cb*Gm1*Gm2+Cb*G1*Gm1+Cb*Gm1*Gp2+Cb*G2*Gm1+... Cb*G1*Gp1+Cb*Gm2*Go1+Cb*Gp1*Gp2+Cb*G1*Go2+Cb*G2*Gp1+Cb*G1*Go1+... Cb*Go1*Gp2+Cb*Go2*Gp2+Cb*Go1*Gp1+Cb*G2*Go1+Cb*G2*Go2+Cb*Go1*Go2))/... (Go1*G2*Gm2*Gp1+Go1*G1*G2*Gp1+Go1*G2*Gp1*Gp2+Go1*G1*Go2*Gp1+... Go1*G1*G2*Go2+Go1*Go2*Gp1*Gp2+Go1*G2*Go2*Gp2+Go1*G2*Gp2*Gp1+... s*(Cb*Go1*G1*Gp1+Cb*Go1*Gp1*Gp2+Cb*Go1*G2*Gp1+Cb*Go1*G1*Go2+... Cb*Go1*Go2*Gp2+Cb*Go1*G2*Go2)); !

FIGURE 25.13 Full symbolic expression for Zo of the cascode in Figure 25.12.

25.5 Approximate Symbolic Analysis

d1 x1 x2 d2 x3 x4 d3 x5 x6 Yo Zo

= = = = = = = = = = =

-(G2+Gp2+s*Cb)/(s*Cb); (Go1+Gm1)*d1-Gp2-Gm2; -s*Cb-(G1+Gp1+Go1+Gm1+s*Cb)*d1; Gp2/(s*Cb); Go1+Gp2+Go2+Gm2+(Go1+Gm1)*d2; -Go1-(G1+Gp1+Go1+Gm1+s*Cb)*d2; x2/(x4); Gm2+(Go2+Gm2)*d3; x1-x3*d3; Go2+x5*Go2/(x6); 1/Yo;

The SoE approach offers a solution for the exact symbolic analysis of large circuits. For some applications, it may be more important to obtain a simpler inexact expression, but the one that would clearly identify the dominant circuit components and their role in determining circuit behavior. Approximate symbolic analysis provides the answer. Of course, manual approximation (simplification) techniques have been known and prac- FIGURE 25.14 The SoE generated by STAINS ticed by engineers for decades. To obtain compact and for the cascode in Figure 25.12. meaningful expressions by computer, symbolic analysis software must be capable of performing those approximations that are applied in manual circuit analysis in an automatic fashion. In addition to that, computer algorithms should be able to employ simplification strategies not available (or impractical) in manual approximation. In the last decade, a number of symbolic approximation algorithms have been developed and implemented in symbolic circuit analysis programs. Depending on the stage in the circuit analysis process in which they are applied, these algorithms can be categorized as Simplification before Generation (SBG), Simplification during Generation (SDG), and Simplification after Generation (SAG). Figure 25.15, adapted from [Hen00], presents an overview of the three types of approximation algorithms. SBG involves removing circuit components and=or individual entries in the circuit matrix (the sifting approach [Hsu93]) or eliminating some graph branches (the sensitivity-based two-graph simplification [Yu96]) that do not contribute significantly to the final formula. SDG is based on generation of symbolic terms in a decreasing order of magnitude. The generation process is stopped when the error reaches the specified level. The most successful approach to date is based on the two graph formulation [Wam98]. It employs an algorithm to generate the common spanning trees in strictly decreasing order of magnitude [Kat81]. In the case of frequency dependent circuit, this procedure is applied separately to different powers of s. Mathematical formalism of matroids is well suited to describe problems of SDG [Yu96]. Matroid-based algorithms are inherently slow. More efficient methods for generating s-expanded network functions, based on determinant decision diagrams (DDDs), have been recently developed [Shi00], [Shi01], [Ver02]. They will be briefly described in Section 25.6. When applied alone, SAG is a very ineffective technique, as it requires generation and storage of a large number of unnecessary terms. When combined with SBG and SDG methods, however, it can

Symbolic Analysis

25-21 Linear circuit analysis problem

Enter circuit description (netlist or schematic data capture)

Remove insignificant components from the small-signal eqv. circuit

Netlist Formulate equations or signal flowgraphs

SBG Remove insignificant terms/branches/nodes

Matrix or graph Calculate network function from matrix or SFG

SDG Generate only largest terms of transfer function

Rational expression Perform algebraic postprocessing

SAG Remove insignificant terms from transfer function

Rational expression

FIGURE 25.15 Classification of symbolic approximation techniques (From Henning, E., Symbolic Approximation and Modeling Techniques for Analysis and Design of Analog Circuits, Doctoral dissertation, University of Kaiserslautern, Shaker Verlag, Aachen, Germany, 2000. With permission.)

produce most compact expressions by pruning redundant terms not detected earlier in the simplification process. All simplification techniques require careful monitoring of the approximation amplitude and phase errors (eA and ep). The error criteria can be expressed as follows:

jH(s, x)j  jH*(s, x)j

 eA

jH(s, x)j jffH(s, x)  ffH*(s, x)j  ep for s ¼ jv, v 2 (v1, v2), x 2 (x1, x2), where H(s, x) is the exact transfer function, defined by Equation 25.3, and H*(s, x) is the approximating function. The majority of the approximation methods developed to date use the simplified criteria, where the errors are measured only for a given set of circuit parameters x0 (the nominal design point) [Kon99]. Example 25.7, although quite simple, illustrates very well the advantages of approximate symbolic analysis.

Example 25.7:

[Gie91]

Consider again the bipolar cascode stage, shown in Figure 25.12 and its fully symbolic expression for the output impedance, shown in Figure 25.13. Even for such a simple circuit, the full symbolic result is very hard to interpret and therefore not able to provide insight into the circuit behavior. Sequential form of the output impedance formula, presented in Figure 25.14, is more compact than the full expression but also cannot be utilized for interpretation.

Fundamentals of Circuits and Filters

25-22

10

×104

Cascode: output impedance vs. frequency

9 8

|Zo| (kΩ)

7 6 5 4 3 2 1 0 104

105

106 Frequency (Hz)

107

108

FIGURE 25.16 Plot of jZoj of the cascode, obtained numerically from the exact formula.

A graph of jZoj for a nominal set of component values (rp ¼ 5 kV, gm ¼ 20 mS, ro ¼ 100 kV for both BJTs), obtained numerically from the SoE in Figure 25.14, is plotted in Figure 25.16. By examining the graph, one can appreciate the general behavior of the function but it is difficult to predict the influence of various circuit components on the output impedance. Applying symbolic approximation techniques, we can obtain less accurate but still more revealing formulas. If a 10% maximum amplitude error is accepted, the simplified function takes the following form: Zo(10%) ¼

gm1 (gm2 þ G1 )(G2 þ sCB ) go1 gp1 [G2 (gm2 þ G1 ) þ sCB (G1 þ gp2 )]

If we allow a 25% magnitude error,* the output impedance formula can be simplified further: Zo(25%) ¼

gm1 gm2 (G2 þ sCB ) go1 gp1 (G2 gm2 þ sCB G1 )

(25:24)

The impedance levels as well as pole and zero estimates can be easily obtained from Equation 25.24: gm1 b ¼ 1 gp1 go1 go1 gm1 gm2 gm2 Zo (high f ) ffi ¼ Zo (low f ) gp1 go1 G1 G1 G2 zffi CB gm2 G2 pffi G 1 CB Zo (low f ) ffi

(25:25)

An asymptotic graph of jZoj, based on Equation 25.25, is plotted in Figure 25.17.

* It is important to note that the approximate expressions were developed taking into account variations of BJT parameters; the fact that both simplified formulas give identical results at the nominal design point is purely coincidental.

Symbolic Analysis

25-23

|Zo| gm2

gm1

G1 gπ1go1

gm1 gπ1go1

log f G2 gm2

G2 CB

CB G 1

FIGURE 25.17 Asymptotic plot of jZoj of the cascode based on Equation 25.25.

25.6 Methods Based on Determinant Decision Diagrams [Shi00], [Shi01], [Ver02] To fully exploit the power of symbolic circuit analysis in helping designers gain insight into the circuit behavior, network functions in the s-expanded symbolic form are often required, as illustrated in Section 25.5. However, the approximate expressions may not be adequate for complete circuit characterization such as symbolic pole-zero derivation and sensitivity computation [Gie94]. Although there exists a mathematically elegant method for generating all terms at a given power of s in Equation 25.4 [Yu96], its practical applications are severely limited due to exponential dependence of time and storage complexity on circuit size. Recently, a method was developed that employs DDDs to compactly represent the determinant of a circuit matrix and its cofactors [Shi00]. In order to represent individual coefficients of the powers of s, a notion of multiroot DDDs was introduced in [Shi01]. In order to introduce the DDD method we will resort again to a simple circuit example. R2

1

Iin

R1

C1

3

R3

C2

2

Example 25.8

C3

(a) G1+G2+sC1

0

–G2

V1

0

G3+sC3

–G3

V2

–G2

–G3

G2+G3+sC2

V3

Iin =

0 0

(b)

FIGURE 25.18 An example circuit (a) and its node equation (b) (Note: Gi ¼ 1=Ri).

Consider a circuit in Figure 25.18a. Using nodal analysis (e.g., [Rod08]), we can formulate the system of node equations for this circuit, YV ¼ J, as shown in Figure 25.18b. Let us denote each entry of the nodal admittance matrix Y in Figure 25.18b by a distinct symbol as follows: A ¼ G1 þ G2 þ sC1, B ¼ G2, C ¼ G3 þ sC3, D ¼ G3, E ¼ G2, F ¼ G3, G ¼ G2 þ G3 þ sC2. The input impedance (as seen by the current source, Iin) is given by the expression (cf. Equation 25.6):

Fundamentals of Circuits and Filters

25-24

Zin ¼

V1 D11 GC  FD ¼ ¼ Iin D AGC  AFD  BEC

(25:26)

A DDD can be used to represent the determinant of a matrix and its cofactors. Formally, a DDD is a signed, directed, acyclic graph with two terminal vertices, namely, the zero-terminal vertex and the oneterminal vertex. Each nonterminal vertex D is labeled by a symbol D.label and a positive or negative sign D.sign. It originates two outgoing edges, called one-edge (represented by a solid line in Figure 25.19) and zero-edge (represented by a broken line in Figure 25.19) pointing to its two children D.child1 and D. child0, respectively. Each vertex D represents a symbolic expression D.expr, defined recursively as follows: 1. If D is the one-terminal vertex, then D.expr ¼ 1. 2. If D is the zero-terminal vertex, then D.expr ¼ 0. 3. If D is a nonterminal vertex, then D.expr ¼ D.sign*D.label*(D.child1)expr þ (D.child0)expr, where (D.child1)expr and (D.child0)expr represent symbolic expressions corresponding to the vertices D.child1 and D.child0, respectively. For example, it can be verified that Figure 25.19 is a DDD representation of D ¼ det(Y). Given a vertex, a one-path is a path from that vertex to the one-terminal. A one-path represents a product of symbols that are labels of those vertices that originate all the one-edges along the one-path. For example, in Figure 25.19 there exist three one-paths from the root vertex labeled by A. They represent three product terms: AGC, A(F)D, and (B)EC. The root vertex A represents the sum of these product terms, being the determinant of Y. In order to achieve certain desired properties of a DDD, each vertex D is assigned an index D.index. Indices are chosen to be consecutive integers, starting from one and satisfying the following inequalities: D:index > (D:child1):index D:index > (D:child0):index The process of assigning the indices is called vertex ordering. For example, the DDD in Figure 25.19 is formulated with a vertex order A, B, E, G, F, D, C (a number next to each vertex is its corresponding index).

Δ + A

1 edge

7

0 edge Δ11 + G A

0

B

0

C

D

E

F

G

+ C

1

4

F

3

+ D

2



1



B

6

+ E

0

FIGURE 25.19 Example of matrix determinant and its DDD representation.

5

Symbolic Analysis

25-25

A network function is always a ratio of some cofactors (or the determinant and a cofactor) of a circuit matrix. To represent a network function using a DDD approach, the DDDs for the determinant and cofactors are constructed using the same vertex ordering. This results in sharing all common subgraphs (thus sharing all common subexpressions). This leads to one shared DDD with multiple roots, where each root represents either the determinant or a cofactor of the circuit matrix. For example, Figure 25.19 is a shared representation of D and D11 required in Equation 25.26. A root can be a vertex with no incoming edges or any vertex representing the cofactor of interest. The roots are marked by an additional singleended incoming edge on the diagram. The power of DDD-based approach lies in the fact that multiple roots share their common subgraphs. Thus, DDDs are capable of representing the determinants and cofactors of circuit matrices with the number of vertices of many orders of magnitude smaller than that of the product terms. Moreover, most symbolic analysis algorithms can be performed on a DDD with time complexity linear with the size of a DDD (i.e., the number of its vertices). The DDD technique was originally developed for matrices with unique entries. When it is applied to the NAM of a circuit, the term cancellation occurs, dramatically slowing down the algorithm (by generating a large number of terms unnecessarily). Considerable improvement was achieved by introduction of the just-in-time decancellation [Ver02]. Other modifications to the DDD method were also reported in [Ver02], making the DDD-based approach very effective in both exact and approximate symbolic analyses. The data structures and algorithms for creating DDDs and using them to obtain transfer functions are too complex to be presented in this short section. Interested readers should consult the literature, referenced here.

25.7 Time Domain Analysis Sections 25.2 through 25.6 have discussed the different frequency domain techniques for symbolic analysis. Symbolic analysis methods in the transient domain have not appeared until the beginning of the 1990s [Has91], [Als93], [Gre93], [Lib93]. The main limitation to symbolic time domain analysis is the difficulty in handling the symbolic integration and differentiation needed to handle the energy storage elements (mainly capacitors and inductors). This problem, of course, does not exist in the frequency domain because of the use of Laplace transforms to represent these elements. While there exist symbolic algebra software packages that can be used to perform integration and differentiations like MATHMATICA, MAXIMA, and MAPLE, they have not been applied to transient symbolic analysis due to the execution time complexity of these programs. All but one of the approaches in the time domain is actually semisymbolic. The semisymbolic algorithms use a mixture of symbolic and numeric techniques to perform the analysis. The work here is still in its infancy. This section will lightly discuss the three contributions published in the literature so far. All symbolic time domain techniques deal with linear circuits and can be classified under one of the two categories.

25.7.1 Fully Symbolic Only one method has been reported in the literature that is fully symbolic [Gre94]. This method utilizes a direct and hierarchical symbolic transient analysis approach similar to the one reported in [Has89]. The formulation is based on the well-known discrete models for numerical integration of linear differential equations. Three of these integration methods are implemented symbolically, the Backward Euler, the Trapezoidal, and Gear’s 2nd order backward differentiation [Gre94]. The inherent accuracy problems due to the approximations in these methods show up when the symbolic expressions are evaluated numerically. A detailed discussion of this method can be found in [Gre94].

25-26

Fundamentals of Circuits and Filters

25.7.2 Semisymbolic Three such algorithms have been reported in the literature so far. Two of them [Lib93], [Has91] simply take the symbolic expressions in the frequency domain, evaluate them numerically for a range of frequencies and then perform a numeric inverse Laplace transformation or a FFT on the results. The approach reported in [Lib93] uses an MNA then a state-variable symbolic formulation to get the frequency domain response and can handle time-varying circuits, namely switch power converters. The approach in [Has91] uses a hierarchical network approach [Has89] to generate the symbolic frequency domain response. The third algorithm reported in [Als93] is a hierarchical approach that uses an MNA and a state-variable symbolic formulation and then uses the eigenvalues of the system to find a closed-form numerical transient solution.

References [Ald70] G.E. Alderson, P.M. Lin, Integrating topological and numerical methods for semi-symbolic network analysis, Proceedings of the 13th Midwest Symposium on Circuit Theory, Minneapolis, MN, May 1970. [Ald73] G.E. Alderson, P.M. Lin, Computer generation of symbolic network functions—A new theory and implementation, IEEE Transactions on Circuit Theory, 20(1), 48–56, Jan. 1973. [Als93] B. Alspaugh, M. Hassoun, A mixed symbolic and numeric method for closed-form transient analysis, Proceedings of ECCTD, Davos, Switzerland, pp. 1687–1692, Sep. 1993. [Arn91] Z. Arnautovic, P.M. Lin, Symbolic analysis of mixed continuous and sampled data systems, Proceedings of IEEE ISCAS, Singapore, pp. 798–801, June 1991. [Bal04] F. Balik, B. Rodanski, Calculation of symbolic sensitivities for large-scale circuits in the sequence of expressions form via the transimpedance method, Analog Integrated Circuits and Signal Processing, 40(3), 265–276, Sep. 2004. [Cal65] D.A. Calahan, Linear network analysis and realization—Digital computer programs and instruction manual, University of Illinois Bulletin, 62, Feb. 1965. [Chu75] L.O. Chua, P.M. Lin, Computer Aided Analysis of Electronic Circuits—Algorithms and Computational Techniques. Englewood Cliffs, NJ: Prentice-Hall, 1975. [Coa59] C.L. Coates, Flow graph solutions of linear algebraic equations, IRE Transactions on Circuit Theory, CT-6, 170–187, June 1959. [Con96] F. Constantinescu, M. Nitescu, Computation of symbolic pole=zero expressions for analog circuit design, Proceedings of SMACD, Haverlee, Belgium, Oct. 1996. [Did91] G. DiDomenico et al., BRAINS: A symbolic solver for electronic circuits, Proceedings of SMACD, Paris, Oct. 1991. [Dro96] G. Dröge, E.H. Horneber, Symbolic calculation of poles and zeros, Proceedings of SMACD, Haverlee, Belgium, Oct. 1996. [Fer91] F.V. Fernandez, A. Rodriguez-Vazquez, J.L. Huertas, An advanced symbolic analyzer for the automatic generation of analog circuit design equations, Proceedings of IEEE ISCAS, Singapore, pp. 810–813, June 1991. [Fer92] F.V. Fernandez et al., On simplification techniques for symbolic analysis of analog integrated circuits, Proceedings of IEEE ISCAS, San Diego, CA, pp. 1149–1152, May 1992. [Fer98] F.V. Fernandez et al., Symbolic analysis of large analog integrated circuits: The numerical reference generation problem, IEEE Transactions on Circuits and Systems—II: Analog and Digital Signal Processing, 45(10), 1351–1361, Oct. 1998. [Fid73] J.K. Fidler, J.I. Sewell, Symbolic analysis for computer-aided circuit design—The interpolative approach, IEEE Transaction on Circuit Theory, 20(6), 738–741, Nov. 1973. [Gat70] T.F. Gatts, N.R. Malik, Topoloigical Analysis Program for Linear Active Networks (TAPLAN), Proceedings of the 13th Midwest Symposium on Circuit Theory, Minneapolis, MN, May 1970.

Symbolic Analysis

25-27

[Gie89] G. Gielen, H. Walscharts, W. Sansen, ISSAC: A symbolic simulator for analog integrated circuits, IEEE Journal of Solid-State Circuits, 25(6), 1587–1597, Dec. 1989. [Gie91] G. Gielen, W. Sansen, Symbolic Analysis for Automated Design of Analog Integrated Circuits. Boston, MA: Kluwer Academic, 1991. [Gie94] G. Gielen, P. Wambacq, W. Sansen, Symbolic analysis methods and applications for analog circuits: A tutorial overview, Proceedings of IEEE, 82(2), 287–304, Feb. 1994. [Gre93] S. Greenfield, Transient Analysis for Symbolic Simulation, MS thesis, Iowa State University, Dec. 1993. [Gre94] S. Greenfield, M. Hassoun, Direct hierarchical symbolic transient analysis of linear circuits, Proceedings of IEEE ISCAS, London, England, May=June 1994. [Hac71] G.D. Hachtel et al., The sparse tableau approach to network and design, IEEE Transactions on Circuit Theory, 18(1), 101–113, Jan. 1971. [Has89] M.M. Hassoun, P.M. Lin, A new network approach to symbolic simulation of large-scale networks, Proceedings of IEEE ISCAS, Portland, OR, pp. 806–809, May 1989. [Has90] M.M. Hassoun, P.M. Lin, An efficient partitioning algorithm for large-scale circuits, Proceedings of IEEE ISCAS, New Orleans, LA, pp. 2405–2408, May 1990. [Has91] M.M. Hassoun, J.E. Ackerman, Symbolic simulation of large scale circuits in both frequency and time domains, Proceedings of IEEE MWSCAS, Calgary, Canada, pp. 707–710, Aug. 1990. [Has93] M. Hassoun, K. McCarville, Symbolic analysis of large-scale networks using a hierarchical signal flowgraph approach, Journal of Analog VLSI and Signal Processing, 3(1), 31–42, Jan. 1993. [Hen00] E. Henning, Symbolic Approximation and Modeling Techniques for Analysis and Design of Analog Circuits. Doctoral dissertation, University of Kaiserslautern. Aachen: Shaker Verlag, 2000. [Ho75] C. Ho, A.E. Ruehli, P.A. Brennan, The modified nodal approach to network analysis, IEEE Transactions on Circuits and Systems, 22(6), 504–509, June 1975. [Hsu93] J.J. Hsu, C. Sechen, Low-frequency symbolic analysis of large analog integrated circuits, Proceedings of CICC, San Diego, CA, pp. 14.7.1–14.7.4, May 1993. [Hue89] L. Huelsman, Personal computer symbolic analysis programs for undergraduate engineering courses, Proceedings of IEEE ISCAS, Portland, OR, pp. 798–801, May 1989. [Hue02] L. Huelsman, STAINS-Symbolic two-port analysis via internal node suppression, IEEE Circuits and Devices Magazine, 18(2), 3–6, Mar. 2002. [Kat81] N. Katoh, T. Ibaraki, H. Mine, An algorithm for finding k minimum spanning trees, SIAM Journal of Computer, 10(2), 247–255, May 1981. [Kon88] A. Konczykowska, M. Bon, Automated design software for switched capacitor ICs with symbolic simulator SCYMBAL, Proceedings of DAC, Anaheim, CA, pp. 363–368, June 1988. [Kon92] A. Konczykowska et al., Symbolic analysis as a tool for circuit optimization, Proceedings of IEEE ISCAS, San Diego, CA, pp. 1161–1164, May 1992. [Kon99] A. Konczykowska, Symbolic circuit analysis, Wiley Encyclopedia of Electrical and Electronics Engineering, J.G. Webster, Ed. New York: Wiley, 1999. [Lee92] J. Lee, R. Rohrer, AWEsymbolic: Compiled analysis of linear(ized) circuits using asymptotic waveform evaluation, Proceedings of DAC, Anaheim, CA, pp. 213–218, June 1992. [Li92] B. Li, D. Gu, SSCNAP: A program for symbolic analysis of switched capacitor circuits, IEEE Transactions on CAD, 11(3), 334–340, Mar. 1992. [Lib93] A. Liberatore et al., Simulation of switching power converters using symbolic techniques, Alta Frequenza, 5(6), 16–23, Nov. 1993. [Lib95] A. Liberatore et al., A new symbolic program package for the interactive design of analog circuits, Proceedings of IEEE ISCAS, Seattle, WA, pp. 2209–2212, May 1995. [Lin70] P.M. Lin, G.E. Alderson, SNAP—A computer program for generating symbolic network functions, School of EE, Purdue University, West Lafayette, IN., Rep. TR-EE 70–16, Aug. 1970. [Lin73] P.M. Lin, A survey of applications of symbolic network functions, IEEE Transactions on Circuit Theory, 20(6), 732–737, Nov. 1973.

25-28

Fundamentals of Circuits and Filters

[Lin91] P.M. Lin, Symbolic Network Analysis. Amsterdam: Elsevier Science, 1991. [Lin92] P.M. Lin, Sensitivity analysis of large linear networks using symbolic programs, Proceedings of IEEE ISCAS, San Diego, CA, pp. 1145–1148, May 1992. [Man72] V.K. Manaktala, G.L. Kelly, On the symbolic analysis of electrical networks, Proceedings of the 15th Midwest Symposium on Circuit Theory, Rolla, MO, pp. V4.1–V4.8, May 1972. [Man91] S. Manetti, New approaches to automatic symbolic analysis of electric circuits, Proceedings of IEE, 138, 22–28, Feb. 1991. [Mar93] M. Martins et al., A computer-assisted tool for the analysis of multirate SC networks by symbolic signal flow graphs, Alta Frequenza, 5(6), 6–10, Nov. 1993. [Mas56] S.J. Mason, Feedback theory—Further properties of signal flow graphs, Proceedings of IRE, 44, 920–926, July 1956. [Mcc72] J.O. McClanahan, S.P. Chan, Computer analysis of general linear networks using digraphs, International Journal of Electronics, 33(2), 153–191, Aug. 1972. [Mcn68] L.P. McNamee, H. Potash, A User’s and Programmer’s Manual for NASAP, University of California at Los Angeles, Rep. pp. 63–38, Aug. 1968. [Mie78] R.R. Mielke, A new signal flowgraph formulation of symbolic network functions, IEEE Transactions on Circuits and Systems, 25(6), 334–340, June 1978. [Okr70] H. Okrent, L.P. McNamee, NASAP-70 User’s and Programmer’s Manual, UCLA, Technical Report ENG-7044, 1970. [Pie93] M. Pierzchala, B. Rodanski, A new method of semi-symbolic network analysis, Proceedings of IEEE ISCAS, Chicago, IL, pp. 2240–2243, May 1993. [Pie96] M. Pierzchala, B. Rodanski, Efficient generation of symbolic network functions for large-scale circuits, Proceedings of IEEE MWSCAS, Ames, IO, pp. 425–428, Aug. 1996. [Pie98] M. Pierzchala, B. Rodanski, Direct calculation of numerical coefficients in semi-symbolic circuit analysis, Proceedings of SMACD, Kaiserslautern, Germany, pp. 173–176, Oct. 1998. [Pie01] M. Pierzchala, B. Rodanski, Generation of sequential symbolic network functions for large-scale networks by circuit reduction to a two-port, IEEE Transactions on Circuits and Systems—I: Fundamental Theory and Applications, 48(7), 906–909, July 2001. [Pot68] C. Pottle, CORNAP User Manual, School of Electrical Engineering, Cornell University, Ithaca, NY, 1968. [Rod00] B. Rodanski, Computational efficiency of symbolic sequential formulae, Proceedings of SMACD, Lisbon, Portugal, pp. 45–50, Oct. 2000. [Rod08] B. Rodanski, M. Hassoun, Symbolic analysis methods, Computer Aided Design and Design Automation, W.-K. Chen, Ed., Boca Raton: CRC Press, 2008. [San80] P. Sannuti, N.N. Puri, Symbolic network analysis—An algebraic formulation, IEEE Transactions on Circuits and Systems, 27(8), 679–687, Aug. 1980. [Sed92] S. Seda, M. Degrauwe, W. Fichtner, Lazy-expansion symbolic expression approximation in SYNAP, International Conference on Computer-Aided Design, Santa Clara, CA, pp. 310–317, 1992. [Shi00] C.-J.R. Shi, X.-D. Tan, Canonical symbolic analysis of large analog circuits with determinant decision diagrams, IEEE Transactions on Computer-Aided Design, 19(1), 1–18, Jan. 2000. [Shi01] C.-J.R. Shi, X.-D. Tan, Compact representation and efficient generation of s-expanded symbolic network functions for computer-aided analog circuit design, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 20(7), 813–827, July 2001. [Sin74] K. Singhal, J. Vlach, Generation of immittance functions in symbolic form for lumped distributed active networks, IEEE Transactions on Circuits and Systems, 21(1), 57–67, Jan. 1974. [Sin77] K. Singhal, J. Vlach, Symbolic analysis of analog and digital circuits, IEEE Transactions on Circuits and Systems, 24(11), 598–609, Nov. 1977. [Som91] R. Sommer, EASY—An experimental analog design system framework, Proceedings of SMACD, Paris, Oct. 1991.

Symbolic Analysis

25-29

[Sta86] J.A. Starzyk, A. Konczykowska, Flowgraph analysis of large electronic networks, IEEE Transactions on Circuits and Systems, 33(3), 302–315, Mar. 1986. [Sta96] J.A. Starzyk, J. Zou, Direct symbolic analysis of large analog networks, Proceedings of IEEE MWSCAS, Ames, IO, pp. 421–424, Aug. 1996. [Top98] M.D. Topa, On symbolic analysis of weakly-nonlinear circuits, Proceedings of SMACD, Kaiserslautern, Germany, pp. 207–210, Oct. 1998. [Ver02] W. Verhaegen, G. Gielen efficient DDD-based symbolic analysis of linear analog circuits, IEEE Transactions on Circuits and Systems—II: Analog and Digital Signal Processing, 49(7), 474–487, July 2002. [Vla94] J. Vlach, K. Singhal, Computer Methods for Circuit Analysis and Design, 2nd edn., New York: Van Nostrand Reinhold, 1994. [Wen98] C. Wen, H. Floberg, Q. Shui-sheng, A unified symbolic method for steady-state analysis of nonlinear circuits and systems, Proceedings of SMACD, Kaiserslautern, Germany, pp. 218–222, Oct. 1998. [Wie89] G. Wierzba et al., SSPICE—A symbolic SPICE program for linear active circuits, Proceedings of IEEE MWSCAS, Urbana, IL, pp. 1197–1201, Aug. 1989. [Wam92] P. Wambacq, G. Gielen, W. Sansen, A cancellation free algorithm for the symbolic simulation of large analog circuits, Proceedings of IEEE ISCAS, San Diego, CA, pp. 1157–1160, May 1992. [Wam98] P. Wambacq, G.E. Gielen, W. Sansen, Symbolic network analysis methods for practical analog integrated circuits: A Survey, IEEE Transactions on Circuits and Systems—II: Analog and Digital Signal Processing, 45(10), 1331–1341, Oct. 1998. [Yu96] Q. Yu, C. Sechen, A unified approach to the approximate symbolic analysis of large analog integrated circuits, IEEE Transactions on Circuits and Systems—I: Fundamental Theory and Applications, 43(8), 656–669, Aug. 1996.

26 Analysis in the Time Domain 26.1

Signal Types ............................................................................ 26-1 Introduction . Step, Impulse, and Ramp . Sinusoids . Eternal . Causal . Periodic and Aperiodic Waveforms

References ............................................................................................ 26-6 26.2 First-Order Circuits............................................................... 26-6 Introduction . Zero-Input and Zero-State Response Transient and Steady-State Responses . Network Time Constant

.

References .......................................................................................... 26-10 26.3 Second-Order Circuits........................................................ 26-11

Robert W. Newcomb University of Maryland

Introduction . Zero-Input and Zero-State Response Transient and Steady-State Responses . Network Characterization

.

References .......................................................................................... 26-18

26.1 Signal Types 26.1.1 Introduction Because information into and out of a circuit is carried via time domain signals we look first at some of the basic signals used in continuous time circuits. All signals are taken to depend on continuous time t over the full range 1 < t < 1. It is important to realize that not all signals of interest are functions in the strict mathematical sense; we must go beyond them to generalized functions (e.g., the impulse), which play a very important part in the signal processing theory of circuits.

26.1.2 Step, Impulse, and Ramp The unit step function, denoted 1(), characterizes sudden jumps, such as when a signal is turned on or a switch is thrown; it can be used to form pulses, to select portions of other functions, and to define the ramp and impulse as its integral and derivative. The unit step function is discontinuous and jumps between two values, 0 and 1, with the time of jump between the two taken as t ¼ 0. Precisely,  1(t) ¼

1 0

if t > 0 if t < 0

(26:1)

which is illustrated in Figure 26.1 along with some of the functions to follow. 26-1

Fundamentals of Circuits and Filters

26-2

r(t)

1(t) 1

Slope = 1 t

0

0

t

Unit ramp function

Unit step function To infinity unit area

t

0 Impulse generalized function

FIGURE 26.1

Step, ramp, and impulse functions.

Here, the value at the jump point, t ¼ 0, purposely has been left free because normally it is immaterial and specifying it can lead to paradoxical results. Physical step functions used in the laboratory are actually continuous functions that have a continuous rise between 0 and 1, which occurs over a very short time. Nevertheless, instances occur in which one may wish to set 1(0) equal to 0 or to 1 or to 1=2 (the latter, for example, when calculating the values of a Fourier series at a discontinuity). By shifting the time argument the jump can be made to occur at any time, and by multiplying by a factor the height can be changed. For example, 1(t  t0) has a jump at time t0 and a[1(t)  1(t  t0)] is a pulse of width t0 and height a going up to a at t ¼ 0 and down to 0 at time t0. If a ¼ a(t) is a function of time, then that portion of a(t) between 0 and t0 is selected. The unit ramp, r() is the continuous function which ramps up linearly (with unit slope) from zero starting at t ¼ 0; the ramp results from the unit step by integration 

ðt r(t) ¼

1(t)dt ¼ t 1(t) ¼ 1

if t > 0 if t < 0

t 0

(26:2)

As a consequence the unit step is the derivative of the unit ramp, while differentiating the unit step yields the unit impulse generalized function, d() that is d(t) ¼

d 1 (t) d2 r(t) ¼ dt dt 2

(26:3)

In other words, the unit impulse is such that its integral is the unit step; that is, its area at the origin, t ¼ 0, is 1. The impulse acts to sample continuous functions which multiply it, i.e., a(t)d(t  t0 ) ¼ a(t0 )d(t  t0 )

(26:4)

This sampling property yields an important integral representation of a signal x() 1 ð

x(t)d(t  t)dt

x(t) ¼ 1 1 ð

¼

1 ð

x(t)d(t  t)dt ¼ x(t) 1

d(t  t)dt 1

(26:5)

Analysis in the Time Domain

26-3

where the validity of the first line is seen from the second line, and the fact that the integral of the impulse through its jump point is unity. Equation 26.5 is actually valid even when x() is discontinuous and, consequently, is a fundamental equation for linear circuit theory. Differentiating d(t) yields an even more discontinuous object, the doublet d0 (). Strictly speaking, the impulse, all its derivatives, and signals of that class are not functions in the classical sense, but rather they are operators [1] or functionals [2], called generalized functions or, often, distributions. Their evaluations take place via test functions, just as voltages are evaluated on test meters. The importance of the impulse lies in the fact that if a linear time-invariant system is excited by the unit impulse, then the response, naturally called the impulse response, is the inverse Laplace transform of the network function. In fact, if h(t) is the impulse response of a linear time-invariant (continuous and continuous time) circuit, the forced response y(t) to any input u(t) can be obtained without leaving the time domain by use of the convolution integral, with the operation of convolution denoted by* 1 ð

h(t  t)u(t)dt

y(t) ¼ h * u ¼

(26:6)

1

Equation 26.6 is mathematically rigorous, but justified on physical grounds through Equation 26.5 as follows. If we let h(t) be the output when d(t) is the input, then, by time invariance, h(t  t) is the output when the input is shifted to d(t  t). Scaling the latter by u(t) and summing via the integral, as designated in Equation 26.5, we obtain a representation of the input u(t). This must result in the output representation being in the form of Equation 26.6 by linearity of the system through similar scaling and summing of h(t  t), as was performed on the input.

26.1.3 Sinusoids Sinusoidal signals are important because they are self-reproducing functions (i.e., eigenfunctions) of linear time-invariant circuits. This is true basically because the derivatives of sinusoids are sinusoidal. As such, sinusoids are also the natural outputs of oscillators and are delivered in power sources, including laboratory signal generators and electricity for the home derived from the power company.

26.1.4 Eternal Eternal signals are defined as being of the same nature for all time, 1 < t < 1, in which case an eternal cosine repeats itself eternally in both directions of time, with an origin of time, t ¼ 0, being arbitrarily fixed. Because eternal sinusoids have been turned on forever, they are useful in describing the steady operation of circuits. In particular, the signal A cos (vt þ u) over 1 < t < 1 defines an eternal cosine of amplitude A, radian frequency v ¼ 2pf (with f being real frequency, in hertz, which are cycles per second), at phase angle u (in radians and with respect to the origin of time), with A, v, and u real numbers. When u ¼ p=2 this cosine also represents a sine, so that all eternal sinusoidal signals are contained in the expression A cos (vt þ u). At times, it is important to work with sinusoids that have an exponential envelope, with the possibility that the envelope increases or decreases with time, that is, with positively or negatively damped sinusoids. These are described by Aest cos(vt þ u), where the real number is the damping factor, giving signals that damp out in time when the damping factor is positive and signals that increase with time when the damping factor is negative. Of most importance when working with this class of signals is the identity estþjvt ¼ est ¼ est [ cos (vt) þ j sin (vt)]

(26:7)

pffiffiffiffiffiffiffi where s ¼ s þ jv with j ¼ 1. Here, s is called the complex frequency, with its imaginary part being the real (radian) frequency, v. When no damping is present, s ¼ jv, in which case the exponential form of

Fundamentals of Circuits and Filters

26-4

Equation 26.7 represents pure sinusoids. In fact, we see in this expression that the cosine is the real part of an exponential and the sine is its imaginary part. Because exponentials are usually easier than sinusoids to treat analytically, the consequence for real linear networks is that we can do most of the calculations with exponentials and convert back to sinusoids at the end. In other words, if a real linear system has a cosine or a damped cosine as a true input, it can be analyzed by using instead the exponential of which it is the real part as its (fictitious) input, finding the resulting (fictitious) exponential output, and then taking the real part at the end of the calculations to obtain the true output for the true input. Because exponentials are probably the easiest signals to work with in theory, the use of exponentials rather than sinusoids usually greatly simplifies the theory and calculations for circuits operating under steadystate conditions.

26.1.5 Causal Because practical circuits have not existed since t ¼ 1 they usually begin to be considered at a suitable starting time, taken to be t ¼ 0, in which case the associated signals can be considered to be zero for t < 0. Mathematically, these functions are said to have support bounded on the left. The support of a signal is (the closure of) that set of times for which the signal is nonzero, therefore, the support of these signals is bounded on the left by zero. When signals are discontinuous functions they have the important property that they can be represented by multiplying with unit step functions signals which are differentiable and have nonbounded support. For example, g(t) ¼ est1(t) has a jump at t ¼ 0 with support at the half line 0 to 1 but has est infinitely differential of ‘‘eternal’’ support. A causal circuit is one for which the response is only nonzero after the input becomes nonzero. Thus, if the inputs are zero for t < 0, the outputs of causal circuits are also zero for t < 0. In such cases the impulse response, h(t), or the response to an input impulse of ‘‘infinite jump’’ at t ¼ 0, satisfies h(t) ¼ 0 for t < 0 and the convolution form of the output, Equation 26.4, takes the form 2t 3 ð y(t) ¼ 4 h(t  t)u(t)dt51(t)

(26:8)

0

26.1.6 Periodic and Aperiodic Waveforms The pure sinusoids, although not the sinusoids with nonzero damping, are special cases of periodic signals. In other words, ones which repeat themselves in time every T seconds, where T is the period. Precisely, a time-domain signal g() is periodic of period T if g(t) ¼ g(t þ T), where normally T is taken to be the smallest nonzero T for which this is true. In the case of the sinusoids, A cos(vt þ u) with v ¼ 2pf, the period is given by T ¼ 1=f because {2p[ f (t þ T)] þ u} ¼ {2pft þ 2p( fT) þ u} ¼ {2pft þ (2p þ u)}, and sinusoids are unchanged by a change of 2p in the phase angle. Periodic signals need to be specified over only one period of time, e.g., 0  t < T, and then can be extended periodically for all time by using t ¼ t mod(T) where mod() is the modulus function; in other words, periodic signals can be looked upon as being defined on a circle, if we imagine the circle as being a clock face. Periodic signals represent rhythms of a system and, as such, contain recurring information. As many physical systems, especially biomedical systems, either possess directly or to a very good approximation such rhythms, the periodic signals are of considerable importance. Even though countless periodic signals are available besides the sinusoids, it is important to note that almost all can be represented by a Fourier series. Exponentials are eigenfunctions for linear circuits, thus, the Fourier series is most conveniently expressed for circuit considerations in terms of the exponential form. If g(t) ¼ g(t þ T), then g(t) ffi

1 X n¼1

cn ej(2pnt=T)

(26:9)

Analysis in the Time Domain

26-5

where the coefficients are complex and are given by 1 cn ¼ T

ðT

g(t)ej(2pnt=T) dt ¼ an þ jbn

(26:10)

0

Strictly speaking, the integral is over the half-open interval [0,T) as seen by considering g() defined on the circle. In Equation 26.9, the symbol > is used to designate the expression on the right as a representation that may not exactly agree numerically with the left side at every point when g() is a function; for example, at discontinuities the average is obtained on the right side. If g() is real, that is, g (t) ¼ g(t),* where the superscript * denotes complex conjugate, then the complex coefficients cn satisfy cn ¼ cn*. In this case the real coefficients an and bn in Equation 26.10 are even and odd in the indices; n and the an combine to give a series in terms of cosines, and the bn gives a series in terms of sines. As an example the square wave, sqw(t), can be defined by sqw(t) ¼ 1(t)  1(t  [T=2])0  t < T

(26:11)

and then extended periodically to 1 < t < 1 by taking t ¼ t mod(T). The exponential Fourier series coefficients are readily found from Equation 26.10 to be

cn ¼

8 < 1=2  1 : jpn

0 1

if n ¼ 0 if n ¼ 2k ¼ 6 0 (even 6¼ 0) if n ¼ 2k + 1 (odd)

(26:12)

for which the Fourier series is 1 X 1 1 sqw(t) ffi þ ej2p[2kþ1]t=T 2 k¼1 jp[2k þ 1]

(26:13)

The derivative of sqw(t) is a periodic set of impulses d[sqw(t)] ¼ d(t)  d(t  [T=2]) 0  t < T dt

(26:14)

for which the exponential Fourier series is easily found by differentiating Equation 26.13, or by direct calculation from Equation 26.10, to be 1 X i¼1

(d(t  iT)  d(t  iT  [T=2]) ffi

1 X 2 j(2p[2kþ1]t=T) e T k¼1

(26:15)

Combining the exponentials allows for a sine representation of the periodic generalized function signal. Further differentiation can take place, and by integrating Equation 26.15 we get the Fourier series for the square wave if the appropriate constant of integration is added to give the DC value of the signal. Likewise, a further integration will yield the Fourier series for the sawtooth periodic signal, and so on. The importance of these Fourier series representations is that a circuit having periodic signals can always be considered to be processing these signals as exponential signals, which are usually self-reproducing signals for the system, making the design or analysis easy. The Fourier series also allows

Fundamentals of Circuits and Filters

26-6

visualization of which radian frequencies, 2pn=T, may be important to filter out or emphasize. In many common cases, especially for periodically pulsed circuits, the series may be expressed in terms of impulses. Thus, the impulse response of the circuit can be used in conjunction with the Fourier series.

References 1. J. Mikusinski, Operational Calculus, 2nd edn., New York: Pergamon Press, 1983. 2. A. Zemanian, Distribution Theory and Transform Analysis, New York: McGraw-Hill, 1965.

26.2 First-Order Circuits 26.2.1 Introduction First-order circuits are fundamental to the design of circuits because higher order circuits can be considered to be constructed of them. Here, we limit ourselves to single-input-output linear timeinvariant circuits for which we take the definition of a first-order circuit to be one described by the differential equation d1 

dy du þ d0  y ¼ n1  þ n0  u dt dt

(26:16)

where d0 and d1 are the ‘‘denominator’’ constants and n0 and n1 are the ‘‘numerator’’ constants y ¼ y() is the output and u ¼ u() is the input both u and y are the generalized functions of time t So that the circuit truly will be first order, we require that d1n0  d0n1 6¼ 0, which guarantees that at least one of the derivatives is actually present, but if both derivatives occur, the expressions in y and in u are not proportional, which would lead to cancellation, forcing y and u to be constant multiples of each other. Because a factorization of real higher-order systems may lead to complex first-order systems, we will allow the numerator and denominator constants to be complex numbers; thus, y and u may be complex-valued functions. If the derivative is treated as an operator, p ¼ d[]=dt, then Equation 26.16 can be conveniently written as 8  > n1 n0 > > > < d0 p þ d0 u n1 p þ n0  u¼  y¼ > d1 p þ d0 n1 d1 n0  d0 n1 > > > : d1 þ p þ (d0 =d1 ) u

if d1 ¼ 0 (26:17) if d1 6¼ 0

where the two cases in terms of d1 are of interest because they provide different forms of responses, each of which frequently occurs in first-order circuits. As indicated by Equation 26.17, the transfer function H(p) ¼

n1 p þ n0 d1 p þ d0

(26:18)

is an operator (as a function of the derivative operator p), which characterizes the circuit. Table 26.1 lists some of the more important types of different first-order circuits along with their transfer functions and causal impulse responses. The following treatment somewhat follows that given in [1], although with a slightly different orientation in order to handle all linear time-invariant continuous time continuous circuits.

Analysis in the Time Domain TABLE 26.1

26-7

Typical Transfer Functions of First-Order Circuits

Transfer Function n1 p d0 n0 d1 p

Description

Impulse Response n1 0 d (t) d0 n0 1(t) d1 n0 n1 d(t) þ d0 (t) d1 d1

Differentiator Integrator

n1 p þ n0 d1 n0 d1 p þ d0

Leaky differentiator Low-pass filter; lossy integrator

n0 dd0 t e 1  1(t) d1

n1 p d1 p þ d0

High-pass filter

n1 p  (d0 =d1 ) d1 p þ (d0 =d1 )

All-pass filter

n1 n1 d0 d0 d(t) þ 2 ed1 t  1(t) d1 d1   n1 d0 d0 d(t)  2 ed1 t  1(t) d1 d1

26.2.2 Zero-Input and Zero-State Response The response of a linear circuit is, via the linearity, the sum of two responses, one due to the input when the circuit is initially in the zero state, called the zero-state response, and the other due to the initial state when no input is present, the zero-input response. By the linearity the total response is the sum of the two separate responses, and thus we may proceed to find each separately. In order to investigate these two types of responses, we introduce the state vector x() and the state-space representation (as previously p ¼ d[]=dt) px ¼ Ax þ Bu

(26:19)

y ¼ Cx þ Du þ Epu

where A, B, C, D, E are constant matrices. For our first-order circuit two cases are exhibited, depending upon d1 being zero or not. In the case of d1 ¼ 0, y ¼ (n1 =d0 )u þ (n1 =d0 )pu

d1 ¼ 0

(26:20a)

Here, C ¼ 0 and A and B can be chosen anything, including empty. When d1 6¼ 0, our first-order circuit has the following set of (minimal size) state-variable equations   d0 px ¼   x þ [d1 n0  d0 n1 ]  u d1 d1 6¼ 0

  n1 y ¼ [1]  x þ u d1

(26:20b)

By choosing u ¼ 0 in Equation 26.2, we obtain the equations that yield the zero input response. Specifically, the zero-input response is  y(t) ¼

0 d0 ed1 t  y(0)

if d 1 ¼ 0 if d 1 ¼ 6 0

(26:21)

Fundamentals of Circuits and Filters

26-8

which is also true by direct substitution into Equation 26.16. Here, we have set, in the d1 6¼ 0 case, the initial value of the state, x(0), equal to the initial value of the output, y(0), which is valid by our choice of state-space equations. Note that Equation 26.21 is valid for all time and y at t ¼ 0 assumes the assigned initial value y(0), which must be zero when the input is zero and no derivative occurs on the output. The zero-state response is explained as the solution of Equation 26.21 when x(0) ¼ 0. In the case that d1 ¼ 0, the zero-state response is   n0 n1 0 d(t) þ d (t) * u d0 d0

d1 ¼ 0

(26:22a)

    n1 d1 n0  d0 n1 dd0 t y¼ d(t) þ e 1 1(t) * u d1 d1

d1 6¼ 0

(26:22b)

n0 n1 y ¼ u þ pu ¼ d0 d0 where * denotes convolution d() is the unit impulse 1() is the unit step function While in the case that d1 6¼ 0

which is found by eliminating x from Equation 26.20b and can be checked by direct substitution into Equation 26.16. The terms in the braces are the causal impulse responses, h(t), which are checked by letting u ¼ d with otherwise zero initial conditions, that is, with the circuit initially in the zero state. Actually, infinitely many noncausal impulse responses could be used in Equation 26.22b. One such response is found by replacing 1(t) by 1(t)]. However, physically the causal responses are of most interest. If d1 6¼ 0, the form of the responses is determined by the constant d0=d1, the reciprocal of which (when d0 6¼ 0) is called the time constant, tc, of the circuit because the circuit impulse response decays to 1=e at time tc ¼ d1=d0. If the time constant is positive, the zero-input and the impulse responses asymptotically decay to zero as time approaches positive infinity, and the circuit is said to be asymptotically stable. On the other hand, if the time constant is negative, then these two responses grow without bounds as time approaches plus infinity, and the circuit is called unstable. It should be noted that as time goes in the reverse direction to minus infinity, the unstable zero-input response decays to zero. If d0=d1 ¼ 0 the zero-input and impulse responses are still stable, but neither decay nor grow as time increases beyond zero. By linearity of the circuit and its state-space equations, the total response is the sum of the zero-state response and the zero-input response; thus, even when d0 ¼ 0 or d1 ¼ 0 d0

y(t) ¼ ed1 y0 þ h(t) * u(t)

(26:23)

Assuming that u and h are zero for t < 0 their convolution is also zero for t < 0, although not necessarily at t ¼ 0, where it may even take on impulsive behavior. In such a case, we see that y0 is the value of the output instantaneously before t ¼ 0. If we are interested only in the circuit for t > 0, surprisingly, an input will yield the zero input response. That is, an equivalent input u0 exists, which will yield the zero input response for t > 0, this being u0(t) ¼ d1y0 exp(td0=d1)1(t). Thus, y ¼ h * (u þ u0) gives the same result as Equation 26.23. When d1 ¼ 0, the circuit acts as a differentiator and within the state-space framework it is treated as a special case. However, in practice it is not a special case because the current, i, versus voltage, v, for a

Analysis in the Time Domain

26-9

capacitor of capacitance C, in parallel with a resistor of conductance G is described by i ¼ Cpv þ Gv. Consequently, it is worth noting that all cases can be handled identically in the semistate description 

d1 0

     d1  1 n0 d0 d0 u xþ px ¼ 0 1 n1 0 y ¼ ½ 1 1 x

(26:24)

where x() is the semistate instead of the state, although the first components of the two vectors agree in many cases. In other words, the semistate description is more general than the state description, and handles all circuits in a more convenient fashion [2].

26.2.3 Transient and Steady-State Responses This section considers stable circuits, although the techniques are developed so that they apply to other situations. In the asymptotically stable case, the zero input response decays eventually to zero; that is, transient responses due to initial conditions eventually will not be felt and concentration can be placed upon the zero-state response. Considering first eternal exponential inputs, u(t) ¼ U exp(st) for 1 < t < 1 at the complex frequency s ¼ s þ jv, where s is chosen as different from the natural frequency sn ¼ d0=d1 ¼ 1=tc and U is a constant, we note that the response is y(t) ¼ Y(s) exp(st), as is observed by direct substitution into Equation 26.16; this substitution yields directly Y(s) ¼

n1 s þ n0 U d1 s þ d0

(26:25)

where y(t) ¼ Y(s) exp(st) for u(t) ¼ U exp(st) over 1 < t < 1. That is, an exponential excitation yields an exponential response at the same (complex) frequency s ¼ s þ jv as that for the input. When s ¼ 0, the excitation and response are both sinusoidal and the resulting response is called the sinusoidal steady state (SSS). Equation 26.25 shows that the SSS response is found by substituting the complex frequency s ¼ jv into the transfer function, now evaluated on complex numbers instead of differential operators as in Equation 26.18, H(s) ¼

n1 s þ n0 d1 s þ d0

(26:26)

This transfer function represents the impulse response, h(t), of which it is actually the Laplace transform, and as we found earlier, the causal impulse response is 8n n1 0 0 > > < d0 d(t) þ d0 d (t),   h(t) ¼ n1 d1 n0  d0 n1 dd0 t > > : d(t) þ e 1 1(t), d1 d1

if d 1 ¼ 0 (26:27) if d 1 6¼ 0

However, practical signals are started at some finite time, normalized here to t ¼ 0, instead of at t ¼ 1, as used for the preceding exponentials. Thus, consider an input of the same type but applied only for t > 0; i.e., let u(t) ¼ U exp(st)1(t). The output is found by using the convolution y ¼ h * u; after a slight amount of calculation is evaluated to y(t) ¼ h(t)*Uest 1(t) 8 n1 st > > < H(s)Ue 1(t) þ d Ud(t) 0 ¼ d0 [d > 1 n0  d0 n1 ] > Ued1 1(t) : H(s)Uest 1(t)  d1 s þ d0

for d1 ¼ 0 for d1 6¼ 0

(26:28)

Fundamentals of Circuits and Filters

26-10

For t > 0, the SSS remains present, while there is another term of importance when d1 6¼ 0. This is a transient term, which disappears after a sufficient waiting time in the case of an asymptotically stable circuit. That is, the SSS is truly a steady state, although one may have to wait for it to dominate. If a nonzero zero-input response exists, it must be added to the right side of Equation 26.28, but for t > 0 this is of the same form as the transient already present, therefore, the conclusion is identical (the SSS eventually predominates over the transient terms for an asymptotically stable circuit). Because a cosine is the real part of a complex exponential and the real part is obtained as the sum of two terms, we can use linearity of the circuit to quickly obtain the output to a cosine input when we know the output due to an exponential. We merely write the input as the sum of two complex conjugate exponentials and then take the complex conjugates of the outputs that are summed. In the case of real coefficients in the transfer function, this is equivalent to taking the real part of the output when we take the real part of the input; that is, y ¼ 5(h * u3) ¼ h * u, when u ¼ 5(ue), if y is real for all real u.

26.2.4 Network Time Constant The time constant, tc, was defined earlier as the time for which a transient decays to 1=e of the initial value. As such, the time constant shows up in signals throughout the circuit and is a very useful parameter when identifying a circuit from its responses. In an RC circuit, the time constant physically results from the interaction of the equivalent capacitor (of which only one exists in a first-order circuit) of capacitance Ceq, and the Thévenin’s equivalent resistor, of resistance Req, that it sees. Thus, tc ¼ ReqCeq. Closely related to the time constant is the rise time. Considering the low-pass case, the rise time, tr is defined as the time for the unit step response to go between 10% and 90% of its final value from its initial value. This is easily calculated because the unit step response is given by y1() (t) ¼ h(t) *1(t) ¼

d0 i n0 h 1  ed1 t  1(t) d0

(26:29)

Assuming a stable circuit and setting this equal to 0.1 and 0.9 times the final value, n0=d0, it is readily found that tr ¼

d1  ln (9) ¼ [ ln (9)]  tc  2:2tc d0

(26:30)

At this point, it is worth noting that for theoretical studies the time constant can be normalized to 1 by normalizing the time scale. Thus, assuming d1 and d0 6¼ 0 the differential equation can be written as 

   d1 dy dy þ y ¼ d0 d0   þy d0 d(d1 =d0 )(t(d1 =d0 )) dtn

(26:31)

where tn ¼ (d0=d1)t is the normalized time.

References 1. L. P. Huelsman, Basic Circuit Theory with Digital Computations, Englewood Cliffs, NJ: Prentice Hall, 1972. 2. R. W. Newcomb and B. Dziurla, Some circuits and systems applications of semistate theory, Circuits, Systems, and Signal Processing, 8(3), 235–260, 1989.

Analysis in the Time Domain

26-11

26.3 Second-Order Circuits 26.3.1 Introduction Because real transfer functions can be factored into real second-order transfer functions, second-order circuits are probably the most important circuits available; most designs are based upon them. As with first-order circuits, this chapter is limited to single-input-single-output linear time-invariant circuits, and unless otherwise stated, here real-valued quantities are assumed. By definition a second-order circuit is described by the differential equation d2 

d2 y dy d2 u du þ d   y ¼ n  þ n1  þ n0  u þ d 1 0 2 2 2 dt dt dt dt

(26:32)

where di and ni are ‘‘denominator’’ and ‘‘numerator’’ constants, i ¼ 0, 1, 2, which, unless mentioned to the contrary, are taken to be real. Continuing the notation used for first-order circuits, y ¼ y() is the output and u ¼ u() is the input; both u and y are generalized functions of time t. Assume that d2 6¼ 0, which is the normal case because any of the other special cases can be considered as cascades of real degree one circuits. Again, treating the derivative as an operator, p ¼ d[]=dt, Equation 26.32 is written as y¼

n2 p2 þ n1 p þ n0 u d2 p2 þ d1 p þ d0

(26:33)

with the transfer function   1 n2 p2 þ n1 p þ n0 H(p) ¼ d2 p2 þ (d1 =d2 )p þ (d0 =d2 )   1 (n1  (d1 =d2 )n2 )p þ (n0  (d0 =d2 )n2 ) ¼ n2 þ d2 p2 þ (d1 =d2 )p þ (d0 =d2 )

(26:34)

where the second form results by long division of the denominator into the numerator. Because they occur most frequently when second-order circuits are discussed, we rewrite the denominator in two equivalent customarily used forms: p2 þ

d1 d0 vn p þ ¼ p2 þ p þ v2n ¼ p2 þ 2zvn p þ v2n d2 d2 Q

(26:35)

where vn is the undamped natural frequency  0 Q is the quality factor z is the damping factor ¼ 1=(2Q) The transfer function is accordingly     1 n 2 p2 þ n 1 p þ n 0 1 n 2 p2 þ n 1 p þ n 0 ¼ H(p) ¼ d2 p2 þ (vn =Q)p þ v2n d2 p2 þ 2zvn p þ v2n

(26:36)

Table 26.2 lists several of the more important transfer functions, which, as in the first-order case, are operators as functions of the derivative operator p.

Fundamentals of Circuits and Filters

26-12 TABLE 26.2

Typical Second-Order Circuit Transfer Functions

Transfer Function n0 1 d2 p2 þ 2zvn p þ v2n

Description

Impulse Response qffiffiffiffiffiffiffiffiffiffiffiffiffi  n0 e pffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffi sin hlp (t) ¼ 1  z2 vn t 1(t) d2 1  z2 vn zvn t

Low-pass High-pass

2

n2 p d2 p2 þ 2zvn p þ v2n

  z ffi p ffiffiffiffiffiffiffi 0 ¼ arctan2 2

" # qffiffiffiffiffiffiffiffiffiffiffiffiffi  n2 vn ezvn t 2 hhp (t) ¼ d(t)  pffiffiffiffiffiffiffiffiffiffiffiffi2ffi sin 1  z vn t þ 2u 1(t) d2 1z

1z

Bandpass

n1 p d2 p2 þ 2zvn p þ v2n

  z ffi u ¼ arctan2 pffiffiffiffiffiffiffi 2

hbp (t) ¼

1z

n2 p2 þ v20 2 d2 p þ 2zvn p þ v2n

Band-stop

n2 p2  2zvn p þ v2n d2 p2 þ 2zvn p þ v2n

All-pass

n0 1 2 d2 p þ v2n

Oscillator,

n1 ezvn t pffiffiffiffiffiffiffiffiffiffiffiffiffi cos d2 1  z2

hbs (t) ¼ hhp (t) þ

qffiffiffiffiffiffiffiffiffiffiffiffiffi  1  z2 vn t þ u 1(t)

n2 v20 hlp (t) n0

" # qffiffiffiffiffiffiffiffiffiffiffiffiffi  n2 4zvn ezvn t 2 1  z vn t þ u 1(t) d(t)  pffiffiffiffiffiffiffiffiffiffiffiffiffi cos hap (t) ¼ d2 1  z2 hosc (t) ¼

when u ¼ 0

n0 sin (vn t)  1(t) d2

jy(t)ju¼0 ¼ y(0)  cos (vn t) þ

y0 (0)  sin (vn t) vn

26.3.2 Zero-Input and Zero-State Response Again, as in the first-order case, a convenient tool for investigating the time-domain behavior of a second-order circuit is the state variable description. Letting the state vector be x(), the state-space representation is px ¼ Ax þ Bu y ¼ Cx þ Du

(26:37)

where, as above, p ¼ d[]=dt, and A, B, C, D are constant matrices. In the present case, these matrices are real and one convenient choice, among many, is 3 d1  n n 1 2 0 1 6 7 d2    7 d1 5x þ 6 px ¼ 4 d0 4 5u   d0 d1 d2 d2 n0  n2  n1  n2 d2 d2     1 n1 0 xþ y¼ u d2 d2 2

3

2

(26:38)

Here, the state is the two-vector x ¼ [x1 x2]T, with the superscript T denoting transpose. Normally, the state would consist of capacitor voltages and=or inductor currents, although at times one may wish to use linear combinations of these. From these state variable equations, a generic operational-amplifier (op-amp) RC circuit to realize any of this class of second-order circuits is readily designed and given in Figure 26.2. In the figure, all voltages are referenced to ground and normalized capacitor and resistor values are listed. Alternate designs in terms of only CMOS differential pairs and capacitors can also be given [3], while a number of alternate circuits exist in the catalog of Sallen and Key [4].

Analysis in the Time Domain

26-13

R4

{d2/(n1 + d2 – d1 + n2)} R10 R11

– +

E3

1

R3

+ –

V1

R7

R8 {d2/d0} R9

E1

– +

–x1

{d2/n1}

R6 1

R5 {d2}

– +

E5 y

+

C2

1 – +

R12

1

R1

1

{d2/d1}

–u

C1

1

E4

1

R2 1

E2

– +

–x2

{d2/((n0 + d2 – d0 + n2)–(n1 + d2 – d1 + n2))}

FIGURE 26.2 Generic, second-order op-amp RC circuit.

Because Equation 26.38 represents a set of linear constant coefficient differential equations, superposition applies and its solution can again be broken into two parts, the part due to initial conditions, x(0), called the zero-input response, and the part due solely to the input u, the zero-state response. The zero-input response is readily found by solving the state equations with u ¼ 0 and initial conditions x(0). The result is y(t) ¼ C exp(At) x(0), which can be evaluated by several means, including the following. Using a prime to designate the time derivative, first note that when u ¼ 0, x1(t) ¼ d2y(t) and (from the first row of A) x1(t)0 ¼ x2(t) ¼ d2y(t)0 . Thus, x1(0) ¼ d2y(0) and x2(0) ¼ d2 y0 (0), which allow the initial conditions to be expressed in terms of the measurable output quantities. To evaluate exp(At), note that its terms are linear combinations of terms with complex frequencies that are zeroes of the characteristic polynomial  det(s12  A) ¼ det

s

1

v2n

s þ 2zvn

 ¼ s2 þ 2zvn s þ v2n

¼ (s  s )(s  sþ )

(26:39)

For which the roots, called natural frequencies, are  s ¼

qffiffiffiffiffiffiffiffiffiffiffiffiffi pffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffi vn z z2  1 vn ¼ 1 1  4Q2 2Q

(26:40)

The case of equal roots will only occur when z2 ¼ 1, which is the same as Q2 ¼ 1=4, for which the roots are real. Indeed, if the damping factor, z, is >1 in magnitude, or equivalently, if the quality factor, Q, is 0.25, which is the same as z2 < 1, in which case the roots are complex conjugates, s ¼ sþ*  s ¼

qffiffiffiffiffiffiffiffiffiffiffiffiffi pffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffi vn pffiffiffiffiffiffiffi 2 , j ¼ 1 z j 1  z vn ¼ 1 j 4Q2  1 2Q

(26:41)

Fundamentals of Circuits and Filters

26-14

By writing y(t) ¼ a  exp(sþt) þ b  exp(st), for unknown constants a and b, differentiating and setting t ¼ 0 we can solve for a and b, and after some algebra and trigonometry obtain the zero-input response  qffiffiffiffiffiffiffiffiffiffiffiffiffi  qffiffiffiffiffiffiffiffiffiffiffiffiffi  ezvn t y0 (0) 2  sin 1  z2 v n t (26:42) 1  z vn t  u þ y(t) ¼ pffiffiffiffiffiffiffiffiffiffiffiffiffi y(0)  cos 2 v n 1z pffiffiffiffiffiffiffiffiffiffiffiffiffi

where u ¼ arctan2 z= 1  z2 with arctan2() being the arc tangent function that incorporates the sign of its argument. The form given in Equation 26.42 allows for some useful observations. Remembering that this assumes z2 < 1, first note that if no damping occurs, that is, z ¼ 0, then the natural frequencies are purely imaginary, sþ ¼ jvn and s ¼ sþ, and the response is purely oscillatory, taking the form shown in the last line of Table 26.2. If the damping is positive, as it would be for a passive circuit having some loss, usually via positive resistors, then the natural frequencies lie in the left half s-plane, and y decays to zero at positive infinite time so that any transients in the circuit die out after a sufficient wait. The circuit is then called asymptotically stable. However, if the damping is negative, as it could be for some positive feedback circuits or those with negative resistance, then the response to nonzero initial conditions increases in amplitude without bound, although in an oscillatory manner, as time increases, and the circuit is said to be unstable. In the unstable case, as time decreases through negative time the amplitude also damps out to zero, but usually the responses backward in time are not of as much interest as those forward in time. For the zero-state response, the impulse response, h(t), is convoluted with the input, that is, y ¼ h * u, for which we can use the fact that h(t) is the inverse Laplace transform of H(s) ¼ C[sl2  A]1B. The denominator of H(s) is det(sl2  A) ¼ s2 þ 2zvns þ v2n, for which the causal inverse Laplace transform is 8 sþ t s t < e  e  1(t) sþ t s t e 1(t) * e 1(t) ¼ s s : sþ t  te þ 1(t)

if s 6¼ sþ

(26:43)

if s ¼ sþ

Here, the bottom case is ruled out when only complex natural frequencies are considered, following the assumption of handling real natural frequencies in first-order circuits, made previously. Consequently, es þ t  e s  t ezvn t e 1(t) * e 1(t) ¼ 1(t) ¼ pffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffi sin sþ  s 1  z2 vn sþ t

s t

qffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffi 1  z2 vn t  1(t)

(26:44)

Again, assuming z2 < 1 using the preceding calculations give the zero-state response as ( qffiffiffiffiffiffiffiffiffiffiffiffiffi  1 ezvn t pffiffiffiffiffiffiffiffiffiffiffiffiffi sin y(t) ¼ 1  z2 vn t 1(t)* 2 d2 1  z vn       d1 d0 0 n1  n2 d (t) þ n0  n2 d(t) þ n2 d(t) * u(t) d2 d2 (  ffiffiffiffiffiffiffiffiffiffiffiffi ffi  q 1 ezvn t pffiffiffiffiffiffiffiffiffiffiffiffiffi sin ¼ 1  z2 vn t 1(t)* d2 1  z2 vn ) [n2 d00 (t) þ n1 d0 (t) þ n0 d(t)] * u(t)

(26:45)

The bottom equivalent form is easily seen to result from writing the transfer function H(p) as the product of two terms 1=[d2(p2 þ 2zvnp þ v2n) and [n2p2 þ n1p þ n0] convoluting the causal impulse response (the

Analysis in the Time Domain

26-15

inverse of the left half-plane converging Laplace transform), of each term. From Equation 26.45, we directly read the impulse response to be 1 h(t) ¼ d2

(

qffiffiffiffiffiffiffiffiffiffiffiffiffi  ezvn t pffiffiffiffiffiffiffiffiffiffiffiffiffi sin 1  z2 vn t 1(t) 2 1  z vn )

00 0 *[n2 d (t) þ n1 d (t) þ n0 d(t)]

(26:46)

Equations 26.45 and 26.46 are readily evaluated further by noting that the convolution of a function with the second derivative of the impulse, the first derivative of the impulse, and the impulse itself is the second derivative of the function, the first derivative of the function, and the function itself, respectively. For example, in the low-pass case we find the impulse response to be, using Equation 26.46, qffiffiffiffiffiffiffiffiffiffiffiffiffi  n0 ezvn t pffiffiffiffiffiffiffiffiffiffiffiffiffi sin 1  z2 vn t 1(t) hlp (t) ¼ d2 1  z2 vn

(26:47)

By differentiating we find the bandpass and then high-pass impulse responses to be, respectively, qffiffiffiffiffiffiffiffiffiffiffiffiffi  n1 ezvn t 2 pffiffiffiffiffiffiffiffiffiffiffiffiffi cos 1  z vn t þ u 1(t) hbp (t) ¼ d2 1  z2 " # qffiffiffiffiffiffiffiffiffiffiffiffiffi  n2 vn ezvn t d(t)  pffiffiffiffiffiffiffiffiffiffiffiffiffi sin 1  z2 vn t þ 2u 1(t) hhp (t) ¼ 2 d2 1z

(26:48)

(26:49)

pffiffiffiffiffiffiffiffiffiffiffiffiffi

In both cases, the added phase angle is given, as in the zero input response, via u ¼ arctan2 z= 1  z2 . By adding these last three impulse responses suitably scaled the impulse responses of the more general second-order circuits are obtained. Some comments on normalizations are worth mentioning in passing. Because d2 6¼ 0, one could assume d2 to be 1 by absorbing its actual value in the transfer function numerator coefficients. If vn 6¼ 0, time could also be scaled so that vn ¼ 1 could be taken, in which case a normalized time, tn, is introduced. Thus, t ¼ vntn and, along with normalized time, comes a normalized differential operator pn ¼ d[]= dtn ¼ d[]=d(t=vn) ¼ vnp. This, in turn, leads to a normalized transfer function by substituting p ¼ pn=vn into H(p). Thus, much of the treatment could be carried out on the normalized transfer function x Hn (pn ) ¼ H(p) ¼

n2n p2n þ n1n pn þ n0n p2n þ 2zpn þ 1

pn ¼ vn p

(26:50)

In this normalized form, it appears that the most important parameter in fixing the form of the response is the damping factor z ¼ 1=(2Q).

26.3.3 Transient and Steady-State Responses Let us now excite the circuit with an eternal exponential input, u(t) ¼ U exp(st) for 1 < t < 1 at the complex frequency s ¼ s þ jv, where s is chosen as different from either of the natural frequencies, s , and U is a constant. As with the first-order and, indeed, any higher-order, case the response is y(t) ¼ Y(s) exp(st), as is observed by direct substitution into Equation 26.32. This substitution yields directly   1 n2 s2 þ n1 s þ n0 Y(s) ¼ U d2 s2 þ 2zvn s þ v2n

(26:51)

Fundamentals of Circuits and Filters

26-16

where y(t) ¼ Y(s) exp(st) for u(t) ¼ U exp(st) over 1 < t < 1. That is, an exponential excitation yields an exponential response at the same (complex) frequency s ¼ s þ jv as that for the input, as long as s is not one of the two natural frequencies. (s may have positive as well as negative real parts and is best considered as a frequency and not as the Laplace transform variable because the latter is limited to regions of convergence.) Because the denominator polynomial of Y(_s) has roots which are the natural frequencies, the magnitude of Y becomes infinite as the frequency of the excitation approaches sþ or s. Thus, the natural frequencies sþ and s are also called poles of the transfer function. When s ¼ 0 the excitation and response are both sinusoidal and the resulting response is called the SSS. From Equation 26.51, the SSS response is found by substituting the complex frequency s ¼ jv into the transfer function, now evaluated on complex numbers rather than differential operators as above,   1 n2 s2 þ n1 s þ n0 H(s) ¼ d2 s2 þ 2zvn s þ v2n

(26:52)

Next, an exponential input is applied, which starts at t ¼ 0 instead of at t ¼ 1; i.e., u(t) ¼ U exp(st)1(t). Then, the output is found by using the convolution y ¼ h * u, which, from the discussion at Equation 26.45, is expressed as 1 sþ t e 1(t) * es t 1(t) * [n2 d00 (t) þ n1 d0 (t) þ n0 d(t)] * est 1(t) d2    1 N(s) st þ n2 (s þ sþ ) þ n1 esþ t ¼ H(s)Ue 1(t) þ d2 (sþ  s ) sþ  s     N(s) s t þ n2 (s þ s ) þ n1 e 1(t)  sþ  s

y(t) ¼ h * u ¼

(26:53)

in which N(s) is the numerator of the transfer function and we have assumed that s is not equal to a natural frequency. The second term on the right within the braces varies at the natural frequencies and as such is called the transient response, while the first term is the term resulting directly from an eternal exponential, but now with the negative time portion of the response removed. If the system is stable, the transient response decays to zero as time increases and, thus, if we wait long enough the transient response of a stable system can be ignored if the complex frequency of the input exponential has a real part that is greater than that of the natural frequencies. Such is the case for exponentials that yield sinusoids; in that case s ¼ 0, or s ¼ jv. In other words, for an asymptotically stable circuit the output approaches that of the SSS when the input frequency is purely imaginary. If we were to excite at a natural frequency then the first part of Equation 26.53 still could be evaluated using the time-multiplied exponential of Equation 26.43; however, the transient and the steady state are now mixed, both being at the same ‘‘frequency.’’ Because actual sinusoidal signals are real, we use superposition and the fact that the real part of a complex signal is given by adding complex conjugate terms: cos (vt) ¼